patent_number
stringlengths 0
9
| section
stringclasses 4
values | raw_text
stringlengths 0
954k
|
---|---|---|
claims | 1. A reactor manual control system of a boiling water-type nuclear reactor that controls control rods hydraulically by driving a solenoid valve, said system comprising: an operation control having a duplicated data processing unit configured to generate a sequence pattern from the timing of the drive sequence based on manual operation information which is operated at at least two duplicated processing units at which each sequence pattern is generated; a transmission control configured to create a command code corresponding to each control rod to be operated based on said sequence pattern, and mutually communicating each command code between other data processing units being duplicated, thereby computing AND logic within a predetermined time deviation, and when the computed result corresponds, transmitting the selected command code; a transmission unit configured to receive said command code and perform protocol conversion thereto, and transmitting the same as control command to a plurality of downstream transmission branch portions, wherein the command code is created respectively based on each generated sequence pattern; and a solenoid valve drive circuit being branched from said transmission branch portion and being configured to drive the control rod drive unit of each control rod. 2. A reactor manual control system according to claim 1 , wherein claim 1 when a control rod number is designated, said protocol conversion is performed by reading out an excitation command code corresponding to the row/column address of said number from a RAM storing said command code, and transmitting the same through a shift register in serial signals, and the protocol conversion performs signal conversion based on signals of the transmission control to drive the solenoid value drive circuit. 3. A reactor manual control system according to claim 2 , wherein claim 2 said transmission control is equipped with a bypass means for outputting only the command code of the other side corresponding to the forced transmission request output when one side of said command codes is abnormal. 4. A reactor manual control system according to claim 3 , further comprising: claim 3 a plurality of position input circuits for inputting coded on/off signals of a position detecting mechanism equipped to correspond to each control rod; a position transmission unit for transmitting the position data in the form of serial signals to a transmission branch unit positioned upstream from said plurality of position input circuits, and further transmitting said position information upstream; a rod position monitor unit for receiving said data and mutually communicating said data between duplicated data processing units, and performing software processes to said rod position information at other duplicated data processing units; and a rod position monitor unit including a means for selecting and displaying one of said duplicated rod position data. 5. A reactor manual control system of a boiling water-type nuclear reactor that controls control rods hydraulically by driving a solenoid valve, said system comprising: a cabinet constituting a rod control unit renewed including an operation control configured to have a duplicated data processing unit for generating a sequence pattern based on the manual control information; and a transmission control configured to create a command code for every control rod to be controlled based on said sequence pattern, computing an AND logic by mutually communicating each command code between duplicated data processing units, and when the result coincides, transmitting a selected command code; and a rod drive control cabinet constituting a control rod drive unit including a new transmission unit that receives said command code and performs protocol conversion thereto, and transmits the same as control command to the plurality of transmission branch units positioned downstream, and a solenoid valve drive circuit realized by a special-purpose electric circuit branched from said transmission branch unit for driving the control rod drive unit corresponding to each rod. 6. A reactor manual control system according to claim 5 , further comprising: claim 5 a cabinet constituting a control rod monitor unit renewed including a position monitor unit for receiving data and performing software processes to the control rod position information at said duplicated data processing units, and a means for selecting and displaying one of the duplicated control rod position data; and a multiplexer cabinet constituting a position input unit including a plurality of position input circuits comprising special-purpose electric circuits to which are input coded on/off signals transmitted from position detecting mechanisms equipped to correspond to each control rod, and a new position transmission unit that transmits data to said control rod monitor unit from said plural position input circuits via a transmission branch unit positioned upstream and further via branched lines. 7. A reactor manual control system that manually or automatically sets the selection of control rods to be operated out of the plural control rods equipped in the reactor, the direction of movement (withdrawal or insertion) of each rod, and the method of driving said rods, said system comprising: a rod control unit for creating as data in duplicated data processing units the drive timing of the control rod set either manually or automatically, and mutually communicating said duplicated data between another duplicated data processing units, performing an AND logic within a predetermined time difference, and selecting and transmitting one of the control command data based on the computed result of said AND logic, wherein same information on the drive timing is operated at more than two of the duplicated data processing units and each data created thereat; and a rod drive unit that upon receiving said selected control command, transmits said data as serial signals to a plurality of transmission branch units positioned downstream, and drives the control rod drive unit corresponding to each control rod being branched from said transmission branch unit. |
|
abstract | The disclosure describes hydrocarbon flowline corrosion inhibitor overpressure protection. Such a protection system includes a fluid flow pathway fluidically coupled to a corrosion inhibitor injection pump that injections corrosion inhibitor into a hydrocarbon carrying flowline. When the injection pump pressure exceeds a threshold flow pressure, the corrosion inhibitor is flowed through a first branch of the fluid flow pathway to relieve the excess pressure. The first branch is fluidically isolated from a second branch. When a rupture disc in the first branch fails, then the corrosion inhibitor is diverted to flow through the second branch and the first branch is isolated from the corrosion inhibitor flow. |
|
043269184 | abstract | A technique for storing spent fuel rods from a nuclear reactor is disclosed herein. This technique utilizes a housing including a closed inner chamber for containing the fuel rods and a thermally conductive member located partially within the housing chamber and partially outside the housing for transferring heat generated by the fuel rods from the chamber to the ambient surroundings. Particulate material is located within the chamber and surrounds the fuel rods contained therein. This material is selected to serve as a heat transfer media between the contained cells and the heat transferring member and, at the same time, stand ready to fuse into a solid mass around the contained cells if the heat transferring member malfunctions or otherwise fails to transfer the generated heat out of the housing chamber in a predetermined way. |
description | Field The present disclosure relates to devices, systems, and methods directed to the injection of solutions into a high-temperature environment. Description of Related Art In a nuclear reactor, deposition solutions are often injected into a high temperature/pressure feed-water line in order to deposit materials on reactor surfaces. FIG. 1 is a schematic view of a conventional boiling water nuclear reactor (BWR) including deposition solution injection. Referring to FIG. 1, a hydrogen injection system 2 may be used to inject hydrogen into a feed-water suction line 4b (the suction line 4b is the inlet to feed-water pumps 10) to act as an oxygen scavenger for the water circulating in the reactor 8. In conjunction with the hydrogen injection system 2, a noble metal (e.g., platinum) deposition solution injection system 6 may be used to inject a deposition solution into the feed-water discharge line 4a in order to deposit platinum ions on surfaces of the reactor 8. While the reactor 8 is depicted as a Boiling Water Reactor (BWR) in FIG. 1, it should be understood that other types of nuclear reactors could also make use of deposition solution injections (such as the platinum deposition solution described herein). The platinum deposition solution may be, for example, a platinum salt solution of sodium hexahydroxyplatinate (Na2Pt(OH)6). By injecting the solution into the feed-water discharge line 4a, platinum ions may deposit onto surfaces of the reactor 8 so that the platinum may act as a catalyst to react the injected hydrogen with oxygen molecules that may be present in the reactor. By causing hydrogen to react with oxygen molecules on surfaces of the reactor 8, water (H2O) molecules may be produced. This reaction acts to reduce and potentially eliminate oxygen molecules present on surfaces of the reactor 8 that may otherwise promote corrosion of metal components, thereby extending the useful life of reactor components. FIG. 2 is a side, cross-sectional view of a conventional deposition solution injector configuration. Referring to FIG. 2, a conventional deposition solution injector configuration 12 may include a chemical feed skid 24 supplying a deposition solution to the feed-water discharge line 4a. The chemical feed skid 24 typically provides the chemical deposition solution at ambient temperatures with a flow-rate of around 50-120 cm3/minute and a pressure typically less than 1250 psi (via positive displacement pumps). A chemical feed line 26 may provide the deposition solution from the chemical feed skid 24 to the injection tap 20. One or more injector valves 14 may be included in the chemical feed line 26 to provide a shutoff for the deposition solution in the chemical feed line 26. Typically, a pipe stub 16 is included at the injector valve 14 discharge. A weldment 18 may connect the injection tap 20 to the pipe stub 16 and feed-water discharge line 4a. Because a distal end of a conventional injection tap 20 may extend only to an inner surface of the feed-water discharge line 4a, a deposited material 22 may form within the distal end of the injection tap 20. The deposited material 22 may form at the injection point, as the ambient (i.e., low) temperature deposition solution is mixed with an intruding eddy flow of the high temperature, high velocity feed-water (ranging between 260 and 420° F. with a flow velocity of about 10-20 ft/sec) that may cause the deposition solution to break down into platinum ions which are then deposited within the inner distal end of the injection tap 20 (it is noted that sodium hexahydroxyplatinate, Na2Pt(OH)6, begins to break down at temperatures of 300-500° F.). Blockage of the injection tap 20 caused by the deposited material 22 may cause the positive displacement pumps to increase injection pressure to provide the specified injection flow rate. Pressure may increase to the design pressure of the deposition solution injector configuration 12, resulting in termination of an injection before all of the deposition solution is injected. This may cause a reduced amount of platinum to be deposited within the reactor 8, itself. Furthermore, blockage of the injection tap 20 may prevent performance of the next scheduled injection (typically done once per year), or require an unplanned reactor shutdown to remove the blockage. In addition to blockage of the injection tap 20 by the deposited material 22 within the injection point, smearing of deposited material 22 may also occur along the inner surfaces of the feed-water discharge line 4a as the slowly flowing deposition solution is unable to escape the boundary layer and enter the bulk flow of the feed-water. The smearing may cause significant amounts of platinum ions to deposit along the inside of the feed-water discharge line 4a where it is not needed or desired, which may consequently reduce the amount of platinum that reaches the reactor 8. An insulated solution injector may include an outer tube, an inner tube arranged within the outer tube, an inboard end section at a distal end of the outer tube and the inner tube, and an outboard end section at an opposing proximal end of the outer tube and the inner tube. The outer tube may have a first outer surface and a first inner surface. The inner tube may have a second outer surface and a second inner surface, the first inner surface of the outer tube and the second outer surface of the inner tube defining an annular space, and the second inner surface of the inner tube defining a solution space. The inboard end section may include a base portion and a shield portion projecting from the base portion, the base portion having a hole extending therethrough, the hole being in communication with the solution space, and the shield portion having a groove extending along a length thereof from the base portion. An injection system may include a pipe and an insulated solution injector penetrating the pipe. The pipe may have an interior surface defining a flow space, wherein the inboard end section of the insulated solution injector is within the flow space. A method of injecting a solution into a high temperature liquid stream may include inserting an injector into a pipe configured to carry a flow of the high temperature liquid stream. The injector may be configured to deliver the solution into the high temperature liquid stream. The injector may include an outer tube and an inner tube arranged within the outer tube. The outer tube and the inner tube may define an annular space therebetween. The method may additionally include insulating the solution from the high temperature liquid stream while the solution is in the injector. The method may also include injecting the solution into the high temperature liquid stream. The method may further include shielding the solution from a full velocity of the flow during the injecting. It should be understood that when an element or layer is referred to as being “on,” “connected to,” “coupled to,” or “covering” another element or layer, it may be directly on, connected to, coupled to, or covering the other element or layer or intervening elements or layers may be present. In contrast, when an element is referred to as being “directly on,” “directly connected to,” or “directly coupled to” another element or layer, there are no intervening elements or layers present. Like numbers refer to like elements throughout the specification. As used herein, the term “and/or” includes any and all combinations of one or more of the associated listed items. It should be understood that, although the terms first, second, third, etc. may be used herein to describe various elements, components, regions, layers and/or sections, these elements, components, regions, layers, and/or sections should not be limited by these terms. These terms are only used to distinguish one element, component, region, layer, or section from another region, layer, or section. Thus, a first element, component, region, layer, or section discussed below could be termed a second element, component, region, layer, or section without departing from the teachings of example embodiments. Spatially relative terms (e.g., “beneath,” “below,” “lower,” “above,” “upper,” and the like) may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. It should be understood that the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. For example, if the device in the figures is turned over, elements described as “below” or “beneath” other elements or features would then be oriented “above” the other elements or features. Thus, the term “below” may encompass both an orientation of above and below. The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein interpreted accordingly. The terminology used herein is for the purpose of describing various embodiments only and is not intended to be limiting of example embodiments. As used herein, the singular forms “a,” “an,” and “the” are intended to include the plural forms as well, unless the context clearly indicates otherwise. It will be further understood that the terms “includes,” “including,” “comprises,” and/or “comprising,” when used in this specification, specify the presence of stated features, integers, steps, operations, elements, and/or components, but do not preclude the presence or addition of one or more other features, integers, steps, operations, elements, components, and/or groups thereof. Example embodiments are described herein with reference to cross-sectional illustrations that are schematic illustrations of idealized embodiments (and intermediate structures) of example embodiments. As such, variations from the shapes of the illustrations as a result, for example, of manufacturing techniques and/or tolerances, are to be expected. Thus, example embodiments should not be construed as limited to the shapes of regions illustrated herein but are to include deviations in shapes that result, for example, from manufacturing. For example, an implanted region illustrated as a rectangle will, typically, have rounded or curved features and/or a gradient of implant concentration at its edges rather than a binary change from implanted to non-implanted region. Likewise, a buried region formed by implantation may result in some implantation in the region between the buried region and the surface through which the implantation takes place. Thus, the regions illustrated in the figures are schematic in nature and their shapes are not intended to illustrate the actual shape of a region of a device and are not intended to limit the scope of example embodiments. Unless otherwise defined, all terms (including technical and scientific terms) used herein have the same meaning as commonly understood by one of ordinary skill in the art to which example embodiments belong. It will be further understood that terms, including those defined in commonly used dictionaries, should be interpreted as having a meaning that is consistent with their meaning in the context of the relevant art and will not be interpreted in an idealized or overly formal sense unless expressly so defined herein. FIG. 3 is a side, cross-sectional view of a deposition solution injector configuration according to a non-limiting embodiment. Referring to FIG. 3, the deposition solution injector configuration 32 includes a hollow injector tube 30 with a distal end 30a that extends beyond the inner surface of the feed-water discharge line 4a. In particular, the distal end 30a of the injector tube 30 may extend beyond a determined boundary layer of the bulk flow of fluids traveling through the feed-water discharge line 4a. The depth of the boundary layer (and, the required length X of the distal end 30a of the injector tube 30) may vary depending upon the temperature and velocity of the feed-water. The depth of the boundary layer may also vary depending on the type of fluid flowing in the feed-water discharge line 4a (with potentially varying viscosity), the diameter and material of the feed-water discharge line 4a, as well as other parameters known to impact the Reynolds number (and resulting boundary layer depth) of fluid flowing in the feed-water discharge line 4a. It should therefore be understood that the length X should at least be long enough to extend beyond the boundary layer of the fluid flowing in the feed-water discharge line 4a. The deposition solution injector configuration 32 also includes a wide diameter pipe stub 16a with an inner diameter that matches or slightly exceeds the outer diameter of the injector tube 30. The wide diameter pipe stub 16a provides support to minimize vibration stresses in the injector tube 30 caused by feed-water flow forces. The inner diameter of the injector tube 30 may also contribute to potential blockage caused by deposited material, if the deposition material is heated to high temperatures (e.g., temperatures at or above the decomposition temperature of the deposition material) as it flows to the distal end 30a of the injector tube 30. For this reason, the inside diameter of the injector tube 30 should be sized to be sufficiently small, ensuring that the deposition solution flows relatively quickly through the hot region adjacent to the feed-water discharge line 4a. For a 50-120 cm3/minute flow rate of deposition solution through the injector tube 30, a ⅛ inch inner diameter of the injector tube 30 would result in flow velocities of 3-9 inches/second. This would cause the deposition solution to be in the hot region for less than a second, thereby ensuring that the deposition solution does not degrade during this short period. FIG. 4A is a side, cross-sectional view of a distal end of a deposition solution injector configuration according to a non-limiting embodiment. Referring to FIG. 4A, the injector tube 30 is provided with an injection slot 30b located on a downstream side of the injector tube 30 (specifically, the injector slot 30b is downstream of the feed-water flow passing across the distal end 30a of the injector tube 30). By locating the injection slot 30b on the downstream side of the injector tube 30, the injection slot 30b is somewhat sheltered from the high pressure flow of the feed-water, thereby reducing the potential for the injector tube 30 to become clogged by deposited material. The injector tube 30 should be adequately sized to ensure that the entire injection slot 30b extends beyond the boundary layer of the flowing feed-water, just as the distal end 30a of the injector tube 30 should extend beyond the boundary layer (as described in FIG. 3). This ensures that the deposition solution may be fully injected into the bulk flow of feed-water in the feed-water discharge line 4a without experiencing unnecessarily high deposition of platinum ions on the inside of the feed-water discharge line 4a. For this reason, length Y (the injector tube 30 length from the inner surface of the feed-water discharge line 4a to the opening of the injection slot 30b) must extend beyond the boundary layer of the feed-water. As described in FIG. 3, the boundary layer depth may vary depending on the temperature and velocity of the feed-water, the type of fluid flowing in the feed-water line, the diameter and material of the feed-water line, etc. As an example, for a 16 inch diameter feed-water discharge line 4a with flowing water in a range of 15-20 feet/second at a temperature of 260-420° F., a length Y of 1 inch is adequate to ensure that the entire injection slot 30b extends beyond the boundary layer of the fluids flowing in the feed-water discharge line 4a. The size of the injection slot 30b itself may also impact the potential blockage of the injector tube 30. Therefore, the cross-sectional area of the injection slot 30b should be properly sized to ensure that the exit velocity of the deposition solution approximately matches the feed-water flow velocity, thereby ensuring that feed-water eddy flows do not enter the injection slot 30b and cause deposition and possible blockage. The injection slot 30b may be located a distance below the terminus of the distal end 30a of the injector tube 30 to further shelter the injection slot 30b from the high pressures of the feed-water flow. However, the distal end 30a of the injector tube 30 should not extend too far beyond the depth of the feed-water boundary layer. By not extending the distal end 30a of the injector tube 30 too far beyond the location of the boundary layer, the risk of bending and damage to the injector tube 30 by the high velocity feed-water flow may be reduced or avoided. In a non-limiting embodiment, the length X (the full length of the distal end 30a of the injector tube 30 extending within the feed-water discharge line 4a) is not more than about 20% greater than the required length Y. In another non-limiting embodiment, the difference between X and Y is not more than one inch. FIG. 4B is a top, cross-sectional view along line A-A of the deposition solution injector configuration of FIG. 4A. As discussed in FIG. 4A, the injection slot 30b may be located on a downstream side of the injector tube 30 (the downstream side means downstream of the feed-water flow direction). The axial, cross-sectional profile 30c of the injector tube 30 may be a tapered, oval-shape with two acute ends (as shown in FIG. 4B) to hydrodynamically reduce feed-water fluid forces that may be experienced at the interface between the injection slot 30b and the bulk flow of the feed-water. The injection slot 30b may be located on the downstream-facing acute end of the injector tube 30 (as shown in FIG. 4B). The axial, cross-sectional profile 30c may also be circular, square, or other suitable shape, so long as the injection slot 30b is located on the downstream side of the injector tube 30 to minimize eddy flow of incident feed-water that may enter into the injector tube 30. Furthermore, premature decomposition and deposition of the solution may be reduced or prevented by hindering the transfer of heat to the solution during the passage of the solution through the injector to the feed-water. Such hindering of the transfer of heat may be achieved with an insulated solution injector. FIG. 5A is an upper perspective view of an insulated solution injector according to a non-limiting embodiment. FIG. 5B is a lower perspective view of an insulated solution injector according to a non-limiting embodiment. FIG. 6 is a side, cross-sectional view of an insulated solution injector according to a non-limiting embodiment. Referring to FIGS. 5A-5B and 6, the insulated solution injector 100 includes an arrangement of an inner tube 104 within an outer tube 102. The outer tube 102 has a first outer surface 102a and a first inner surface 102b. The inner tube 104 has a second outer surface 104a and a second inner surface 104b. The second outer surface 104a of the inner tube 104 is spaced apart from the first inner surface 102b of the outer tube 102. As a result, the first inner surface 102b of the outer tube 102 and the second outer surface 104a of the inner tube 104 define an annular space 103. An insulating layer may occupy the annular space 103 between the outer tube 102 and the inner tube 104. The insulating layer may be a gas layer. The inner tube 104 may be concentrically arranged within the outer tube 102. The second inner surface 104b of the inner tube 104 defines a solution space 105. The annular space 103 is isolated from the solution space 105. An inboard end section 106 is at a distal end of the outer tube 102 and the inner tube 104. The inboard end section 106 includes a base portion 106a and a shield portion 106b projecting from the base portion 106a. The base portion 106a has a hole 106c extending therethrough. The hole 106c is in communication with the solution space 105. The hole 106c extending through the base portion 106a may have a diameter ranging from 0.1 to 0.3 inches. The shield portion 106b has a groove 106d extending along a length thereof from the base portion 106a. The groove 106d may be V-shaped and extend along an entire length of the shield portion 106b such that the inboard end section 106 has a “pac-man” shape based on a plan view. In such a case, the groove 106d of the shield portion 106b exposes a wedge-shaped area of the base portion 106a. The hole 106c extends through the wedge-shaped area of the base portion 106a. In another non-limiting embodiment, the groove 106d may be U-shaped or another suitable shape. A portion or more of the side of the shield portion 106b opposite to the groove 106d may be slanted or inclined. Additionally, the terminus of the shield portion 106b may be leveled. An outboard end section 108 is at an opposing proximal end of the outer tube 102 and the inner tube 104 relative to the inboard end section 106. The outboard end section 108 may have an opening configured to allow atmospheric air to enter and circulate within the annular space 103 by natural convection. For instance, the insulated solution injector 100 may be installed such that the outboard end section 108 points upward to allow the higher temperature air circulating in the annular space 103 to escape by natural convection. Alternatively, the annular space 103 may be sealed, wherein the annular space 103 is filled with a gas layer or rendered as a vacuum. Although the insulated solution injector 100 is shown in the drawings as being in a linear form, it should be understood that example embodiments are not limited thereto. For instance, the insulated solution injector 100 may alternatively be in a curved form. As an example of a curved form, the insulated solution injector 100 may have an inboard end section 106 that is straight to facilitate insertion into a pipe (e.g., feed-water pipe), while the outboard end section 108 may be curved to accommodate a particular configuration (and/or to maneuver around an adjacent structure), vice versa, or both curved based on situational needs. FIG. 7 is a side, cross-sectional view of an insulated injection system according to a non-limiting embodiment. FIG. 8 is a top view along line B-B of the insulated solution injector of the insulated injection system of FIG. 7. Referring to FIGS. 7-8, an injection system 400 includes a pipe 402 having an exterior surface 402a and an interior surface 402b. The pipe 402 may be a feed-water pipe. The interior surface 402b of the pipe 402 defines a flow space therein for a liquid stream (e.g., feed-water). An insulated solution injector 100 penetrates the pipe 402. Although the insulated solution injector 100 is shown in the drawings as penetrating an underside of the pipe 402, it should be understood that example embodiments are not limited thereto. For instance, the insulated solution injector 100 may alternatively penetrate an upper-side or top-side of the pipe 402. With an upper-side or top-side penetration of the pipe 402, the air that is being heated in the annular space 103 by the pipe 402 and/or the liquid stream can escape relatively easily by natural convection. The insulated solution injector 100 may be as described in connection with FIGS. 5A-5B and 6. In particular, the insulated solution injector 100 includes an outer tube 102 having a first outer surface 102a and a first inner surface 102b. An inner tube 104 is arranged within the outer tube 102. The inner tube 104 having a second outer surface 104a and a second inner surface 104b. The first inner surface 102b of the outer tube 102 and the second outer surface 104a of the inner tube 104 define an annular space 103. The second inner surface 104b of the inner tube 104 defines a solution space 105. An inboard end section 106 is at a distal end of the outer tube 102 and the inner tube 104. The inboard end section 106 is arranged so as to be within the flow space of the pipe 402. The inboard end section 106 includes a base portion 106a and a shield portion 106b projecting from the base portion 106a. The base portion 106a has a hole 106c extending therethrough. The flow space of the pipe 402 is in communication with the solution space 105 via the hole 106c. The shield portion 106b has a groove 106d extending along a length thereof from the base portion 106a. An outboard end section 108 is at an opposing proximal end of the outer tube 102 and the inner tube 104 relative to the inboard end section 106. The insulated solution injector 100 may extend into the pipe 402 about 5 to 15% of an inside diameter of the pipe 402. For instance, the insulated solution injector 100 may extend into the pipe 402 about 1 to 2 inches beyond the interior surface 402b of the pipe 402. A method of injecting a solution 406 into a high temperature liquid stream 404 includes inserting an injector 100 into a pipe 402 configured to carry a flow of the high temperature liquid stream 404. The injector 100 is configured to deliver the solution 406 into the high temperature liquid stream 404. The injector 100 includes an outer tube 102 and an inner tube 104 arranged within the outer tube 102. The outer tube 102 and the inner tube 104 define an annular space 103 therebetween. The method additionally includes insulating the solution 406 from the high temperature liquid stream 404 while the solution 406 is in the injector 100. The method also includes injecting the solution 406 into the high temperature liquid stream 404 while insulating the solution 406 still within the injector 100. The method further includes shielding the solution 406 from a full velocity of the flow during the injecting. The inserting may include positioning the injector 100 to facilitate delivery of the solution 406 beyond the boundary layer of the flow of the high temperature liquid stream 404. The high temperature liquid stream 404 may be a high temperature water stream (e.g., feed-water stream). The insulating may include providing a gas or a vacuum in the annular space 103. For instance, the insulating may include providing air (e.g., atmospheric air) as the gas in the annular space 103. The air in the annular space 103 may circulate by natural convention such that the warmer internal air exits while the cooler external air enters the annular space 103. As a result, the solution 406 in the solution space 105 is relatively insulated from the high temperature environment of the pipe 402 and its contents as the solution 406 travels from the outboard end section 108 to the inboard end section 106 where the solution 406 is injected into the high temperature liquid stream 404. The injecting may include delivering a noble metal precursor as the solution 406 into the high temperature liquid stream 404. In a non-limiting embodiment, the injecting may include delivering a platinum precursor into the high temperature liquid stream 404. For instance, the injecting may include delivering sodium hexahydroxyplatinate (Na2Pt(OH)6) into the high temperature liquid stream 404. In view of the insulated solution injector, the injection system, and the method of injecting herein, the solution may be kept to a temperature below its decomposition temperature while the solution is within the injector. Accordingly, the decomposition of the solution (e.g., Na2Pt(OH)6) and the resulting deposition of its constituents (e.g., Pt) within the injector may be reduced or prevented, thereby decreasing or precluding the occurrence of a blockage. While a number of example embodiments have been disclosed herein, it should be understood that other variations may be possible. Such variations are not to be regarded as a departure from the spirit and scope of the present disclosure, and all such modifications as would be obvious to one skilled in the art are intended to be included within the scope of the following claims. |
|
claims | 1. An exposure method, which transfers, using light having a wavelength of 100 nm or smaller as an exposure beam, a predetermined pattern onto a substrate, comprising: reducing, when said pattern is irradiated with the exposure beam through a plurality of reflection members, particles mixed in the exposure beam between, of the plurality of the reflection members, a first reflection member which condenses the exposure beam and a second reflection member which makes an illuminance distribution of the exposure beam substantially uniform at the pattern. 2. An exposure method as recited in claim 1 , wherein: claim 1 an optical element through which said exposure beam is transmitted is disposed between said first and second reflection members to reduce said particles. 3. An exposure method as recited in claim 2 , wherein: claim 2 said optical element is disposed at a condensing point of said extreme ultra violet light by said first reflection member or at a vicinity thereof. 4. An exposure method as recited in claim 2 , wherein: claim 2 another optical element is disposed on said optical path in exchange for said optical element. 5. An exposure method as recited in claim 2 , wherein: claim 2 said exposure beam is extreme ultra violet light generated from said laser plasma light source; and said first reflection member includes a first focus from which said extreme ultra violet light is generated and a second focus on which said extreme ultra violet light is condensed. 6. An exposure apparatus which transfers, using light having a wavelength of 100 nm or smaller as an exposure beam, a predetermined pattern onto a substrate, comprising: a light source which generates the light having the wavelength of 100 nm or smaller as the exposure beam; a plurality of reflection members which guides the exposure beam emitted from the light source to the pattern, the plurality of the reflection members including a first reflection member which condenses the exposure beam and a second reflection member which makes an illuminance distribution of the exposure beam substantially uniform at the pattern; and a transmission member disposed, to reduce particles generated at the light source on an optical path of the exposure beam between the first reflection member and second reflection member and having a predetermined transmittance. 7. An exposure apparatus as recited in claim 6 , wherein at least one of said reflection members is disposed between said transmission member and said substrate. claim 6 8. An exposure apparatus as recited in claim 6 , wherein: claim 6 said second reflection member is a reflection type optical integrator. 9. An exposure apparatus as recited in claim 8 , wherein said transmission member is disposed immediately in front of said optical integrator. claim 8 10. An exposure apparatus as recited in claim 6 , wherein said transmission member has a transmittance of 10% to 90% with respect to said exposure beam. claim 6 11. An exposure apparatus as recited in claim 6 , wherein claim 6 said light source is a laser plasma light source, and said exposure beam is of extreme ultra violet light having a wavelength of 5 nm to 50 nm. 12. An exposure apparatus as recited in claim 6 , wherein: claim 6 said transmission member is disposed at a condensing point of said extreme ultra violet light by said first reflection member or at a vicinity thereof. 13. An exposure apparatus as recited in claim 6 , further comprising: claim 6 a replacing member which dispose another transmission member on said optical path in exchange for said transmission member. 14. An exposure apparatus as recited in claim 6 , wherein: claim 6 said light source is a laser plasma light source which generates extreme ultra violet light as said exposure beam; and said first reflection member includes a first focus from which said extreme ultra violet light is generated and a second focus on which said extreme ultra violet light is condensed. 15. An exposure apparatus which transfers a pattern formed on a mask onto an object, comprising: a light source which generates extreme ultra violet light; an illumination optical system disposed, to illuminate said mask with said extreme ultra violet light, on an optical path through which said extreme ultra violet light passes, said illumination optical system including a plurality of reflection optical elements which includes an optical integrator; and an optical element disposed on said optical path at a condensing point of said extreme ultra violet light or at a vicinity thereof to reduce substances which are generated at said light source, flow into said optical path and lower reflectivity of said reflection optical elements. 16. An exposure apparatus as recited in claim 15 , wherein: claim 15 a reflection condensing element which condenses said extreme ultra violet light is disposed closer to the optical source than said optical integrator. 17. An exposure apparatus as recited in claim 16 , further comprising: claim 16 a replacing member which disposes another optical element on said optical path in exchange for said optical element. 18. An exposure apparatus as recited in claim 16 , wherein: claim 16 said light source is a laser plasma light source; and said reflection condensing element includes a first focus from which said extreme ultra violet light is generated and a second focus on which said extreme ultra violet light is condensed. 19. An exposure apparatus as recited in claim 18 , wherein: claim 18 said light source includes the same material that is used in said reflection condensing element or in a reflection surface of said reflection optical element, and comprises a nozzle which injects a target. 20. An exposure apparatus as recited in claim 16 , wherein: claim 16 said optical element has a transmittance of 10% to 90% with respect to said exposure beam. |
|
041860497 | summary | BACKGROUND OF THE INVENTION The present invention relates to nuclear reactors of the molten combustible salt type, and more specifically of the type having a primary integrated circuit. In such reactors the core and its reflector are located in a first vessel called the "reactor skirt" which is itself contained in its tower with pumps and primary exchangers in a second vessel called the main vessel. These reactors use a liquid fuel heated to a high temperature of at least about 600.degree. C. by nuclear fission in the core, whereby said fuel is generally constituted by uranium or plutonium fluoride or a mixture of uranium fluoride and thorium dissolved in lithium fluorides and beryllium so that the mixture has a relatively low melting point, a suitable fluidity and a low vapour tension. When neutrons have to be thermalised the core of such reactors contains a suitable moderator mass such as graphite, whereby there are discharge channels for the combustible salt which then exchanges the calories obtained on passing through the core in a primary heat exchanger with another molten salt called the buffer salt, for example sodium fluoborate. In turn this buffer salt exchanges its calories in a secondary circuit comprising a steam generator, the steam being finally expanded in an electricity production plant. In such integrated reactors the molten combustible salt is contained in a metal vessel called the main vessel whose walls are protected against chemical corrosion and high temperatures by carbonaceous materials. For example, this is the case with the nuclear reactor forming the object of French Patent Application EN 7442767 of 24.12.1974 entitled "Molten combustible salt nuclear reactor". In said reactor the main vessel is almost entirely filled with said carbonaceous materials and the only cavities provided in said carbonaceous material mass are those containing the reactor core, the heat exchangers, the circulating pumps for the molten salt and the different galleries ensuring the hydraulic connection between the components specified hereinbefore. In theory, this design of the integrated primary circuit in a main metal vessel permits the insulation of said confining structure relative to the molten combustible salt contained therein. Unfortunately, experience has shown that although interesting in theory these constructions give rise in practice to a certain number of shortcomings due in particular to the great difference existing between the thermal expansion coefficients of the vessel material on the one hand and the carbonaceous filling material on the other. As a result, it is necessary to provide a better protection of the main vessel relative to the molten salts. A device for protecting a main vessel of this type forms the object of French Patent Application EN 7517939 filed on June 9, 1975 entitled "Process and apparatus for protecting the vessel of a molten salt nuclear reactor". A per se known device of this type will be described in greater detail with reference to FIG. 1. FIG. 1 shows in the form of an axial half-section a molten salt reactor having an integrated primary circuit designated by the general reference numeral 1. In a protective concrete enclosure 2 said reactor comprises a main vessel 3 containing the reactor core 4 itself located in the reactor skirt 5 and the exchangers and circulating pumps for the molten salt, whereby the location of the single exchanger 6 is clearly visible in FIG. 1. The inner wall of said main vessel 3 as well as its internal volume not occupied by the reactor elements are lined with a per se known carbonaceous filling material designated by 7 in FIG. 1. In this construction a second outer vessel 8 is placed around the main vessel 3, whereby the space between vessels 3 and 8 is filled with a climatisation or air-conditioning fluid 9. The temperature of this fluid is controlled, for example by at least one submerged circulating fluid exchanger 10, the water entering at 11 and leaving in the form of steam at 12. Firstly, the main vessel is heated to a temperature of, for example, 400.degree. C. by acting on the air-conditioning fluid 9 and exchangers 10 leading to the formation of an empty space 13 as a result of the differential expansion occurring between carbon 7 and the steel of vessel 3. Vessel 3 is then first filled with an auxiliary salt which contains no fissile or fertile material and whose melting point is below the temperature to which vessel 3 has been heated, i.e. 400.degree. C. in the case described herein. This salt can be of different types and advantageously it is constituted by the eutectic of lithium fluorides and beryllium whose melting point is 350.degree. C. It is absolutely necessary for it to be chemically compatible with the actual combustible salt. This auxiliary salt which is neutral from a nuclear standpoint fills the said empty space 13 and the interstices located in the carbonaceous lining mass 7. In a second phase which follows the first the temperature of the main vessel 3 is lowered to below the melting point of the auxiliary salt used by means of the air-conditioning fluid 9 and the exchangers 10 which causes the agglomeration or solidification of that part thereof which has filled space 13 created between the main vessel 3 and the carbonaceous filling material mass 7 and to a certain depth the interstices emerging at the periphery of the carbonaceous mass 7. In the present example where the eutectic of lithium fluorides and beryllium has a melting point of 350.degree. C. the air-conditioning temperature of fluid 9 is, for example, lowered to 300.degree. C. When all the auxiliary salt in space 13 has solidified and this solidification has also penetrated a certain depth into the interstices emerging in said area 13, the reactor is loaded with the final combustible salt. At the end of these operations vessel 3 is definitively maintained at 300.degree. C. and the reactor is ready for operation. The crust of auxiliary salt in the space 13 between vessel 3 and the carbonaceous filling mass 7 substantially has no contact with the combustible salt. Thus, there is no need to fear a nuclear reaction in said crust of neutral salt which is thus maintained at an essentially constant temperature and can effectively fulfil its function of providing corrosion protection for the main vessel 3. The present invention applies to the molten salt reactor of the type described with reference to FIG. 1 and the invention in fact aims at improving the heat exchangers of reactors of this type. In such reactors the primary heat exchange system has hitherto been constituted by an integrated system in the main vessel and has comprised the arrangement in alternate manner of an exchanger and a pump in the carbonaceous filling material, whereby said components are distributed over the entire periphery of the structure. In the known constructions, the pumps circulate the molten salt in the reactor skirt from bottom to top and the hot salt descends in countercurrent flow by forced circulation in the adjacent exchangers which it thus traverses from top to bottom. A transverse hydraulic connection is then necessary between the base of each primary exchanger and the adjacent pump shafts in order to ensure the return of the cold salt to the reactor core resulting in significant expansion problems in operation. BRIEF SUMMARY OF THE INVENTION The present invention relates more particularly to a heat exchanger integrated into the main vessel of a nuclear reactor of the molten combustible salt type which obviates this serious disadvantage in a simple and effective manner. This heat exchanger integrated into the main vessel of a molten combustible salt reactor comprises a reactor skirt containing the active core, a main vessel surrounding the reactor skirt, pumps and primary exchangers, an outer vessel which doubles the main vessel, a thermostatic coolant between the main and outer vessels maintaining the main vessel wall at a temperature below the melting temperature of a crust of salt which is inactive from a nuclear standpoint and which forms a coating of solid salt protecting the inner surface of said main vessel, wherein the calories are extracted from the core by means of autonomous heat transfer modules each comprising a primary exchanger and a pump, whereby each module is suspended in the intermediate space between the main vessel and the reactor skirt and supported by a bearing surface whose base is located on a cooperating bearing surface provided around an opening made in the wall of a supporting ferrule fixed close to the bottom of the reactor skirt and over the entire circumference of the latter, said ferrule extending from the skirt to the vicinity of the main vessel in the solid protective salt crust. According to a preferred embodiment of the invention, the bearing surface of the module has a spherical profile and cooperates with a planar supporting surface. According to a special feature of the invention, each autonomous module is suspended elastically on a structure which is generally made from concrete and forming the upper slab of the reactor in such a way as to permit a limited angular displacement of the assembly comprising an exchanger, a pump and optionally a flow regulating valve. According to another feature of the invention, the supporting ferrule has a conical surface fixed by one side to the reactor skirt and whose opposite end towards the main vessel is left free. On the reactor core periphery, said ferrule has openings which cooperate with the lower part of each autonomous module and permit the forcing back of the cold salt within the reactor skirt. Moreover, the periphery of each of these openings has a planar bearing surface cooperating with the homologous spherical bearing surface of each autonomous module which ensures both the support and sealing at the contact area between said module and said ferrule. On the basis of the above features, two special embodiments can be envisaged. According to a first embodiment, each opening made in the wall of the ferrule sealingly cooperates with the lower part of the core by means of a delivery pipe which serves to pipe the cold salt from the pump to the base of the reactor, the end of the ferrule being free in the inner area of the main vessel in the solid protective salt zone. In a construction of this type a delivery pipe serves to pipe the cold salt from the base of each autonomous module to a return opening in the reactor skirt, whereby said delivery pipe is tightly sealed. The lower part of the main vessel of the reactor cannot therefore be subject to the delivery pressure of the cold salt. As a result, the sealing between the main vessel and the ferrule is unnecessary at the inner periphery of the latter. This variant is of interest for the latter reason and due to the fact that the delivery pipe is in principle made from the same material as the vessels and ferrule and is at the same temperature so that there is no longer any danger from the thermal stresses occurring in the prior art devices where a transverse hydraulic pipe was necessary for ensuring the delivery of the cold salt from the base of each exchanger to the base of each pump. However, differential expansion problems may possibly occur during accidental transient operating cycles in which the various elements constituting the ferrule and delivery pipe may not have the same thermal response time and may consequently be heated to different temperatures. This disadvantage is completely eliminated with a second embodiment of the invention in which each opening in the ferrule wall communicates directly with the space in the lower area between the main vessel and the reactor skirt, whereby the base of the latter has direct passages for the return of the cold salt into the reactor core. On the side of the main vessel this space is sealed by the solid salt crust in which is arranged the free end of the conical ferrule. The essential advantage of said second variant is that the solid salt crust which is hypothetically provided along the inner wall of the main vessel results in an adequate sealing between the free floating end of the ferrule and the main vessel. This sealing can be made even more reliable in accordance with a special arrangement provided by the invention whereby the tapered end of the ferrule cooperates with an annular boss on the main vessel, said ferrule engaging beneath said boss in the solid salt crust area. During operation this arrangement permits all relative radial and heightwise expansions between the end of the ferrule and the main vessel without there being any break in the sealing because the boss and the end of the ferrule are always contained in the zone filled by the internal crust of salt which is neutral from the nuclear standpoint. According to this second embodiment of the invention, all the lower annular part between the reactor skirt, the main vessel and the ferrule is filled by cold salt delivered by the pumps of the autonomous heat transfer modules and consequently this space is subject to the pressure of said pumps which does not constitute a disadvantage. The return of the cold salt to the inside of the reactor skirt is ensured by openings made in the lower part of the reactor skirt beneath the ferrule. Therefore, the most important feature of the heat exchanger according to the invention is that it permits by simple means the elimination of the thermal stresses occurring in the prior art as a result of the spatial division of the functions of the exchanges and the pumps whilst ensuring for each autonomous module a tightly sealed support which permits the displacements made necessary by the differential thermal expansion of each of said autonomous modules. Moreover, as said tight support is provided by simple cooperation between a spherical bearing surface and a conical planar bearing surface, the fitting and/or removal of each autonomous module is made possible by a simple vertical translation without it being necessary to disassemble any member in the vicinity of the reactor core. |
description | The present invention relates to a method for high-resolution microscopic observation of the surface structure, and at the same time of the molecular composition associated with the observed structure elements, of a sample surface. The invention also relates to an apparatus having a specially adapted scanning force microscope and a specially adapted mass spectrometer for carrying out the method. A scanning force microscope (SFM) scans the surface structure of a sample to be examined, by means of a piezoelectric mechanism. The mechanism can be moved not only on the sample plane (x/y direction) but also at right angles to it in the z direction. First of all, the sample is moved into contact with a tip by moving it in the z direction. The tip is located at the free end of a cantilever which is clamped in at one end. The cantilever typically has a length of between 10 μm and 500 μm, and the tip is ideally atomically sharp. The cantilever and tip are generally integrated and, in most commercial products at the moment, are composed of silicon or silicon nitride. The bending of the cantilever as a result of the force between the sample and the tip is normally measured by means of the optical pointer principle, and is set to a desired (nominal) value. In the so-called contact mode, an image of the sample surface is obtained as follows: while a section of the sample surface is being scanned, any further bending of the cantilever resulting from the sample topology is fed back to the nominal value. The setting of the scanning unit in the z direction as a function of each point on the x/y plane reflects the sample topology, and is recorded. In the so-called intermittent contact mode, the cantilever is caused to oscillate close to its mechanical resonant frequency before being moved towards the sample. After being moved towards the sample, the tip then touches the sample briefly on one occasion in each oscillation cycle. This leads to attenuation of the oscillation and thus to a reduced oscillation amplitude, which is measured and is set to a specific value as a measure of the intensity of the interaction between the sample and the tip. The sample surface is now imaged as described above. Time-of-flight (TOF) mass spectroscopy is used to examine the molecular composition of an analyte on the basis of the molecular masses of the components. The elements of a sample to be examined are changed from the solid phase to the gas phase in different ways in a vacuum system. By way of example, one sample region is bombarded with a laser pulse for this purpose. In the process, charged molecules or molecule fragments which are accelerated by means of electrodes in a flight tube from which the air has been removed strike a detector after a flight path of, for example, 60 to 100 cm. The molecular weight is calculated from the time of flight: the heavier the molecule, the longer is the time of flight. This method is highly sensitive and accurate; only subpeco molar quantities are required. In principle, it is technically possible to detect individual ions in a TOF arrangement. The error is around ±0.05 Da per 1000 Da. The primary aim of scanning force microscopy is to allow the state of the sample surface to be assessed by imaging the structure. If the preconditions are ideal, the atomic structure of a sample surface can be resolved. This applies to surface of crystalline structures and, to a restricted extent, to high-order organic and inorganic adsorbates on surfaces. In this situations, the state of the sample surface can be assessed directly. However, depending on the sample, the resolution is generally not achieved, and the topography does not provide sufficient information to make an assessment of the state of a sample surface. In these situations, it is necessary to identify the local chemical nature or the local molecular composition of a sample surface by means other than microscopic structure analysis. This statement relates not only to scanning force microscopy but also to every other microscopic method (electron microscopy, optical microscopy, etc.). Methods are therefore used which combine microscopic imaging with chemical analysis, in the wide or narrow sense. The following text describes two methods which are related to the method according to the method and are based on local ablation of the surface, followed by mass spectroscopy. In laser disorption mass spectrometry (LAMMA), a laser pulse is focused onto a sample point chosen by means of conventional optical microscopy. This leads to local ablation of the sample and to the production of molecule ions from the locally ablated material. The ions are accelerated in the electrical field and are identified on the basis of their molecular mass by means of a time of flight mass spectrometer. One arrangement from LAMMA (LAMMA 2000; Spengler B. and Hubert, M.: Scanning Microprobe Matrix-Assisted Laser Desorption Ionization (SMALDI) Mass Spectrometry: Instrumentation for Sub-Micrometer Resolved LDI and MALDI Surface Analysis, J. Soc. Mass. Spectrom. 13, 735-748, 2002) should be mentioned in particular, in which the described principle has been optimized for combined imaging of the structure by means of confocal optical microscopy and local molecular composition by means of mass spectrometer of samples. In this arrangement, both the optical resolution and the minimum sample region from which ions can be obtained and detected are diffraction-limited. An optical and analytical resolution of 0.5 μm has been achieved in practice, that is to say the minimum analyzed sample region had a diameter of 0.5 μm. Time-of-flight secondary ion mass spectrometry (TOF-SIMS) is one analytical method for locally resolved chemical characteristic of material surfaces of an inorganic, organic and biological nature. The method is based on time-resolved recording of secondary ions which are produced by bombardment of the surface with high-imaging primary ions (Cs+, Ga+). In this case, the primary ion beam is highly focused and is scanned over the sample. The secondary ions released during the process are accelerated into the flight tube of a TOF mass spectrometer. Since the effective verification depth is only about 1 nanometer, the measured mass spectrum is composed only of the chemical components from the uppermost molecular layers. The lateral resolution of the ion images is about 1 micrometer. The methods as described above for locally resolved chemical characterization of a sample surface vary with respect to the minimum analyzed sample region within the resolution range of conventional optical microscopy. This is inadequate for many requirements in medicine, engineering and science. By way of example, cell membranes are laterally organized in a complex manner. In this case, so-called lipid rafts represent the functional units of a large number of membrane-bound processes. Their diameter is about 60 nanometers. Analysis of their individual composition will be of critical importance for complete understanding of the membrane-bound processes that have been mentioned. The combination of structured imaging in the nanometer range with mass spectroscopy with corresponding position resolution promises an answer to the requirements that have been mentioned, and to a large number of other requirements. A combination of a scanning probe technique (for example, SFM) with mass spectroscopy may be used for this purpose. In fact, so far, the option of combination of mass spectroscopy with high-resolution scanning force microscopy has been investigated in different ways by different authorities. Either sample material has been deliberately ablated by lateral injection of pulsed laser light into the gap between the sample and the SFM tip, or pulsed laser light has been used to illuminate the sample, in the form of a pulse, through a glass fiber with a conical tip in a so-called aperture (SNOM (scanning near-field optical microscope). Both strategies make use of the principle of near-field optics, that is to say the tip is used to produce an illumination spot which is considerably smaller than the smallest possible diameter of an illumination spot produced by conventional optics. This has made it possible to reproducibly produce holes with a diameter of a few nanometers. In both cases, ions that were produced were sucked out laterally from the tip region. However, surprisingly, it has not so far been possible to achieve an unambiguous association between ions and a defined region in the near field of the tip. This problem is a result of the ions being sucked out inefficiently from the near field of the tip and sample. Our own experimental investigations and model calculations have confirmed the unsatisfactory finding: the transmission of ions that are produced into the flight tube of a mass spectrometer is poor and is dependent in a manner which cannot be calculated on the geometric conditions immediately at the point at which the ions are produced. In summary, universal chemical analysis of surfaces with a position resolution in the nanometer range has not yet been available. The object of the invention is to specify an improved method and an improved apparatus in which ions are produced in a very small volume in the near field of a tip/sample region which can be selected by the scanning force microscope, and are passed on with a high transmission level for mass spectrometry. According to the invention, the object is achieved by an apparatus as claimed in the independent claim 1, and by a method as claimed in the independent claim 7. According to one aspect, a method is provided in which a scanning force microscope is operated with a cantilever with an integrated hollow tip. The hollow tip has a small aperture opening on the sample side. The aperture preferably has a diameter which is considerably smaller than the wavelength of the light that is used. An illumination spot is thus produced on the sample on the basis of the principle of near-field optics, with a diameter which is considerably less than the diffraction-limited illumination spot of conventional optics. The hole in the tip widens increasingly towards the rear side, where it has its largest opening. In particular, the method (i) allows an unambiguous association between all the observed ions and a defined sample region; (ii) association of the observed ions with the sample topology; and (iii) local resolution, both of the topology and of the local molecular composition, below the resolution limit of conventional optical systems. Scanning force microscopy is preferably carried out conventionally, as described above, using the intermittent contact mode or the contact mode. The microscopy is preferably carried out in a hard vacuum. As an alternative to scanning force microscopy, it is also feasible to adapt other scanning probe techniques for use in the described method. FIGS. 1A, 1B, 2A and 2B show schematic illustrations in order to explain two embodiments of the method for locally high-resolution, mass-spectroscopic characterization of surfaces by means of a scanning probe technique. In both embodiments, scanning force microscopy is combined with the capability to ablate surface material from the sample at any point x, y, and carry out mass-spectroscopic analysis of ionized sample material. This is done by using a cantilever 1 with a tip 2 with an axial, conical hole through it. The hole opens with a defined aperture at the apex 3 of the tip. The aperture is used as an outlet opening for a focused laser pulse 10 onto the sample 30, and as an inlet opening for molecular ions 20 which are produced after a laser pulse in the area of the illuminated sample region. The sample is generally illuminated coaxially with respect to the longitudinal axis of the tip, through the hole in it. The ions are preferably likewise extracted coaxially with respect to the tip, and through the hole. For extraction, the flight tube 21 is connected to an electrical potential relative to the sample. An electrical field is formed, largely axially symmetrically with respect to the flight tube/tip axis. The field penetrates the hole in the tip and leads to extraction of the ions. If the flight tube is at a relatively low potential, ions with a positive total charge are extracted, and vice versa. The high degree of axial symmetry of the arrangement and thus of the field leads to largely axial extraction and to axial flight of the ions. Additional ion optics in the flight tube (not illustrated) are used to pass back ions which do not fly exactly axially. The area from which the material is ablated is governed by the size of the aperture in the hollow tip. The aperture diameter is typically considerably less than the wavelength of the light that is used. The embodiments in FIGS. 1A, 1B and 2A, 2B differ in how the laser light is injected: in the embodiment shown in FIGS. 1A and 1B, an objective 11 is located at the side, alongside the flight tube, for focusing. The optical axis 12 is initially at right angles to the axis of the flight tube 21. The light enters the flight tube via a window, is deflected in the axial direction by means of a mirror 13, and is focused in the hole in the tip. The mirror has a central hole 24 for the ions to pass through. In the embodiment shown in FIGS. 2A and 2B, the objective is coaxial with respect to the flight tube. The flight tube is introduced into a central hole 24 in the objective. Collimated laser light is reflected into the beam path downstream from the objective. In this case as well, the mirror has a central hole for the ions to pass through. The features of the invention as disclosed in the present description, in the claims and in the drawing may be significant to the implementation of the various embodiments of the invention both individually and in any given combination. |
|
abstract | An apparatus for producing light includes a chamber and an ignition source that ionizes a gas within the chamber. The apparatus also includes at least one laser that provides energy to the ionized gas within the chamber to produce a high brightness light. The laser can provide a substantially continuous amount of energy to the ionized gas to generate a substantially continuous high brightness light. |
|
claims | 1. A method comprising performing, by a computer system:obtaining a cost function that outputs a quality score, the quality score depending on a position of a static field, wherein the static field is defined in a radiation treatment template that includes an arc field, wherein the arc field is configured to provide radiation to a target area of a patient via movement of a gantry of a radiation treatment system along an arc field pathway, and wherein the static field is configured to provide radiation to the target area while the gantry is stationary;optimizing the position of the static field by changing the position of the static field and identifying an optimal position having an optimal quality score; andgenerating a radiation treatment plan using the arc field with the arc field pathway and the static field having the optimal position, the radiation treatment plan including specifications for providing radiation with the radiation treatment system to the patient over time, along the arc field pathway and at the optimal position. 2. The method of claim 1, wherein obtaining a cost function includes:determining a dose distribution based on an initial position of the static field as defined in the radiation treatment template, the dose distribution including different doses associated with different locations inside the patient;determining a dose gradient based on the dose distribution, the dose gradient indicating, for each location of the different locations, a direction of change for the position of the static field that causes the greatest increase in dose at the location; anddetermining one or more quality index functions associated with one or more volumes based on the dose gradient, each quality index function indicating a direction of change for the position of the static field that causes the greatest increase in a mean dose at the associated volume, wherein the cost function includes the one or more quality index functions. 3. The method of claim 1, wherein the quality score is improved when a mean dose for the target area is increased and when a mean dose for a healthy organ of the patient is decreased. 4. The method of claim 3, wherein the cost function includes a first term associated with the mean dose for the target area, the first term including a minimum mean dose value, and wherein the cost function includes a second term associated with the mean dose for the healthy organ, the second term including a maximum mean dose value. 5. The method of claim 4, wherein the quality score is penalized according to a first penalization amount when the mean dose for the target area is less than the minimum mean dose value, the first penalization amount increasing as the mean dose for the target area is reduced, and wherein the quality score is penalized according to a second penalization amount when the mean dose for the healthy organ exceeds the maximum mean dose value, the second penalization amount increasing as the mean dose for the healthy organ increases. 6. The method of claim 1, wherein changing the position of the static field includes:constraining the position of the static field to be located within a predefined distance of an initial position of the static field, the initial position being defined in the radiation treatment template. 7. A computer system comprising:a memory storing instructions; andone or more processors configured to execute the instructions, wherein when executed, the instructions cause the one or more processors to:obtain a cost function that outputs a quality score, the quality score depending on a position of a static field, wherein the static field is defined in a radiation treatment template that includes an arc field, wherein the arc field is configured to provide radiation to a target area of a patient via movement of a gantry of a radiation treatment system along an arc field pathway, and wherein the static field is configured to provide radiation to the target area while the gantry is stationary;optimize the position of the static field by changing the position of the static field and identifying an optimal position having an optimal quality score; andgenerate a radiation treatment plan using the arc field with the arc field pathway and the static field having the optimal position, the radiation treatment plan including specifications for providing radiation with the radiation treatment system to the patient over time, along the arc field pathway and at the optimal position. 8. The computer system of claim 7, wherein to obtain the cost function, the one or more processors are configured to:determine a dose distribution based on an initial position of the static field as defined in the radiation treatment template, the dose distribution including different doses associated with different locations inside the patient;determine a dose gradient based on the dose distribution, the dose gradient indicating, for each location of the different locations, a direction of change for the position of the static field that causes the greatest increase in dose at the location; anddetermine one or more quality index functions associated with one or more volumes based on the dose gradient, each quality index function indicating a direction of change for the position of the static field that causes the greatest increase in a mean dose at the associated volume, wherein the cost function includes the one or more quality index functions. 9. The computer system of claim 7, wherein the quality score is improved when a mean dose for the target area is increased and when a mean dose for a healthy organ of the patient is decreased. 10. The computer system of claim 9, wherein the cost function includes a first term associated with the mean dose for the target area, the first term including a minimum mean dose value, and wherein the cost function includes a second term associated with the mean dose for the healthy organ, the second term including a maximum mean dose value. 11. The computer system of claim 10, wherein the quality score is penalized according to a first penalization amount when the mean dose for the target area is less than the minimum mean dose value, the first penalization amount increasing as the mean dose for the target area is reduced, and wherein the quality score is penalized according to a second penalization amount when the mean dose for the healthy organ exceeds the maximum mean dose value, the second penalization amount increasing as the mean dose for the healthy organ increases. 12. The computer system of claim 7, wherein the instructions, when executed, further cause the one or more processors to:control the gantry of the radiation treatment system according to the radiation treatment plan. 13. The computer system of claim 7, wherein changing the position of the static field includes:constraining the position of the static field to be located within a predefined distance of an initial position of the static field, the initial position being defined in the radiation treatment template. 14. The computer system of claim 7, wherein identifying the optimal position having the optimal quality score includes:generating quality scores by evaluating the cost function using a model of the patient, wherein the model of the patient is based on one or more images of the patient. 15. A non-transitory computer readable storage medium storing program code executable by a computer system, the program code configured to cause the computer system to perform the steps of:obtaining a cost function that outputs a quality score, the quality score depending on a position of a static field, wherein the static field is defined in a radiation treatment template that includes an arc field, wherein the arc field is configured to provide radiation to a target area of a patient via movement of a gantry of a radiation treatment system along an arc field pathway, and wherein the static field is configured to provide radiation to the target area while the gantry is stationary;optimizing the position of the static field by changing the position of the static field and identifying an optimal position having an optimal quality score; andgenerating a radiation treatment plan using the arc field with the arc field pathway and the static field having the optimal position, the radiation treatment plan including specifications for providing radiation with the radiation treatment system to the patient over time, along the arc field pathway and at the optimal position. 16. The non-transitory computer readable storage medium of claim 15, wherein obtaining a cost function includes:determining a dose distribution based on an initial position of the static field as defined in the radiation treatment template, the dose distribution including different doses associated with different locations inside the patient;determining a dose gradient based on the dose distribution, the dose gradient indicating, for each location of the different locations, a direction of change for the position of the static field that causes the greatest increase in dose at the location; anddetermining one or more quality index functions associated with one or more volumes based on the dose gradient, each quality index function indicating a direction of change for the position of the static field that causes the greatest increase in a mean dose at the associated volume, wherein the cost function includes the one or more quality index functions. 17. The non-transitory computer readable storage medium of claim 15, wherein the quality score is improved when a mean dose for the target area is increased and when a mean dose for a healthy organ of the patient is decreased. 18. The non-transitory computer readable storage medium of claim 17, wherein the cost function includes a first term associated with the mean dose for the target area, the first term including a minimum mean dose value, and wherein the cost function includes a second term associated with the mean dose for the healthy organ, the second term including a maximum mean dose value. 19. The non-transitory computer readable storage medium of claim 18, wherein the quality score is penalized according to a first penalization amount when the mean dose for the target area is less than the minimum mean dose value, the first penalization amount increasing as the mean dose for the target area is reduced, and wherein the quality score is penalized according to a second penalization amount when the mean dose for the healthy organ exceeds the maximum mean dose value, the second penalization amount increasing as the mean dose for the healthy organ increases. 20. The non-transitory computer readable storage medium of claim 15, wherein identifying the optimal position having the optimal quality score includes:generating quality scores by evaluating the cost function using a model of the patient, wherein the model of the patient is based on one or more images of the patient. |
|
042077230 | abstract | A system is disclosed for canning, inspecting and transferring to a storage area fuel and reflector elements from a nuclear reactor, which system includes a transfer chute, environmental chamber, conveyor and canning mechanism operative to remove and replace closures on containers into which fuel and reflector elements are inserted or from which stored elements are removed while maintaining a sealed gaseous environment and permitting visual and mechanical inspection of the elements by an operator located in a remote shielded area. |
abstract | A wafer holder assembly includes first and second main structural members from which first and second wafer-holding arms extend. The first arm is secured to the main structural members by a graphite distal retaining member. The second arm is pivotally biased to a wafer-hold position by a graphite bias member. This arrangement provides a conductive path from the wafer to the assembly for inhibiting electrical discharges from the wafer during the ion implantation process. The assembly can further include additional graphite retaining members for maintaining the structural integrity of the assembly during the extreme conditions associated with SIMOX wafer processing without the need for potentially wafer-contaminating adhesives and conventional fasteners. The wafer-contacting pins at the distal end of the arms can be formed from silicon. The silicon pins can be coated with titanium nitride to enhance electrical contact with the wafer and to provide an abrasion resistant surface. The pins can have a limited profile to minimize the amount of pin material proximate the wafer for reducing the likelihood of electrical arcing from the wafer to the pin. |
|
claims | 1. An illumination system comprising: a primary light source; a device for producing secondary light sources having at least a first mirror or lens, which is divided into raster elements; and one or more first optical elements, being arranged between said device and an image plane of the illumination system, wherein said first optical elements image said secondary light sources in an exit pupil of the illumination system; wherein said primary light source emits a beam with wavelengths xe2x89xa6193 nm in a first plane, and wherein said beam has a first beam divergence smaller than 5 mrads in a second plane perpendicular to said first plane. 2. The illumination system according to claim 1 , wherein said beam comprises a second beam divergence less than 100 mrads in said first plane. claim 1 3. The illumination system according to claim 1 , further comprising a collector unit having one or more second optical elements, said second optical elements for increasing said first beam divergence. claim 1 4. The illumination system according to claim 3 , wherein said second optical elements comprise a second mirror or lens, said second mirror or lens having a negative optical power to increase said first beam divergence. claim 3 5. The illumination system according to claim 4 , wherein said second mirror or lens is a grazing-incidence mirror. claim 4 6. The illumination system according to claim 3 , wherein said second optical elements comprise a scanning mirror. claim 3 7. The illumination system according to claim 3 , wherein said collector unit comprises one or more third optical elements, said third optical elements for collecting said beam. claim 3 8. The illumination system according to claim 7 , wherein said third optical elements comprise a second mirror or lens, said second mirror or lens having a positive optical power. claim 7 9. The illumination system according to claim 3 , wherein said collector unit transforms said beam to produce a circular illumination at said first mirror or lens. claim 3 10. The illumination system according to claim 3 , wherein said collector unit images said primary light source in a diaphragm plane. claim 3 11. The illumination system according to claim 3 , wherein said collector unit images said primary light source in said image plane. claim 3 12. The illumination system according to claim 7 , wherein said third optical elements comprise said first mirror or lens, and wherein said raster elements are arranged to collect said beam. claim 7 13. The illumination system according to claim 12 , wherein said raster elements has a center ray, and wherein said raster elements are arranged on a surface of said first mirror or lens such that at least two of said center rays intersect each other in said image plane. claim 12 14. The illumination system according to claim 12 , wherein said raster elements have positive optical power, such that said secondary light sources are formed in a diaphragm plane. claim 12 15. The illumination system according to claim 1 , wherein said beam has a second beam divergence less than 5 mrads. claim 1 16. The illumination system according to claim 15 , further comprising a collector unit having one or more second optical elements, said second optical elements for increasing said first and second beam divergences. claim 15 17. The illumination system according to claim 1 , wherein said wavelengths are in a range of about 10 nm to 15 nm. claim 1 18. The illumination system according to claim 1 , wherein said primary light source is a synchrotron radiation source. claim 1 19. The illumination system according to claim 1 , wherein said raster elements of said first mirror or lens produce said secondary light sources. claim 1 20. The illumination system according to claim 1 , wherein said raster elements are arranged such that images of said raster elements are superimposed, at least partially, in said image plane. claim 1 21. The illumination system according to claim 1 , wherein said raster elements of said first mirror or lens are arranged such that said exit pupil is homogeneously illuminated. claim 1 22. The illumination system according to claim 1 , wherein said raster elements are formed as planar facets. claim 1 23. The illumination system according to claim 22 , wherein each of said planar facets has a center ray, and wherein said planar facets are arranged on a surface of said first mirror or lens such that at least two of said center rays intersect each other in said image plane. claim 22 24. The illumination system according to claim 1 , wherein said raster elements have positive optical power such that said secondary light sources are formed in a diaphragm plane. claim 1 25. The illumination system according to claim 1 , further comprising a second mirror or lens with raster elements, wherein said first mirror or lens comprises a plurality of field raster elements, and wherein said second mirror or lens comprises a plurality of pupil raster elements. claim 1 26. The illumination system according to claim 25 , wherein said pupil raster elements are located at or nearby a site of said secondary light sources. claim 25 27. The illumination system according to claim 25 , wherein each of said field raster elements corresponds to one of said pupil raster elements, and wherein each of said pupil raster elements images its corresponding field raster element in said image plane. claim 25 28. The illumination system according to claim 25 , wherein said field raster elements and said pupil raster elements are arranged to provide a light path between a pair of said field raster elements and said pupil raster elements. claim 25 29. The illumination system according to claim 1 , wherein said raster elements have an anamorphotic power for reducing an aspect ratio of said raster elements. claim 1 30. An projection exposure apparatus for microlithography comprising: an illumination system according to claim 1 ; claim 1 a mask on a first carrier system, said mask being positioned in said image plane of the illumination system; a projection objective with an entrance pupil, said entrance pupil being in a same plane as said exit pupil of the illumination system; and a light-sensitive object on a carrier system, wherein said mask is imaged by said projection objective onto said light-sensitive object. 31. A process for producing microelectronic components, particularly semiconductor microdevices, comprising the step of utilizing a projection exposure apparatus according to claim 30 . claim 30 |
|
054085080 | summary | BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a system for testing a plurality of control rod clusters positioned in a reactor vessel for any obstructions during insertion and withdrawal of the control rod clusters from a core of the reactor vessel and, more particularly, to a system for simultaneously testing all the plurality of control rod clusters. 2. Background of the Related Art In nuclear power generation, a reactor vessel is located in a containment building and is the primary vessel wherein heat is generated for producing steam. The reactor vessel includes a flanged body having a flanged, removable upper head bolted atop its upper portion for forming a sealed enclosure. Fuel pellets, which are located within fuel assemblies, are positioned within the reactor vessel for producing a controlled nuclear fission which, in turn, generates the necessary heat. The containment building functions to contain any unlikely radiation leakage from the reactor vessel within the containment building. To control the nuclear fission process, a plurality of control rods are either selectively inserted or withdrawn from the fuel assemblies. Control rods are typically stainless steel tubes encapsulating an absorber material, and are grouped together in a predetermined number, generally sixteen, forming a control rod cluster. There are typically sixteen control rod clusters in the reactor vessel. The control rod clusters extend into the fuel assemblies when fully inserted, and when the control rod clusters are withdrawn, they extend up and away from the fuel assemblies. Each control rod cluster is attached to a control rod drive mechanism (CRDM) for axially moving the absorber material within the stainless steel tubes. An electromagnetic coil stack assembly is attached to the CRDM for electromagnetically supplying the CRDM the energy necessary to move the control rod clusters. A switchgear panel is connected to and supplies the electrical power to the coil stack assembly. A rod position indicator (RPI) is attached atop the electromagnetic coil stack assembly and, in cooperation with a RPI data cabinet electrically connected to the RPI, monitors the position of the control rod clusters. It is instructive to note that all of the above components, except the switchgear panel and the RPI data cabinet, are located within the containment building. Due to safety regulations and the like, before starting-up the plant, each control rod cluster should be tested to ensure they may be inserted into and withdrawn from the fuel assemblies without hitting any obstructions. Present devices for testing the control rod clusters, as are well known in the art, insert them one cluster at a time. In such prior testing arrangements, an oscillograph is manually attached to the RPI data cabinet for receiving a digital signal and providing a trace test signal of the control rod cluster during testing. To start testing, test personnel energize the coil stack assemblies which fully withdraws the control rods, and then de-energize a predetermined coil stack assembly, such as by manipulating a switch in the switchgear panel, for fully inserting a control rod cluster. The oscillograph traces the fall of the preselected control rod cluster into the fuel assemblies on photosensitive paper for visual inspection by the test personnel to determine if any problem exists, as is well known in the art. Although the present devices are satisfactory, they are not without drawbacks. The present device is time consuming because each control rod cluster is tested separately. In addition, if one wished to simulate an accident condition, all the control rod clusters should be inserted simultaneously. Such accident conditions may not be simulated by the present devices because the clusters may not be tested simultaneously. Further, some of the test personnel are required to be within the containment building during testing to operate the oscillograph, and this requires the test personnel to be temporarily exposed to radiation. Therefore, a need exists for a system for simultaneously testing a plurality of control rod clusters: SUMMARY OF THE INVENTION The present invention provides an improvement designed to satisfy the aforementioned needs. Particularly, the present invention is directed to a system for simultaneously testing at least any two control rod clusters contained within a reactor vessel, the system comprising: a) a control rod drive mechanism attached to the control rod clusters for retracting said control rod clusters from the reactor vessel to a position suitable for testing; b) electrical power means connected to said control rod drive mechanism for supplying electrical power to said control rod drive mechanism and for terminating the power to said control rod drive mechanism and, when terminated, causing all said control rod clusters to fall into the reactor vessel; c) a rod position indicator attached to said control rod drive mechanism for monitoring the position of said control rod clusters; and d) computing means operatively connected to said rod position indicator and receiving a signal representing the fall time of each control rod cluster for generating an elapsed time profile of all said control rod clusters falling into the reactor vessel. In another broad form, the invention is directed to a method for simultaneously testing at least any two control rod clusters contained within a reactor vessel, comprising the steps of: (a) withdrawing the two control rod clusters to a position suitable for testing; (b) causing the two control rod clusters to simultaneously fall into a core of the reactor vessel; (c) transmitting a signal to a computing means representing the fall time of each tested control rod cluster; and (d) generating an elapsed time profile for each tested control rod cluster by the computing means. It is an object of the present invention to provide a system for simultaneously testing a plurality of control rod clusters. It is also an object of the present invention to minimize any exposure to radiation by maintenance personnel during testing. It is a feature of the present invention to provide a computing means for generating an elapsed time profile of all the plurality of control rod clusters during testing and then displaying all the elapsed time profiles of the plurality of control rods in a graphical form suitable for visual inspection. It is an advantage of the present invention to provide the display of all the plurality of control rod clusters on a display screen in one millisecond increments. It is also an advantage to generate dashpot entry time and turnaround time by the computing means. These and other objects, features, and advantages will become apparent to those skilled in the art upon a reading of the following detailed description when taken in conjunction with the drawings wherein there is shown and described illustrative embodiments of the invention. |
claims | 1. A transportation container for a syringe containing a radioactive material, the container to be handled by a worker, the transportation container comprising: a body having an upper end, a lower end, and an interior surface defining an internal chamber sized to enclose the syringe, wherein the internal chamber is surrounded by radiation resistant material; and, a grip on the upper end of the body spaced apart from a connection point of the upper and lower ends, the grip having an exterior surface defining an enlarged area to be grasped by the worker, wherein the grip is a separate piece formed of an impact resistant material. 2. The transportation container of claim 1 , wherein the grip extends around the upper end of the body. claim 1 3. The transportation container of claim 2 , wherein the grip is attached by frictional engagement with the upper end of the body. claim 2 4. The transportation container of claim 1 , further comprising an enlarged base disposed about the lower end of the body, wherein the base is enlarged relative to the lower end of the of the body. claim 1 5. The transportation container of claim 4 , wherein the base has an enlarged bottom end, enlarged relative to an upper portion of the base. claim 4 6. The transportation container of claim 5 , further comprising a sharps container sized to enclose the syringe, and wherein the internal chamber of the body is sized to enclose the sharps container. claim 5 7. The transportation container of claim 6 , wherein the body is made of tungsten. claim 6 8. A transportation container for a syringe containing it radioactive material, the container to be manually handled by a worker, the transportation container comprising: a tubular body formed of tungsten having an upper end, a lower end, and an interior surface defining an internal chamber sized to enclose the syringe, the upper and lower ends each having a threaded opening configured to releasably secure the ends to one another, wherein the internal chamber is surrounded by radiation resistant material; and a grip on the upper end of the body, the grip having an exterior surface defining an enlarged area to be grasped by the worker, the grip being a separate piece mounted on the upper end of the body spaced apart from a connection region of the upper and lower ends. 9. The transportation container of claim 8 , wherein the grip extends around the upper end of the body. claim 8 10. The transportation container of claim 9 , wherein the grip is tubular and is attached by frictional engagement with the upper end of the body. claim 9 11. The transportation container of claim 8 , further comprising a base disposed about the lower end of the body, the base having a diameter greater than the lower end of the body. claim 8 12. The transportation container of claim 11 , wherein the base is tubular and has an enlarged bottom end, enlarged relative to an upper portion of the base. claim 11 13. The transportation container of claim 8 , further comprising a sharps container sized to enclose the syringe, and wherein the internal chamber of the body is sized to enclose the sharps container. claim 8 14. A method of transporting a syringe containing a radioactive material to a location for use and confining the syringe within a protective container having a housing that can mate with one of two different-sized caps, the protective container itself enclosed in a radiopharmaceutical pig, the method comprising: inserting the syringe into the housing of the protective container; attaching one cap to the housing of the protective container to enclose the syringe therein; assembling the radiopharmaceutical pig to enclose the protective container enclosing the syringe; transporting the radiopharmaceutical pig to the location for use; disassembling the radiopharmaceutical pig; removing the first cap from the protective container; discharging at least some of the radioactive material from the syringe, resulting in a spent syringe; placing the spent syringe in the housing of the disposal container; and attaching the other cap to the housing to enclose the spent syringe therein. 15. The method of claim 14 , further comprising: claim 14 after the spent syringe is enclosed in the protective container reassembling the radiopharmaceutical pig to contain the protective container holding the spent syringe therein for transport to a disposal area without exposing the spent syringe; transporting the radiopharmaceutical pig from the location of use to the disposal area; disassembling the radiopharmaceutical pig; removing the protective container containing the spent syringe from the radiopharmaceutical pig; and disposing of the protective container containing the spent syringe without exposing the spent syringe. 16. A transportation container for a syringe containing a radioactive material, the transportation container comprising: a body having an upper end, a lower end, and an interior surface defining an internal chamber sized to enclose the syringe, wherein the internal chamber is surrounded by radiation resistant material; and a sharps container assembly having a housing sized to conformably receive a body portion of the syringe, the housing having a mating end configured to mate with a cap, a first cap configured to mate with the housing to cooperatively enclose the syringe, the first cap sized to accommodate a plunger of the syringe in an extended position, and a second cap configured to mate with the housing to cooperatively enclose the syringe, the second cap sized to accommodate the plunger of the syringe in a spent position; wherein the first cap is mated with the housing when the plunger is in the extended position and the second cap is mated with the plunger in the spent position. 17. The transportation container of claim 16 , further comprising a grip formed of a resilient material positioned on the upper end of the body spaced apart from a connection point of the upper and lower ends, the grip having an exterior surface defining an enlarged area to be grasped by the worker. claim 16 18. The transportation container of claim 16 , further comprising a base disposed about the lower end of the body, the base having a diameter greater than the lower end of the of the body. claim 16 19. The transportation container of claim 18 , wherein the base has a bottom end enlarged relative to an upper portion of the base. claim 18 |
|
claims | 1. An apparatus comprising:a pressurized water reactor (PWR) including:a pressure vessel,a nuclear reactor core disposed in the pressure vessel,a baffle plate disposed in the pressure vessel and separating the pressure vessel into an internal pressurizer volume disposed above the baffle plate and an operational PWR volume disposed below the baffle plate wherein the baffle plate includes a pressure transfer passage having a lower end in fluid communication with the operational PWR volume and an upper end in fluid communication with the internal pressurizer volume at a level below an operational pressurizer liquid level range, anda vent pipe having a lower end in fluid communication with the operational PWR volume and an upper end in fluid communication with the internal pressurizer volume at a level above the operational pressurizer liquid level range. 2. The apparatus of claim 1, wherein the vent pipe is transverse to and passes through the baffle plate. 3. The apparatus of claim 1, wherein the pressure transfer passage comprises at least one surge pipe passing through the baffle plate and having a lower end in fluid communication with the operational PWR volume and an upper end in fluid communication with the internal pressurizer volume at a level below the operational pressurizer liquid level range. 4. The apparatus of claim 1, wherein a fluid flow path in the pressure transfer passage is transverse to and passes through the baffle plate. 5. The apparatus of claim 1 wherein the baffle plate comprises first and second spaced apart plates. 6. The apparatus of claim 5, wherein the first and second spaced apart plates define an unsealed volume that fills with water when the baffle plate is immersed in water. 7. The apparatus of claim 1, wherein the baffle plate comprises first and second spaced apart plates defining a sealed volume. 8. The apparatus of claim 1, wherein the baffle plate comprises:first and second spaced apart plates defining a sealed volume; anda gas disposed in the sealed volume. 9. The apparatus of claim 1, wherein the baffle plate comprises a thermally insulating barrier between the internal pressurizer volume disposed above the baffle plate and the operational PWR volume disposed below the baffle plate. 10. The apparatus of claim 1, wherein the PWR further comprises:a central riser disposed in the pressure vessel and having a lower end arranged to receive upwardly flowing primary coolant from the nuclear reactor core and an upper end arranged to discharge the upwardly flowing primary coolant toward the baffle plate. 11. The apparatus of claim 10, wherein the PWR further comprises:a perforated screen surrounding the upper end of the central riser. 12. The apparatus of claim 11, wherein the perforated screen extends from the central riser to the baffle plate such that all upwardly flowing primary coolant discharging at the upper end of the central riser passes through the perforated screen. 13. The apparatus of claim 11, wherein the lower end of the pressure transfer passage is disposed outside the perforated screen. 14. An apparatus comprising:a pressurized water reactor (PWR) including:a pressure vessel configured to contain a nuclear reactor core, anda baffle plate disposed in the pressure vessel and separating the pressure vessel into an internal pressurizer volume disposed above the baffle plate and an operational PWR volume disposed below the baffle plate, the baffle plate comprising first and second spaced apart plates. 15. The apparatus of claim 14, wherein the first and second spaced apart plates define an unsealed volume that fills with water when the baffle plate is immersed in water. 16. The apparatus of claim 14, wherein the baffle plate comprises first and second spaced apart plates defining a sealed volume. 17. The apparatus of claim 16, wherein the baffle plate further comprises:a gas disposed in the sealed volume. 18. The apparatus of claim 14, wherein the baffle plate comprises a thermally insulating barrier between the internal pressurizer volume disposed above the baffle plate and the operational PWR volume disposed below the baffle plate. 19. The apparatus of claim 14, wherein the PWR further comprises:a vent pipe having a lower end in fluid communication with the operational PWR volume and an upper end in fluid communication with the internal pressurizer volume at a level above an operational pressurizer liquid level range. 20. The apparatus of claim 19, wherein the baffle plate includes a pressure transfer passage having a lower end in fluid communication with the operational PWR volume and an upper end in fluid communication with the internal pressurizer volume at a level below an operational pressurizer liquid level range. 21. The apparatus of claim 14, wherein the baffle plate includes a pressure transfer passage having a lower end in fluid communication with the operational PWR volume and an upper end in fluid communication with the internal pressurizer volume. 22. The apparatus of claim 21, wherein the pressure transfer passage comprises at least one surge pipe passing through the baffle plate. 23. An apparatus comprising:a baffle plate configured to be disposed in a pressurized water reactor (PWR) with a first side of the baffle plate facing an internal pressurizer volume and an opposite second side of the baffle plate facing an operational PWR volume; anda vent pipe passing through the baffle plate and having first end in fluid communication with the first side of the baffle plate and an opposite second end in fluid communication with the second side of the baffle plate;wherein the first end of the vent pipe, is relatively closer to the baffle plate and the second end of the vent pipe is relatively further away from the baffle plate. 24. The apparatus of claim 23, wherein a distance of the second end of the vent pipe from the baffle plate is effective to position the second end of the vent pipe at a level above an operational pressurizer liquid level range. 25. The apparatus of claim 23, wherein the baffle plate comprises first and second spaced apart plates defining an unsealed volume that fills with water when the baffle plate is immersed in water. 26. The apparatus of claim 23, wherein the baffle plate comprises first and second spaced apart plates defining a sealed volume. 27. The apparatus of claim 23, wherein the baffle plate comprises:first and second spaced apart plates defining a sealed volume; anda gas disposed in the sealed volume. 28. The apparatus of claim 23, wherein:the baffle plate includes a pressure transfer passage different from the vent pipe, the pressure transfer passage passing through the baffle plate and having first end in fluid communication with the first side of the baffle plate and an opposite second end in fluid communication with the second side of the baffle plate, andthe second end of the pressure transfer passage is relatively closer to the baffle plate than the second end of the vent pipe. 29. The apparatus of claim 28, wherein:a distance of the second end of the vent pipe from the baffle plate is effective to position the second end of the vent pipe at a level above an operational pressurizer liquid level range, anda distance of the second end of the pressure transfer passage from the baffle plate is effective to position the second end of the pressure transfer passage at a level below the operational pressurizer liquid level range. |
|
043127037 | abstract | A nuclear reactor installation comprising a housing including therein a reactor core and provided with means for dissipating the heat generated in the reactor core during operation, which means comprise a primary cooling fluid circuit passing at least one partly through the reactor core in which is included a pump, a secondary cooling fluid circuit arranged outside the housing of the nuclear reactor and consisting of at least one closed loop in which is included a pump, a loop of the primary circuit being coupled by means of a heat exchanger to a loop of the secondary circuit, said installation is also provided with means for dissipating the decay heat produced in the core of the nuclear reactor after the reactor has been switched off, which dissipation means are at least partly formed by the primary cooling fluid circuit. The means for dissipating the decay heat including a third cooling fluid circuit arranged outside the housing of the nuclear reactor and comprising at least one closed loop in which is included a pump, and is coupled to the external portion of the primary circuit by means of the same heat exchanger which couples the primary circuit to the secondary circuit. |
description | A detailed description of preferred embodiments embodying the present invention will now be given referring to the accompanying drawings. [First Embodiment] FIG. 19 shows an transmission electron microscope (referred to and abbreviated to as TEM hereinafter) used in the embodiments disclosed herein in accordance with the present invention. The TEM comprises an electron gun 11 and electron gun control circuit 11xe2x80x2, a condenser lens 12 and condenser lens control circuit 12xe2x80x2, a deflective coil for condenser system 13 and deflective coil control circuit for condenser system 13xe2x80x2, an objective lens 14 and objective lens control circuit 14xe2x80x2, a projector lens 15 and projector lens control circuit 15xe2x80x2, a deflective coil for condenser system 16 and deflective coil control circuit for condenser system 16xe2x80x2, an electron detector 17 and electron detector control circuit 17xe2x80x2, a specimen stage 18 and specimen stage control circuit 18xe2x80x2, a computer with control software and image processing software 19. Each of control circuits may receive control commands sent from the control software in the computer 19, perform controls and return the return value to the computer. The electron detector 17 is a detector constituted of a plurality of pixels such as a CCD camera, which may transmit signals of obtained images through the cable for image transmission to the storage device of the computer 19 or to the displacement analysis processor using phase variance of Fourier transform images 20. The displacement analysis processor using phase variance of Fourier transform images 20 is connected to the computer with control software and image processing software 19. FIG. 3 shows a flow chart of TEM imaging. An acceleration voltage is applied to the electron beam that is the first charged particle beam generated by the electron gun 11, then the deflective coil for condenser system 13 as a deflector means is used for adjusting the deflection of beam such that the electron beam passes through the optical axis, to verify that the electron beam reaches to the electron detector 17. In this document xe2x80x98zxe2x80x99 axis is defined as an axis in parallel to the optical axis, x-y plane is defined as the plane normal to the optical axis. After adjusting the condenser lens 12, a specimen 21 is set into the TEM, and a TEM image at lower magnification rate is observed. The objective aperture is inserted to the optical axis in order to increase the contrast of TEM image. By gradually increasing the magnification of the projector lens 15, an observation field is selected and the focusing is adjusted to take an image of a second charged particle beam that is an electron beam transmitted through the specimen by the electron detector 17. To the analysis of focusing in the above focusing step is applied a focusing analysis method using parallax. In this method a first TEM image obtained by an electron beam emitted at first incident angle in almost parallel to the optical axis, and a second TEM image obtained by an electron beam emitted at second incident angle descend to an angle xcex1 from the optical axis are used. As shown in FIG. 4, when the beam is focused somewhere out of focus, there will be generated some displacement between the first TEM image and second TEM image. The defocus F is correlated with displacement D by parallax in the relationship given by D=Mxcex1(F+Csxcex12). The magnification rate M and the angle xcex1 may be set by the operator. Since the coefficient of spherical aberration Cs is intrinsic to a specific apparatus, the amount of defocusing F may be specified by determining the displacement D of the image pair. The present invention is characterized in that an analysis method based on the phase variant analysis of Fourier transform images is applied to the determination of displacement D. As shown in FIG. 1, first and second TEM images may be obtained by using the electron detector 17, with the angle of incidence of electron beam with respect to the specimen being varied by using the deflective coil for condenser system 13 mounted above the objective lens 14. The first and second TEM images thus obtained will be sent to the displacement analysis processor using phase variance of Fourier transform images 20, from which the displacement D will be further sent to the computer with control software and image processing software 19. The computer 19 will compute the amount of defocus F from the displacement D to determine the current of objective lens Iobj required for adjusting the focusing, and finally the focus of the objective lens 14 will be thereby compensated for. FIG. 5 shows a schematic diagram illustrating the computation of displacement using the phase component of Fourier transform. For a pair of images with a displacement D=(dx, dy), by assuming S1 (n, m)=S2(n+dx, m+dy) the two dimensional discrete Fourier transform of S1 (n, m) and S2 (n, m) will be S1xe2x80x2 (k, l), and S2xe2x80x2 (k, l). In accordance with the formula F{S(n+dx, m+dy)}=F{S (n, m)}exp(idxk+idyl) of the Fourier transform, S1xe2x80x2 (k, l)=S2xe2x80x2 (k, l)exp(idxk+idyl) may be obtained. The displacement in S1xe2x80x2 (k, l) and S2xe2x80x2 (k, l) above may be expressed as the phase variance exp(idxk+idyl)=Pxe2x80x2 (k, l). Since Pxe2x80x2 (k, l) is a wave with the cycle (dx, dy), then in an image P (n, m) which is subjected to invert Fourier transform of a phase variant image Pxe2x80x2 (k, l), a xcex4 peak will be appeared at the location (dx, dy) If (dx, dy) have a fraction, for example (dx, dy)=(2.5, 2.5) then the intensity of xcex4 peak will be distributed in a manner aliquot to (2, 2), (2, 3), (3, 2), and (3, 3). Since it can be assumed that in the image P (n, m) only the xcex4 peak may be present, the computation of four gravity centers of intensity of pixels allows the correct determination of xcex4 peak even if a fraction is present. The cross-correlation method, which is used in the Prior Art as an analysis method, uses |S1xe2x80x2| and |S2xe2x80x2| as analysis images to compute the displacement based on the location with the maximum value in the images. Since the analysis images contains, together with information with respect to the displacement, any image intensity, i.e., the information about amplitude, the precision of analysis will not be improved by the computation of the gravity center. It should be noted here that, when the information on amplitude is not totally eliminated, if an image is computed with the amplitude component suppressed by performing log or {square root over ( )} on the amplitude component of S1xe2x80x2 (k, l)xc2x7S2xe2x80x2 (k, l)*=|S1xe2x80x2| |S2xe2x80x2|exp(idxk+idyl) and then with the invert Fourier transform applied, a xcex4 peak will appear at the position (dx, dy) of displacement vector, the analysis of displacement may be performed based on the image. Also it should be noted that a xcex4 peak will appear at the position (xe2x88x92dx, xe2x88x92dy) if the phase variant image Pxe2x80x2 (k, l) is Fourier transformed, so that the analysis of displacement may be performed on the Fourier transformed image of the phase variant image Pxe2x80x2 (k, l). Furthermore, any one of other orthogonal transformations may be used instead of Fourier transform to compute an image with peak corresponding to the displacement. The analysis of displacement may be allowed if common component is present sufficiently in S1 (n, m) and S2 (n, m) when the variance in S1 (n, m) and S2 (n, m) includes not only the displacement but also the variable noise component or background behaviors, or when the image is deformed more or less due to the change of angle of incidence of electron beam. In such a case any peaks other than xcex4 peak may be treated as noises. When the peak intensity xcex4 is computed after normalizing the intensity of the entire image P (n, m), the intensity will be weaken if the unmatched area in the pair of images is larger, in other words, if the noise increases. Since the peak intensity will be stronger if the images in the pair match in a larger extent, whereas the intensity will be weaker if the images match in a smaller extent, the operator may identify the signal noise ratio, namely the reliability of results of analysis by expressing the peak intensity as the correlation value indicating the match between images in the pair. In addition, malfunction may be prevented by setting the lower threshold value of the correlation to cause the adjustment of objective lens not to be performed in case in which computed correlation value is less than the lower threshold value. The analysis of displacement as described above has further an advantage that it is hardly affected by the variance in the background since it uses the phase component of images. In the Prior Art, image analysis may not be allowed if there is any variance in the background due to for example the distribution of intensity of irradiation current, while the analysis of displacement in accordance with the present invention may be allowed in the same condition. Also, the image analysis may not be allowed in the conventional analysis methods if the image contains for example the shadow of objective aperture, the analysis of displacement in accordance with the present invention may be allowed if the common area of the pair of images is sufficiently presented even when the shadow of objective aperture is contained in some extent. As it is anticipated that a user not skilled in the TEM operation may use the automatic focusing apparatus, it may be important that the TEM auto-focus works even when the fine adjustment of TEM is somewhat incomplete. In order to perform the analysis of displacement, TEM images may be captured by the electron detector 17 such as a CCD camera. The signal detected by the electron detector 17 is amplified by an amplifier, then quantized to send to the computer 19 or the displacement analysis processor using phase variance of Fourier transform images 20. It should be noted that it is important to appropriately set the gain and offset of the amplifier, otherwise almost all characteristics contained in the images will be eliminated in the course of quantization. The electron detector 17 comprises a functionality of automatic adjustment of gain and offset of the detector amplifier by computing the mean intensity value and dispersion of images so as to settle to specified values. Because it is anticipated that the specified mean and dispersion may not be obtained by the gain and offset used, the detector comprises another functionality which may warn the operator when the contrast adjustment is not complete to ask for further adjustments such as redefining the viewing field or tuning of TEM itself. FIG. 6 shows a schematic diagram of basic configuration of an electron detector 17 for TEM. The electron detector 17 comprises a scintillator 71, a photo coupler 72, and a CCD camera 73. The electrons emitted to the scintillator 71 generate photons. Thus generated photons will pass through the photo coupler 72, which is made of a plurality of bundled optical fibers, to the CCD camera 73 with positional information being held. The CCD camera 73 is constituted of a two dimensional array of a plurality of pixels. The charge generated by the photons incoming to the CCD camera 73 will be stored in each pixel. The stored charge will be read out as the output signal from each pixel. The gain of each pixel, in other words the intensity of signal output delivered by one incident electron may be determined by the light emitting efficiency of the scintillator 71, transmission efficiency of the photo coupler 72, and the quantization efficiency of the CCD camera 73. Because these constants are not uniform from one pixel to another, a fixed pattern is preformed in the electron detector 17. The image captured by the electron detector 17 with a fixed pattern may store a first contrast corresponding to the specimen structure together with a second contrast corresponding to the fixed pattern of the electron detector 17. When applying the analysis of displacement to the image captured by the electron detector 17 with a fixed pattern, the first contrast corresponding to the structure of specimen may move between paired images S1 (n, m) and S2 (n, m), while on the other hand the second contrast corresponding to the fixed pattern of the electron detector 17 does not, so that in the analysis image P (n, m) a first peak caused by the specimen structure will be observed at the position relative to the displacement, while a second peak caused by the fixed pattern will be observed at the origin. A very low contrast image of specimen structure such as a TEM image may often have the intensity of second peak caused by the fixed pattern larger than the intensity of first peak caused by the specimen structure. The images to which the displacement analysis has been applied heretofore were high contrast, high sharpness images obtained by an optical apparatus, with the effect of fixed pattern almost neglected such that the maximum intensity peak in the analysis image P (n, m) was determined to be the result of analysis. However, as the TEM images have considerable effect of the fixed pattern, the second peak caused by the fixed pattern might be determined as the result of analysis if the conventional peak detection method is applied in which the maximum intensity peak is the result of analysis, such that the analysis may often be complete without displacement detected. A preprocess, such as normalizing of gains, for minimizing the effect of fixed pattern, by subtracting images by a fixed pattern previously captured, may be incorporated in the CCD camera controlling software. The fixed pattern used for the computation should be updated at a predetermined interval because the pattern is affected by aging. In order to minimize the influence of the fixed pattern the routine maintenance is indispensable. The change of fixed pattern due to the difference in capturing conditions such as the amount of irradiation of electron beam and the like is inevitable if the apparatus is routinely maintained. Although using only the normalization of gain may reduce the influence of fixed pattern, it will be difficult to completely eliminate it. Images of low contrast specimen structure such as electron microscopy images may have the second peak intensity larger than the first peak intensity of specimen structure even if any image processing such as gain normalization is performed on the paired images S1 (n, m) and S2 (n, m) It is necessary for the displacement analysis of TEM images to add a step of automatically determining the first peak caused by the specimen structure from the analysis image having the first peak caused by the specimen structure together with the second peak caused by the fixed pattern. There are two algorithms as described below for automatic peak detection. Both algorithms make use of the fact that the second peak caused by the fixed pattern is observed at the origin. Since the second peak intensity caused by the fixed pattern appears at the origin, first algorithm applies a masking of the peak at the origin for substituting the intensity at the origin with a zero or a predetermined value. However, since the first peak may appear at the origin, the application of the exception at the origin is required to be determined. Now referring to a specific example shown in FIG. 7, the flow of peak detection will be described below. It is now assumed the position D1 and intensity I1 of the first peak 31 caused by the specimen structure, and the position D2 and intensity I2 of the second peak 32 caused by the fixed pattern. Since D2=0, there are predicted cases of |D1 greater than 0 AND I1 greater than I2 (FIG. 7A), |D1| greater than 0 AND I1 less than I2 (FIG. 7B), and |D1|xcx9c=0 (FIG. 7C). For each case the intensity I of the maximum intensity peak 33 retrieved by normalizing the analysis image P (n, m) will be compared with the intensity Ixe2x80x2 of the maximum intensity peak 34 retrieved by normalization after exclusion of (masking of) the peak in the analysis image P (n, m) at the origin. In case of FIG. 7A, if the intensity of second peak is as small as it can be neglected, the intensity of the maximum intensity peak will be the same before and after exclusion of the peak at the origin, whereas if the intensity of second peak is strong enough then the intensity allocated to the second peak 32 by excluding the peak at the origin moves to the first peak 31 and noise 35, resulting in Ixe2x89xa6Ixe2x80x2. In case of FIG. 7B, without exclusion of the peak at the origin, the intensity allocated to the first peak 31 and the second peak 32 will be consolidated to the first peak 31, resulting in I less than Ixe2x80x2. In case of FIG. 7C, with exclusion of the peak at the origin, the first peak 31 will be eliminated together with the second peak 32, then only the noise 35 will increase, resulting in I greater than Ixe2x80x2. The first peak 31 may be determined based on the comparison of the result of analysis with and without exception of the peak at origin. FIG. 8A shows the flow chart of the peak identification process. In this flow chart the intensities of the peak of maximum intensity with and without exception of the peak at the origin, namely the correlation values will be compared, and the result of analysis without the exception of the peak at the origin will be selected if the correlation value decreases by the exception of the peak at the origin, while on the other hand the analysis result with the exception of the peak at the origin will be selected if either the correlation value increases or remains. As an alternative, assuming that there are two peaks in the analysis image P (n, m), the algorithm may be predefined such that the positions and intensities of two peaks will be output. The lower limit of the correlation should be redefined because the correlation value of each of peaks becomes weaker if there are two peaks. FIG. 8B shows two flow charts of peak identification processes. In cases of FIG. 7A and FIG. 7B the peaks larger than the lower limit of correlation value are the first peak 31 and the second peak 32, so that two peaks will be output. Since |D1| greater than |D2|=0, when selecting the peak of larger displacement from within the output peaks, the first peak will be selected. In case of FIG. 7C since the first peak and second peak are overlapped, there is only one peak in the P(n, m). If there is only one peak which is larger than the lower limit of correlation value, then that peak will be selected as the analysis result. With respect to the setting of tilt angle xcex1, when determining the amount of defocus F based on the amount of displacement D using the parallax, the tilt angle xcex1 of electron beam incident to the specimen will be used, so that the tilt angle xcex1 should be set accurately. The measurement of the tilt angle xcex1 may be accomplished by using the diffracted image of a crystalline specimen having a known diffraction grid coefficient, such as Au and Si. As the diffraction grid coefficient is already known, if the wavelength of the incident electron beam is determined the diffused angle for each pixel in the diffraction image may be calculated. The actually measured value of the tilt angle xcex1 of incident electron beam may be obtained by determining the displacement Dxcex1 in the first diffraction image taken at the first incident angle with the second diffraction image taken at the second incident angle to compute the product of the diffused angle for each pixel with the displacement D a. The tilt angle xcex1 of incident electron beam is approximately proportional to the current value IBT flew through the deflective coil for condenser system 13, however, as shown in FIG. 19 the deflective coil for condenser system 13 is mounted above the objective lens 14 so that the field caused by the objective lens 14 may alter the angle incident to the specimen. Therefore the compensator item should be introduced into the formulation of the tilt angle xcex1 of incident electron beam, using as a parameter the exciting current value Iobj of the objective lens 14. For instance, the formula xcex1=A*IBH+B*Iobj*IBH may be used, where A and B are constants intrinsic to the apparatus. With respect to the amplitude of the tilt angle xcex1, the larger the tilt angle xcex1 is, the smaller the amount of defocus F corresponding to the displacement D of image, therefore the improvement of the precision of analysis of the amount of defocus F may be estimated. However, the decrease of common area in the pair of images may invoke a malfunction. The correlation decreases if the common area decreases to the half of entire image or less, and the reliability of analysis results thereby extremely degrades. Thus the displacement D caused by the parallax is required to be set to be less than the half of the length of a side of CCD camera. When the estimated range of defocus is wider at the same magnification rate, namely in case of coarse focusing, the tilt angle xcex1 should be set to smaller, whereas when the estimated range of defocus is narrower namely in case of fine focusing, then the tilt angle xcex1 should be set to larger. For example, in case in which the estimated range of defocus is 20 microns, the length of a side of electron beam detector is 2 centimeters, and magnification rate is 50,000, then the angle xcex1 needs to be not more than 0.5 degree. It may be possible that the analysis is unavailable because the common area of a pair of images is small due to the amount of defocus F that was larger than that was estimated. In order to address such a circumstance, a flow process should be provided in which a lower limit of the peak intensity should be set and then the magnification rate should be lowered if the computed peak intensity goes down below the lower threshold to increase the percentage of the common area to compensate for the focus in advance to thereby decrease the amount of defocus F to restore to the original magnification rate to measure again. As an alternative in order to increase the common area the tilt angle xcex1 may be decreased. In the TEM observation the objective aperture to be inserted to the optical axis are often used to enhance the imaging contrast. It is possible that, if the direction of incidence of electron beam is changed, the electron beam will be out of optical axis so that the beam will not pass through the aperture. In order for the electron beam of first incident angle as well as the electron beam of second incident angle to pass through the aperture, the tilt angle xcex1 should be set smaller than the diameter of opening aperture. For instance, for the opening up to 10 microns, the tilt angle xcex1 should be set to 0.5xc2x0 or less. Since the second TEM image are to be taken with the incident electron beam slanted, if the tilt angle xcex1 of the incident electron beam is excessively larger then the image will be distorted due to the influence of the eccentric axis, the distortion will cause an extreme decrease of the common area with the first TEM image, resulting in that the analysis will be unavailable. In such a case the tilt angle xcex1 should be set again to smaller value. The magnification rate M also is required for the calculation of defocus F. In the conventional TEM there are approximately 5% of magnification error. In addition, when an optic lens is installed at the photo coupler 72 connecting the scintillator 71 to the CCD camera 73, the magnification error of optic lens also should be considered. Now considering the influence of such an error with respect to the error rate of focusing analysis in case in which there is an amount of error of M(1+xcex94), i.e., xcex94 in the magnification rate. It is assumed that for example a displacement D1 was measured. The pure amount of defocus F1 may be given by D1/[M(1+xcex94)xcex1]xe2x88x921xe2x88x92Csxcex12, but the amount of defocus F1xe2x80x2 may be given by D1/[Mxcex1]xe2x88x921xe2x88x92Csxcex12. The error of analysis of defocus F caused by the magnification error then may be F1xe2x88x92F1xe2x80x2=xe2x88x92xcex94D1/(1+xcex94)Mxcex1. The focusing error caused by the magnification error may be proportional to the amount of displacement D1. In other words, when the displacement D=0, the focusing error caused by the magnification error will be the smallest. Then the focus compensation toward Fs=xe2x88x92Csxcex12 where the displacement D=0 may be attempted. When attempting to set the focus as Fs after the amount of defocus F1xe2x80x2 has been determined, the focus will be (F1xe2x88x92F1xe2x80x2)+Fs. The displacement D2 at this stage will be measured as D2=xe2x88x92xcex94D1. Since the magnification error xcex94 of the TEM is approximately 5%, a few times of focus compensation may lead to the displacement Dxcx9c=0. In this manner, it can be seen that the focus error caused by the magnification error will be sufficiently small by means of such a process flow that the optimum focus specified may be set after the objective lens has been tuned to the displacement D=0. The process flow may alternatively be such that the focus is adjusted to D=M Csxcex13, where F=0 in the vicinity of D=0, not at the displacement D=0. In this case the influence of magnification error will be decreased together with the influence of the second peak caused by the fixed pattern. The functionality is added which may abort the compensation for focusing in case in which the repetition of compensation for defocus F=0 is more than two and the amount of defocus Fn determined at nth path is larger than the amount of defocus Fnxe2x88x921 determined at nxe2x88x921th path. This allows the repetition of compensation to be held to the minimal requirement. By taking above into consideration, focusing will be performed in accordance with the flow chart shown in FIG. 9. At the beginning, a field of view on which focus will be analyzed will be selected. The selection of a field of view includes also the setting of magnification rate of the observation and of objective aperture. Then the display examples shown in FIGS. 2A and 2B will be used to set the optimal focus, lower correlation threshold, tilt angle xcex1, and repetition of compensation. The recommended values i.e., the initial default values of the optimal focus, lower correlation threshold, tilt angle xcex1, and repetition of compensation are preset in the computer, which may be changed by the operator when required. Although the optimum focus is usually set to F=0, there may be cases in which under focus observation may be preferable depending on the specimens. The tilt angle xcex1 is set at default to 0.5xc2x0, the largest angle xcex1 available for passing the electron beam through the objective aperture of diameter up to 10 microns, however, there may be cases in which the tilt angle should be set to a smaller value, because the image distortion caused by the change of electron beam incident angle may severely affect to a certain fields of view. The lower correlation threshold also depends on the photographic conditions such as the number of pixels of the analysis image and the like. In order to optimize the tilt angle xcex1 and the correlation value, it will be necessary to provide a mode in which focusing will be analyzed but not compensated. In general the tilt angle xcex1 used routinely will be in the range from 0.2 to 0.5xc2x0. The lower limit of the tilt angle xcex1 and the upper limit of precision tolerance may be determined by the performance of the system. Only the measurement may be performed by setting the repetition of compensation shown in the display screen of FIG. 2 to 0, and clicking the button 93 for repeating focusing. If the operator cannot recall the recommended initial values during setting of the parameters, then by clicking on the xe2x80x9cinitializexe2x80x9d button 92, all parameters will default to the initial values. Once the parameters are set, a pair of images may be taken by using the electron detector 17. The conventional focus detectors of TEM oscillates sinusoidally the angle of incident electron beam by using the deflective coil for condenser system 13 and the operator observes the vibration of TEM image. Since the TEM image continues to vibrate in the conventional configuration of circuitry, capturing of images is not available. In order to capture images, a control system is required in which an image is captured upon receiving a signal instructing the capture of first TEM image, then the incident angle of electron beam is changed to second angle upon receiving a signal indicating the image capture has been completed, and a second image is captured thereafter. From a pair of images captured by using such a control system, an analyzing image P (n, m) will be computed to identify the peak corresponding to the displacement. If any peaks corresponding to the displacement cannot be identified, a flow is provided so as to abort the focusing operation and to estimate the cause for instructing the operator what to do next. The possible causes which may fail the analysis of displacement may be caused by a problem in the image, such as for example no specimen found in the field of view, and an image extremely out of focus, or a problem of decrease of the common area between images in the pair such as the amount of displacement D excessively large due to the inclination too large of incident electron beam angle, and the distortion of images affected by the change of incident electron beam angle. In order to determine the cause, a fourth TEM image will be captured by translating the image by a known amount of distance in a direction by using the deflective coil for condenser system 16 at the first angle of incident electron beam to analyze the displacement between the first TEM image and the fourth TEM image. If, as a result, displacement analysis is impossible between the first and fourth TEM images, then there is a problem with respect to the images such as no specimen in the field of view, or the image extremely out of focus, or the like. To resolve such a problem an instruction may be issued so as to decrease the magnification to perform a preliminary focusing at lower magnification. At a lower magnification a vaster field of view may be obtained, resulting in that the chance of finding the specimen in the field of view will increase. In addition at a lower magnification the influence of blurred image caused by the defocus may be decreased and the sharpness may be improved so that the analysis of displacement will be enabled. If the displacement between the first TEM image and fourth TEM image may be analyzable, the cause may be the decreased common area between images in the pair, therefore an instruction should be issued to reduce the amount of tilt angle xcex1. The system will display an error message on the display screen as shown in FIG. 2(b). Although the correlation rate will be displayed the amount of defocus F that could not be determined will not. Once the peak corresponding to the displacement has been identified, the current through the objective lens will be adjusted by determining the displacement D therefrom to calculate the amount of defocus F by means of the relation D=Mxcex1(F+Csxcex12). Since the relationship between the current of objective lens and the focal distance may vary depending on the parameters of the projector lens that means the observing magnification rate, a relational table or a relation describing the current of objective lens with the focal point for each observation magnification rate is stored in the computer. The current of objective lens will be adjusted by using the table or relation to determine the current required for focusing at the desired focus. In case in which the number of repetition of focusing is set to two or more, another pair of images will be taken to analyze the focus to readjust the current of objective lens. The automatic focus compensator system in accordance with the present invention incorporates a displacement analysis processor using phase variance of Fourier transform images 20, implemented by a digital signal processor (DSP), which may complete the analysis of displacement of an image of 256 by 256 pixels within 30 milliseconds, in comparison with the same calculation for 2 seconds by a conventional application software. One focus compensation cycle will be completed within one second, including the image capture by the electron detector 17, the adjustment of the deflective coil for condenser system 13 and the objective lens 14, and the system can also perform an iterative focusing. The iterative focusing starts by clicking the button to repeat focusing, as shown in FIG. 2, and the iterative focusing stops by clicking the button to stop focusing. Alternatively by clicking the button to repeat focusing the iterative focusing starts, and the focusing may be stopped by clicking the same button again. Alternatively by double clicking the button to execute focusing 93 the iterative focusing starts, and the focusing stops by clicking the button to execute focusing 93 again. In the course of repeating focusing the focus will be automatically readjusted to an optimal focus even when the field of view changes by moving the specimen stage. When the first TEM image and the second TEM image are taken during moving the specimen stage, the precision of focal analysis will be degrade because of introduction of the displacement D caused by the parallax along with the displacement Ds of moved specimen stage, however, the precision of focusing required for the specimen observation may be obtained since the observation may be started by stopping the specimen stage when the desired field of view has been found. In case in which the degraded precision of compensation by the moving specimen stage is concerned, the focus may be analyzed by measuring the moving speed of the specimen stage from the displacement between the first TEM image captured for the nth focusing analysis and the first TEM image captured for the nxe2x88x921th focusing analysis to estimate the displacement Ds caused by the moved specimen from the first TEM image to the second TEM image in the nth measurement, and by subtracting the displacement Ds caused by the moved specimen from the displacement D+Ds between the first TEM image and the second TEM image to extract the displacement D caused by the parallax. In the present system a malfunction checking functionality is built in so as to hold the focus setting if the correlation value is less than the lower threshold. The TEM image in general has low S/N ratio, and the image of low S/N ratio may potentially have a higher probability that the displacement analysis is not executable. If the displacement analysis is unavailable because of the probability, then the analysis in the next turn will have a higher probability of obtaining a correct result. Therefore the upper limit of the number of errors will be predefined in the focus analysis. If the number of times that the correlation falls below the lower threshold exceeds the upper limit, the system provides a functionality to determine whether there has been an accidental event, such as the TEM image of the structure of specimen was not captured by the electron beam detector because the electron beam was blocked by for example the aperture and the like, and to display an error message on the screen. During the iterative focusing, the first TEM image by the first incident angle and the second TEM image by the second incident angle are alternately displayed on the display screen. When the image processing speeds up, the alternate display may be perceived as a kind of flicker of the display, giving the operator an uncomfortable feeling or a difficulty to observe fine structures. Therefore the present system provides a configuration in which the TEM image captured with the first incident angle will be displayed on the screen, whereas the TEM image captured with the second incident angle will not be displayed. Another configuration may also be provided, in which the TEM image observed at the first incident angle and the TEM image observed at the second incident angle are separately displayed, so that the influence of image distortion caused by the axial displacement of the incident electron beam may be confirmed when required. [Second Embodiment] FIG. 19 shows a fundamental arrangement of TEM used in an automatic analyzer. The TEM is comprised of an electron gun 11 and electron gun control circuit 11xe2x80x2, a condenser lens 12 and condenser lens control circuit 12xe2x80x2, a deflective coil for condenser system 13 and deflective coil control circuit for condenser system 13xe2x80x2, an objective lens 14 and objective lens control circuit 14xe2x80x2, a projector lens 15 and projector lens control circuit 15xe2x80x2, a deflective coil for condenser system 16 and deflective coil control circuit for condenser system 16xe2x80x2, an electron detector 17 and electron detector control circuit 17xe2x80x2, a specimen stage 18 and specimen stage control circuit 18xe2x80x2, and a computer with control software and image processing software 19. Each of control circuits may receive control commands sent from the control software in the computer 19, perform controls and return the return value to the computer. There is provided an image shift function for translating the TEM image by using the deflective coil for condenser system 13 and the deflective coil for condenser system 16. The electron detector 17 is a detector constituted of a plurality of pixels such as a CCD camera, which may transmit signals of obtained images at a higher rate through the cable for image transmission to the storage device of the computer 19 or to the displacement analysis processor using phase variance of Fourier transform images 20. The displacement analysis processor using phase variance of Fourier transform images 20 is connected to the computer with control software and image processing software 19, which further comprises a software for pattern inspection and measurement. FIG. 10 shows a process flow of the automatic analyzer using the TEM in accordance with the present invention. An acceleration voltage is applied to the electron beam generated by the electron gun 11, then the deflective coil for condenser system 13 is used for adjusting the deflection of beam such that the electron beam passes through the optical axis, to verify that the electron beam reaches to the electron detector 17. In this document xe2x80x98zxe2x80x99 axis is defined as an axis in parallel to the optical axis, x-y plane is defined as the plane normal to the optical axis. After adjusting the condenser lens 12, a specimen 21 is put into the specimen chamber. The specimen 21 is thinned (sliced) to allow the electron beam to pass through, and then is mounted on a metallic support member that is also called a xe2x80x98meshxe2x80x99 22 (FIG. 11(a)). The mesh 22 is placed in a specimen holder, which is in turn placed on the specimen stage to be observed. Since the diameter of the mesh 22 is approximately 3 millimeters, it is difficult to accurately specify the direction and position thereof when placing on a specimen holder. Therefore in accordance with the process flow shown in FIG. 12 the image of the mesh 22 observed at a lower magnification rate is recorded to analyze the direction, position, and shape of the mesh 22. The electrons may transmit only through the void region called xe2x80x98holexe2x80x99 23. At first, the captured image will be binary coded to determine the connective components to label each region (see FIG. 11B). Then the surface area of each of labeled regions will be calculated. Since the holes are almost constant in size, the modal (most frequent) value among the surface area values may be defined as the surface area of a hole. More specifically, among the regions labeled as shown in FIG. 11B, the regions having a size in proximity of the modal are regions labeled as 4, 5, 7, 8, 9, 12 and 13, where the shape of entire holes is depicted. To analyze the direction of the mesh 22, the center of gravity 24 of the labeled regions in which the hole 23 is entirely depicted will be computed. Then a combination having the shortest distance between the center of gravity of a region with respect to another will be determined (FIG. 11C). For instance, the nearest centers of gravity from the center of gravity 24 of the labeled region 4 are the centers of gravity 24 of the labeled region 5 and of the labeled region 8. The direction connecting the center of gravity 24 of the labeled region 4 with the center of gravity 24 of the labeled region 5 will be defined as the x direction, while the direction connecting the center of gravity 24 of the labeled region 4 with the center of gravity 24 of the labeled region 8 will be defined as the y direction. Since the shape of the hole 23 is known, the height H of a side of hole 23 may be given from the surface area of the hole 23. By subtracting the height H of a side of hole 23 from the span of the shortest line between the nearest centers of gravity, the margin M of the mesh 22 may be computed. Once such calculation is completed, an image display that the x-y direction of the mesh 22 matches with the horizontal and vertical direction of the display will be displayed. Each hole 23 of the mesh 22 will be numbered. The operator may confirm on this display at this point that the labeling has been correctly executed. By directing a number labeled to a hole 23, a hole 23 containing a specimen 21, i.e., a hole 23 to be inspected may be defined so as not to inspect other holes to shorten the time of inspection. The presence or the absence of specimen in a hole may be determined automatically by image processing. The automatic decision uses a histogram or Fourier transform image of the image intensity in the hole. The decision using a Fourier transform image uses the percentage of high frequency component in the Fourier transform image. When no specimen is present in the analytic area, as shown in FIG. 17B, the Fourier transform image contains only low frequency components, even though there is a certain fluctuation in the image intensity depending on the distribution of the current density of irradiated electron beam. If a specimen is present which contains a fine structure such as in case of biological specimens, the percentage of high frequency in the Fourier transform image will be increased (FIG. 17A). It may be determined that the specimen is present in the analytic area to be inspected in case in which the percentage of high frequency component with respect to the low frequency component exceeds a certain threshold. When determining based on the histogram of image intensity, the presence or absence of specimen will be determined in accordance with the number of peaks present in the histogram of the intensity of image. If no specimen is present in the hole, there will be only one peak (see FIG. 13B) even though the image intensity may fluctuate more or less depending on the distribution of the current density of irradiated electron beam. On the other hand if there is a specimen in the hole, then there will be a plurality of peaks present in the histogram of image intensity containing the specimen in the hole (see FIG. 13A). Accordingly it may be determined that the specimen is present in the hole when there is a plurality of peaks in the histogram of image intensity. It should be noted that the presence or absence of specimen may be determined based on the half-width of peaks in case of specimens which have a contrast so low that the peaks may be overlapped. Prior to analyzing the direction and the shape of mesh 22, it may be desirable to adjust the height of the specimen holder. There may be a case in which the specimen holder is placed outside the focusing range that the objective lens maybe adjust, because of inappropriate adjustment of the specimen stage 18. When the stage is appropriately placed within the focusing range, the magnification rate and the like may vary due to the variation of lens condition when the current flew through the objective lens is drastically varied, therefore it may be desirable to keep the height of the specimen holder approximately constant. In the focusing analyzer as will be described later, a functionality is provided to automatically adjust the height of the specimen holder by analyzing the height of the specimen holder and driving the specimen stage control circuit 18xe2x80x2. The specimen holder may be inserted slantly because of for example inappropriate setting of specimen stage 18. If the specimen holder is seriously inclined, the condition of observation depends on the position of hole in the mesh 22. Therefore by selecting a plurality of points in the mesh 22, the position of those points and the height of specimen determined by the analysis of defocus will be recorded. The inclination angle of the specimen holder may be given by the height of specimen in each of positions, and the inclination of the specimen holder can be adjusted accordingly. The specimen stage control circuit 18xe2x80x2 contains the automatic adjuster of the inclination of the specimen holder. Then items of inspection will be set. Most of automatic inspection of biological specimen are often the research of the shape and number of viruses. For each of viruses various items of inspection such as preprocess of image and the geometrical characteristics to be searched may be configured and stored in the computer 19 as a macro program. For instance, now considering a case of measuring the number of spherical viruses having diameter in the range of approximately 20 nm to 30 nm, dispersed in the specimen, and the diameter thereof. The items of information required to be inspected are solely the number and diameter of viruses, then the TEM image of the specimen having viruses strongly stained should be captured by using the electron detector 17, then the image thus captured should be binary coded to extract the stained regions, i.e., viruses to analyze the geometrical characteristics to measure the diameter of viruses. To this end, the size of the area to be analyzed will be selected. The number of pixels contained in an image used for the viral inspection may be 512 by 512 pixels, and when measuring the diameter of viruses within an error of approximately 10%, in order for the diameter of a virus to be about 10 pixels the suitable length of a side of square of the analytic area will be preferably about 1 micron. If the length of a side of hole is 30 microns, then one hole will be divided into 30 by 30 areas, or 31 by 31 areas with an overlap of about 30 nm between areas so as to prevent the count from being dropped therebetween. Once the division of areas has been completed a display as shown in FIG. 11D will be displayed on the screen to indicate the areas to be inspected. Since every areas to be inspected do not contain a specimen, if the specimen is not present in an area to be inspected, it will be better move to next area immediately for saving the time of inspection. The system provides a functionality of determining the presence or absence of the specimen in a specific area to be inspected, by using the histogram of image intensity or the Fourier transformed image in that area. As have been described above, the specimen was prepared such that only viruses are strongly stained, the area containing the specimen may be presumably different in the image intensity from the area containing no specimen. If there is the specimen present in the area to be inspected, as shown in FIG. 13A, the histogram of the image intensity will have a plurality of peaks. On contrary if the specimen is not contained in the area to be inspected as shown in FIG. 13B, then there will be only one peak, though the image intensity may fluctuate in accordance with the distribution of the current density of irradiated electron beam. As a result, the presence or absence of viruses may be determined in accordance with the number of peaks present in the histogram of image intensity. In case of the decision using the Fourier transformed image the decision will be made based on the percentage of high frequency component in the Fourier transformed image. If the specimen is not present in the area to be inspected, as shown in FIG. 17B, there is only low frequency component in the Fourier transformed image. On the other hand, if the specimen is present in the area to be inspected, which contains a fine structure such as in case of biological specimen, the percentage of high frequency component in the Fourier transformed image will be augmented (see FIG. 17A). It may be determined that a specimen is present in the analytic area in case in which the percentage of high frequency component with respect to the low frequency component exceeds a certain threshold. In a hole of 30 microns square, the diagonal distance is 42 microns. If the specimen holder is inclined by 1xc2x0, a defocus of 0.74 micron may be occurred in the hole. The contrast of TEM images is susceptibly affected by the defocus. If there is a defocus in the order of submicron, the image will be blurred or the contrast will be varied. Viral inspection has to be always performed with the image taken at a constant and precise focus. The focusing should be well compensated for in the order of submicron prior to starting a viral inspection. The focal analysis using the parallax is applied to the focal analysis in such a focus compensation. A first TEM image taken with the electron beam incident from a first angle approximately in parallel to the optical axis and a second TEM image taken with the electron beam incident from a second angle inclined by a tilt angle xcex1 from the optical axis are used. As shown in FIG. 4, if the focus is not to the point, there may be a certain displacement of image between the first TEM image and the second TEM image. The defocus F and the displacement D caused by the parallax are related in D=Mxcex1(F+Csxcex12). The magnification M and the tilt angle xcex1 may be selected by the operator. The spherical aberration coefficient Cs is intrinsic to the apparatus, so that the defocus F may be identified if the displacement D between images in the pair is determined. The conventional method of analysis of displacement used heretofore such as cross-correlation method, least-squares method and the like, could not obtain a sufficient precision of focal analysis because the analytic precision of the analysis method of displacement did not reach to less than one pixel. The present invention is characterized in that it applies a method of analysis based on the phase variance analysis of the Fourier transformed image to the analysis of the displacement D. As shown in FIG. 1, the first and second TEM images having the incident angle of the electron beam varied with respect to the specimen by using the deflective coil for condenser system 13 mounted above the objective lens 14 will be captured by the electron detector 17. Thus captured first and second TEM images will be transmitted to the displacement analysis processor using phase variance of Fourier transform images 20 and in turn the displacement D that is the analytic result will be transmitted to the computer 19, which will compute the amount of defocus F from the displacement D to determine the current of the objective lens Iobj required to adjust the focus to the target point, and then compensate for the focusing of the objective lens 14. FIG. 5 shows a schematic diagram illustrating the displacement analysis method applied to the present invention. Now assuming a pair of images S1 (n, m)=S2(n+dx, m+dy) having a certain displacement D=(dx, dy), and the two dimensional discrete Fourier transform of S1 (n, m) and S2 (n, m) to be S1xe2x80x2 (k, l), and S2xe2x80x2 (k, l). From the formula F{S(n+dx, m+dy)}=F{S(n, m)}exp(idxk+idyl) of the Fourier transform, S1xe2x80x2 (k, l)=S2xe2x80x2 (k, l) exp(idxk+idyl) may be obtained. The displacement in Sxe2x80x2 (k, l) and S2xe2x80x2 (k, l) above may be expressed by the phase variance exp (idxk+idyl)=Pxe2x80x2 (k, l). Pxe2x80x2 (k, l) is a wave with the cycle (dx, dy), then in an image P (n, m) which is subjected to invert Fourier transform of a phase variant image Pxe2x80x2 (k, l), a xcex4 peak will be appeared at the location (dx, dy). Since it can be assumed that in the image P (n, m) only the xcex4 peak may be present, the position of xcex4 peak may be given by the computation of the center of gravity of the intensity of xcex4 peak even if a fraction is present. The intensity of xcex4 peak calculated after normalizing the intensity of entire image P (n, m) will be weaker if the noise i.e., discrepancy between images in the pair is increased. Thus the operator may identify the signal-noise ratio, i.e., reliability of the analysis result by indicating the peak intensity as the correlation value. In the automatic compensator the analysis is not always assured to be accurate in every area. Therefore, the lower threshold of the correlation will be predetermined so as no to adjust the objective lens if the correlation value calculated is below the lower threshold to record the address of the analysis area along with the correlation value. For example, if the position of mesh is shifted out of the point by an incorrect operation in the course of transfer of the specimen stage, more than half of a captured TEM image will be occupied by the mesh 22 as well as the common area of the pair of images will decrease so that a sequence of unanalyzable area will be left at the edge of holes 23. Once the displacement D has been analyzed, after the automatic inspection completed, to allow to determine, based on the distribution of unanalyzable area, whether the mesh was moved into the analysis area due to the inaccurate operation of the specimen stage and at which step in the course the incorrect transfer of the specimen stage was occurred, the operator may instruct to compute the focus F using the relation D=Mxcex1(F+Csxcex12) to determine the current value required for bringing to the specified optimal focus to adjust the current of the objective lens. After the objective lens is adjusted, by performing another focus analysis using the parallax to record the correlation value in this displacement analysis and the current of the objective lens along with the address of the analysis area, the status of the inspection may be recorded in greater details. The distribution of the specimen height may be derived from the current of the objective lens set at the optimum focus. In addition the image quality such as sharpness may be allowed to compare by using the correlation value calculated at the same displacement D. Once the focusing adjustment has been completed, a viral inspection may be started in accordance with the process flow shown in FIG. 14. Although another TEM image may be taken for the inspection, a TEM image which has been already taken at the incident angle 1 of the electron beam with the optimum focus is recorded, and this image may be used for the viral inspection in order to save the time of imaging. In a viral inspection, the TEM images will be binary coded to make the connecting component to label every regions. Then, the surface area of each of labeled regions will be computed to eliminate the region having less than a predetermined surface area, because these smaller regions may be determined as noise. Then, the characteristic amount of biological specimen will be computed from the roundness and moment of each of the labeled regions, and the region identified to be closer to a roundness will be determined to be likely a virus, thereby the diameter (biological information) will be derived from the surface area. The number of viruses and the diameter of each virus will be recorded together with the address of the analytic area in a similar manner. Once the inspection has been completed in one area to be analyzed, the specimen stage 18 may be used to transfer the specimen to move to a next area to be inspected to start the inspection. The precision of fine adjustment of the specimen stage 18 may be described by the registering accuracy and the back-rush thereof. The registering accuracy is the accuracy of transfer in a constant direction of moving the specimen stage, the back-rush is the distance of slipping at the time of turning the direction. In the products currently available in the market, the registering accuracy is achieved in the order of about 1.2 nm, back-rush in the order of about 0.02 micron. In case of analytic areas of diameter of 30 microns, the specimen transfer may be accomplished by using the specimen stage 18. However, when moving the specimen stage 18, a certain amount of specimen drift may be occurred by the inertia of the specimen stage 18. In the focusing analysis using the parallax, the precision of focusing analysis will be degraded if the displacement D caused by the parallax is intermixed with a certain amount of displacement Ds caused by the drift of specimen. To avoid this, a third TEM image, which may be taken at the first incident angle of the electron beam at a second time different from the time at which the first TEM has been taken will be used. The amount of specimen drift may be computed from the amount of displacement between the first TEM image and the third TEM image. This displacement analysis also may be performed by means of the displacement analysis using phase variance of Fourier transform images. The precision of focus analysis is likely to be degraded unless the displacement Ds caused by the specimen drift is analyzed at the same analytic precision as the analysis of displacement D. In addition, the measurement of drift of specimen has to be completed within a very short period of time, and the amount of displacement caused by the specimen drift are to be significantly small. The conventional analysis of displacement, in which the analytic precision may be limited by the size of a pixel, obviously has not sufficient precision. The displacement D caused by the parallax may be given by subtracting the displacement Ds caused by the specimen drift from the amount of displacement between the first and second TEM images. In addition, the blur caused by drift in the captured images may be removed by performing an automatic drift compensation for operating the deflective coil for condenser system 16 so as to cancel the displacement Ds caused by the specimen drift. The occurrence of specimen drift which may affect to the focal analysis may be estimated in some extent, such as at the time when the electron microscope has been just powered on, during the period of time until the difference of temperature in the microscopy and/or the electron gun will be settled, and at the time immediately after the moving specimen stage 18 is stopped. Since the efficiency of analysis will be lowered if a number of images are to be captured, then the condition of observation to capture the third TEM image for compensating for the drift may be predetermined, and when the condition matches, the third TEM image will be taken along with the first and second TEM images so as to enable to eliminate the influence of drift. If the algorithm is implemented in which only the first and second TEM images are captured once the drift decreases, in other words when the amount of displacement between the first TEM image and the third TEM image reaches to or in the vicinity of zero, the accurate compensation of focusing may be accomplished with the least number of TEM images required. The efficiency of inspection will be degraded if a third TEM image used for compensating for the drift of specimen is taken each time the specimen stage 18 moves. Therefore, the transfer of the specimen stage 18 may be limited to the transfer between holes and the transfer between the analytic areas may be performed by the deflective coil for condenser system 16. The number of third TEM image to be taken will be significantly decreased since the compensation of specimen drift caused by the inertia of the stage transfer will be performed only when moving between holes. Other examples requiring the transfer of analytic area by using the deflective coil for condenser system 16 include, for example, a case in which the final precision is insufficient with the precision of fine focus adjustment of the specimen stage 18 with respect to the transfer of analytic area because the analytic areas are subdivided into small areas. If the size of a hole is enough vast so that the deflective coil for condenser system 16 cannot follow, the image may be shifted by moving the specimen stage 18 into a direction at a constant velocity and using the deflective coil for condenser system 16 to move at an approximately same velocity. FIG. 18C shows the location of the field of view when the transfer of the specimen stage is used together with the image shifting in order to move between analytic areas, the parameters of the deflective coil for condenser system 16, and the position of the specimen stage 18 along with the elapsed time. Here Tc designates to the time required for taking a TEM image, Ts to the time required for transfer of the field of view by the specimen stage, and Ti to the time required for the transfer of the field of view by the deflective coil for condenser system 16. The transfer of the field of view by using the specimen stage 18 may be accelerated but the speed-up is limited due to the influence of inertia when moving the stage and the back-rush, the transfer of field of view by using the specimen stage 18 may not be accelerated faster than the transfer of field of view by using the deflective coil for condenser system 16. If the field of view is transferred solely by means of the specimen stage 18, the time of inspection will be prolonged as shown in FIG. 18A. On the other hand the deflective coil for condenser system 16 has a disadvantage of narrower range of transfer. As shown in FIG. 18B, when the transfer is out of range of the deflective coil for condenser system 16 the specimen stage 18 should be used to move the specimen. Accordingly, when moving the specimen stage 18 at a constant velocity as shown in FIG. 18C while using the image shifting by means of the deflective coil for condenser system 16 so as to cancel out the movement of the specimen stage, still images of each of analytic areas may be taken even when the specimen stage 18 is in the course of transfer. In this manner the transfer of the field of view in a vast range may be carried out at high speed without influence of the backrush and inertia of transfer of the specimen stage 18. The analysis may be performed in a vaster range if the position of the deflective coil for condenser system 16 is approximately constant at the moment of the beginning of inspection for each analysis area (see FIG. 18). To do this, the transfer velocity of the specimen stage 18 should be set, by calculating the time window T required for both the compensation and inspection carried out in each analysis area such that the transfer to the next analysis area by means of the specimen stage 18 may be completed before this time window T expires. The amount of image shifting by means of the deflective coil for condenser system 16 will be managed so as to be able to cancel thus decided transfer speed of the specimen stage 18. In order to match the transfer speed of specimen by means of the specimen stage 18 with the shift speed of the deflective coil for condenser system 16, the analysis of displacement in the field of view may be performed by the displacement analysis using phase variance of Fourier transform images. As shown in FIG. 26, after setting a first time and a second time, a first TEM image at the first time as well as a third TEM image at the second time will be captured by using the electron detector 17. Thus captured first and second TEM images will be transmitted to the displacement analysis processor using phase variance of Fourier transform images 20, from which the displacement D resulted by the analysis will be further sent to the computer with control software and image processing software 19. The computer 19 will compute the moving velocity of the field of view based on the displacement D to determine the parameters of deflective coil for condenser system 16, which are required for the transfer speed of the field of view to be zero, and to adjust the deflective coil for condenser system 16 based on the parameters thus determined. It is preferable to keep constant the time T of inspection for each analysis area, since the positional precision of the specimen stage 18 is higher when the transfer speed of the specimen stage 18 is constant. Thus it is preferable to keep constant the number of images to be taken for each analysis area. Otherwise if a third TEM image for analyzing the displacement of the analysis area is taken for each of analysis areas, the precision of displacement compensation and the precision of the focusing analysis may be improved, whereas the efficiency of inspection deteriorates. Accordingly, The transfer of the field of view by using the specimen stage 18 as well as the transfer by using the deflective coil for condenser system 16 will be adjusted in the stage of adjusting the microscope prior to the viral inspection. Alternatively any analytic areas inappropriate for the viral inspection may be predefined so as not to perform focusing in the areas, but the first TEM image and third TEM image may be taken to adjust the transfer of the field of view. By assuming that the field of view in the usual analysis areas will be virtually stationary, the first TEM image and second TEM image will be taken for adjusting the focus. The items displayed in the course of inspection may be selected by the operator as required. For example, items as shown in FIG. 15 may be displayed on the screen. On the screen, the image of the analysis areas into which the image of the mesh taken 22 are divided will be displayed in a window, in which the analysis area that the inspection has been completed, area that the inspection is in progress, and area that is not yet inspected will be displayed in different colors respectively, in order for the operator to be able to make use thereof for the understanding of the progress of the inspection and for the estimation of the time of completion. There may be provided also a window showing a table 94 for sequentially displaying the result of analysis in each of the analysis area, and a histogram 95 for displaying the cumulative values of the results. Also a window for displaying the result of focal analysis may be provided, which may display the height of specimen calculated from the correlation value between the paired images and from the result of focal analysis. For the reference point of height of specimen an appropriate location in the specimen may be selected before or after inspection and that location may be specified by clicking the reset button 96. Within a window of TEM image for use of viral inspection a layer is provided for displaying circles 97 that indicates the position and the size of viruses identified. The operator who checks the results of viral inspection, focusing, and TEM image can abort that inspection if something goes wrong. The items specified by the operation among the result of viral inspection, focusing, and TEM image will be stored in the memory so as to allow the operator to display, after the inspection, the result of inspection of the analytic areas in which anomalies is prospected to be happened, based on the information such as the correlation value and the height of specimen and to confirm the inspection status. The mesh 22 is also used for the display of analytic result after the inspection. The inspected holes are divided into several areas, and the result with respect to each area may be displayed as black and white or in full color in accordance with the selection of displayed items. For instance, when the operator selects the number of viruses for the display item, each area will be colored in accordance with the number of viruses, as shown in FIG. 16B. The TEM image taken for each of analysis areas may be shown on the screen by for example specifying the number of area in interest, or by double clicking on the spot of the analysis area. Among items stored in the memory, only the items specified by the operator will be displayed on the screen. For example, the result of viral inspection in that area in interest, the height of specimen, the correlation value may be displayed along with the TEM image display (FIG. 16A). The distribution chart of the height of specimen and the correlation may be used for outlining the inspection status and for evaluating the reliability of the inspection result. Since biological specimens are in general sliced into thin sections of thickness of about tenth nanometers, which may be considered to be almost flat. The change of the height of specimen may be caused by the curved or inclined mesh that mounts the specimen. When plotting the distribution of the height of specimen the distribution of height should form a curved surface of the kind relatively smooth. If the height of specimen changes abruptly, then it can be concluded that either an incorrect operation occurred in the focusing analysis of that area, or the sectioned specimen was blown up for some reason. In either case, the reliability of the result of inspection of the analysis area in question is not sufficient. For example, when considering the distribution of the height of specimen as shown in FIG. 16C, as the height of specimen in the region 25 is different from other regions, it can be concluded that the reliability of the result of inspection in the section 25 is not eligible. In order to remove the result of analysis in this region, the setting may be configured so as no to use the result of analysis in any analytic area out of specified range of the height of specimen. This means that the distribution of the height of specimen may be used as a sort of filter applied to the result of analysis. Alternatively, instead of configuring a filter based on the height of specimen, the curvature obtained from the distribution of height may be used for configuring a filter. When a distribution of correlation as shown in FIG. 16D has been obtained, this correlation may be also used for the evaluation of the sharpness of TEM images. In case of biological specimens, if the section of sliced specimen is thick, even images observed at the optimum focus will be blurred. With blurred images the correlation will be degrades and the error encountered at the time of binary coding will be larger so that the precision of measurement of the diameter of viruses will be lowered. By using the distribution of correlation as a filter, in a similar manner to the distribution of the height of specimen, the analysis result in the region 26 having a lower correlation than others may be eliminated. By estimating the measurement error of the viral diameter based on the correlation values, the measurement error may be used as a weighting function when creating a distribution chart of the diameter of viruses. [Third Embodiment] FIG. 19 shows a fundamental arrangement of a transmission electron microscope (TEM) for use in an embodiment in accordance with the present invention. The TEM is comprised of an electron gun 11 and electron gun control circuit 11xe2x80x2, a condenser lens 12 and condenser lens control circuit 12xe2x80x2, a deflective coil for condenser system 13 and deflective coil control circuit for condenser system 13xe2x80x2, an objective lens 14 and objective lens control circuit 14xe2x80x2, a projector lens 15 and projector lens control circuit 15xe2x80x2, a deflective coil for condenser system 16 and deflective coil control circuit for condenser system 16xe2x80x2, an electron detector 17 and electron detector control circuit 17xe2x80x2, a specimen stage 18 and specimen stage control circuit 18xe2x80x2, and a computer with control software and image processing software 19. Each of control circuits may receive control commands sent from the control software in the computer 19, perform controls and return the return value to the computer. The electron detector 17 is a detector constituted of a plurality of pixels such as a CCD camera, which may transmit signals of obtained images through the cable for image transmission to the storage device of the computer 19 or to the displacement analysis processor using phase variance of Fourier transform images 20. The displacement analysis processor using phase variance of Fourier transform images 20 is connected to the computer with control software and image processing software 19. FIG. 3 shows a flow chart of TEM imaging. An acceleration voltage is applied to the electron beam that is the first charged particle beam generated by the electron gun 11, then the deflective coil for condenser system 13 as a deflector means is used for adjusting the deflection of beam such that the electron beam passes through the optical axis, to verify that the electron beam reaches to the electron detector 17. After adjusting the condenser lens 12, a specimen 21 is set into the TEM, and a TEM image at lower magnification rate is observed. The objective aperture is inserted to the optical axis in order to increase the contrast of TEM image. By gradually increasing the magnification of the projector lens 15, an observation field is selected and the focusing is adjusted to take TEM images as required. To the analysis of focusing in the above focusing step is applied a focusing analysis method using parallax. In this method a first TEM image obtained by an electron beam emitted at first incident angle in almost parallel to the optical axis, and a second TEM image obtained by an electron beam emitted at second incident angle descend to an angle xcex1 from the optical axis are used. A functionality for deliberately changing the irradiating angle of the electron beam into the specimen by using the deflective coil for condenser system 13 as shown in FIG. 19 is called a wobbler, which may be used for transform an amount of defocus into a parallax. Now referring to FIG. 24, the principal of operation of the wobbler and the mechanism of occurrence of parallax will be described below in greater details. In FIG. 24(a) there is shown an optical geometry in case that a specimen is just placed at the focal plane (F=0) and the electron beam is emitted in parallel to the optical axis of the system (xcex1=0). In the figure the electron beam is emitted to the specimen (shown as an arrow) in a direction from the top toward the bottom of the drawing. Part of electron beam will be diffracted within the specimen. For instance in case of a crystalline specimen the electron beam will be dispersed to a specific direction which may satisfy the Bragg""s rule, and the rest thereof will be transmitted through the specimen without changing the direction. The objective lens placed below the specimen may have the characteristics similar to any ordinary optical convex lens, and act to collimate the electron beam. The electrons diffracted to the same direction will be converged to a point below the lens; the converged electrons forms so-called the diffraction plane (back focal plane). Below the diffraction plane the electrons diffracted and transmitted at an identical point will be converged to form the TEM imaging plane. At the TEM focal plane, the size of the specimen is magnified by M times, depending on the projection magnification rate M of the objective lens. If F=0 and xcex1=0, then the image of the arrow is correctly focused at the TEM image plane as shown in FIG. 24(a), without displacement from the optical axis (c.f., D=0). If otherwise the specimen is placed at the focus position (F=0) but the electron beam is tilted by an incident angle xcex1, as shown in FIG. 24(b), by means of the wobbler, then the electron beam will be subjected to collimate to another focal position, displaced from the axis of the objective lens. This may result in a displacement of field of view, D=Cs Mxcex13, because of the influence of the spherical aberration which is intrinsic to the convex lens system as similar to the optical lens, where Cs designates to a spherical aberration index which is an intrinsic value of a specific lens. If otherwise the specimen is not at the focal position and the electron beam is tilted by an incident angle xcex1 by means of the wobbler, then the amount of displacement will be worsen as shown in FIG. 24(c). At the amount of defocus F, the position of specimen will be shifted by an amount a F to the direction normal to the optical axis the image at the TEM imaging plane will be magnified by the magnification rate M of the lens to result in a parallax Mxcex1F. Thus the total amount of parallax together with the displacement due to the spherical aberration will become an amount indicated by D=Mxcex1(F+Csxcex12). It can be clearly seen from this equation that, the parallax D will be zero if xcex1=0, irrelevant whether the specimen is place at the focal position or not. By using the wobbler in such a manner as described above when photographing two pairs of images which have different a each other, the amount of defocus F may be specifically identified based on the amount of displacement D in the paired images. The parallax due to the aberration (Cs Mxcex13) is fewer than the parallax due to the defocus (Mxcex1F) in the order of one figure or less. Therefore by minimizing the parallax a focus compensation at higher precision may be achieved. The focusing using the wobbler may be considered to be completed if the parallax becomes less than Cs Mxcex13. The displacement D in the paired images may be given by using the displacement analysis using phase variance of Fourier transform images. FIG. 5 shows a schematic diagram describing the displacement analysis using phase variance of Fourier transform images. Assuming that a pair of images S1 (n, m)=S2 (n+dx, m+dy) has the displacement D=(dx, dy), and the two dimensional discrete Fourier transform of S1 (n, m), and S2 (n, m) is S1xe2x80x2 (k, l), and S2xe2x80x2 (k, l). In accordance with an equation of the Fourier transform, F{S(n+dx, m+dy)}=F{S (n, m)}exp(idxk+idyl), the pair of images may be expressed as S1xe2x80x2 (k, l)=S2xe2x80x2 (k, l) exp(idxk+idyl). The displacement D of S1xe2x80x2 (k, l), and S2xe2x80x2 (k, l) may be expressed by a phase variance exp(idxk+idyl)=Pxe2x80x2 (k, l). Since Pxe2x80x2 (k, l) is a wave with the cycle (dx, dy), then in an image P (n, m) which is subjected to invert Fourier transform of a phase variant image Pxe2x80x2 (k, l), a xcex4 peak will be appeared at the location (dx, dy). Since it can be assumed that in the image P (n, m) only the xcex4 peak may be present, the computation of the center of gravity of the intensity of xcex4 peaks allows the correct determination of xcex4 peak even if a fraction is present in the position of xcex4 peaks. When the peak intensity xcex4 is computed after normalizing the intensity of the entire image P (n, m), the intensity will be weaken if the unmatched area in the pair of images is larger, in other words, if the noise increases. By expressing the peak intensity as the correlation value the match between images in the pair may be evaluated. By using the apparatus as shown in FIG. 25, focusing will be carried out in accordance with the flow chart shown in FIG. 9. At the beginning, a field of view on which focus will be analyzed will be selected by the fine-tuning mechanism of the specimen provided by the specimen stage 18. The selection of a field of view includes also the setting of magnification rate of the observation and of objective aperture. Then the display shown in FIG. 2 will be used to set the optimal focus, lower correlation threshold, tilt angle xcex1, and repetition of compensation. Once the parameters are set, a pair of images may be taken by using the electron detector 17. From a pair of images captured, an analyzing image P (n, m) will be derived to identify the peak corresponding to the displacement. Thus derived displacement D will be further sent to the computer with control software and image processing software 19. The computer 19 will compute the focus F by means of the relation D=Mxcex1(F+Csxcex12), and the current of the objective lens Iobj to be adjusted corresponding to the focus F, then send this value to the objective lens control circuit 14xe2x80x2 to carry out the adjustment of the current of the objective lens. In this embodiment, exemplary inspections of virus or semiconductor memory using an electron microscope implementing the focusing compensator as have been described above will be described below. Since an electron microscope has a capability of resolution in the level of atoms, may obtain a range of contrasts in accordance with the structure of the specimen, a variety of observations is performed in biological as well as non biological fields. In case of viral inspection, the viruses, such as AIDS and Influenza, which are too small to be identified by an optical microscope, should be identified in shorter times, to determine whether viruses is present or absent, and to diagnose whether the infection is present or absent for a number of patients. In such a situation, heretofore, the operator of electron microscopy inserts the specimen by hand to the electron microscope operating in manual mode to evaluate by naked eyes. The inspection of semiconductor memory is another example. A specimen, which was picked up in an appropriate manner and processed to the shape suitable for observation will be set to an electron microscope and observed. Since the density of integration in recent years is increasingly becoming higher than ever and the number of field of view to be observed is increasing more and more, it is nearly impossible for an inspector to manually find every defect. In addition, since most of specimens are not made flat, and are not always placed in a plane perpendicular to the electron beam, the focusing point will be gradually shifted when the field of view is continuously transferred one after another, so that the focusing should be done each time. Accordingly, the throughput of the observation by means of automatic control of the inspection process is being a matter of utmost concern. An example of viral inspection in accordance with a preferred embodiment of the present invention will be described below in greater details, with reference to FIG. 20, using the functionality of automatic focus compensation in accordance with the present application. Similarly to the first embodiment above, the displacement D will be calculated from a pair of taken images to derive the amount of defocus F and the current of the objective lens Iobj corresponding thereto. Based on these parameters the objective lens will be immediately readjusted. Thereafter another image will be taken. Otherwise the image for inspection will be taken after repeating the fine adjustment of specimen and the focusing for several times until the target field of view will be seen on the image. The comparison with the image registered for the reference with respect to the viruses to be extracted will be performed thereafter. Also in this preferred embodiment, similar to the analysis of the displacement D, the consistency of the shapes will be evaluated by using the displacement analysis based on the phase variance of two Fourier transform images to derive the correlation value to determine that the virus is found therein when the correlation value is less than the predetermined lower correlation threshold (limit). In this case either the x and y coordinates of the specimen stage 18 on which the viruses have been found or the specimen number will be stored. If no virus is found in the field of view then the field of view will be shifted to the next. To do this each fine tuning mechanism for x-, y-, z-axis respectively attached to the specimen stage 18 may be used to move it to change the field of view, or alternatively the deflective coil for condenser system 16 may be used to transfer the position of electron beam. Alternatively the fine adjustment mechanism may be provided at the attachment of the electron detector 17 to the electron microscope to move the electron detector 17 itself. As can be recognized by those skilled in the art, the compensation for the transition and drift of the position of specimen evidently means displacing the position of the electron beam detector relative to the irradiation point of the electron beam transmit through the specimen, therefore the most suitable solution may be chosen in accordance with the context. There are also a plurality of solutions for the focusing compensation. In the preferred embodiment as have been described just above, the compensation for focusing may be carried out by adjusting the current of the objective lens to change the focal distance, however, the compensation alternatively may be performed by detecting the amount of displacement D to finely adjust accordingly the position of specimen by means of the specimen stage 18 such as in the direction of incident axis of electron beam in case that the specimen has been located at the focal position. This alternative solution is just like that as shown in the flow in FIG. 20 the specimen stage is transferred into the direction of z axis after calculating the amount of defocus F. In case of a drifted specimen either the specimen stage 18 may be moved, or the mounted position of the electron detector 17 may be fine-tuned in correspondence with the amount of displacement D in the plane perpendicular to the incident direction of the electron beam. Next, referring to FIG. 21 an example carrying out the preferred embodiment in accordance with the present invention will be described below in greater details. An image (plan view) of an exemplary memory cell, observed from above thereof, transmit from the electron detector 17 is as shown in the figure. In most cases the semiconductor chip is consisted of a number of regular iterative arrays of a given pattern of shape as shown. A contrast anomaly caused by for example a defect or contamination debris may be included in part thereof. In FIG. 21, there are shown defects like line segments and a round contaminant. At first the focusing may be adjusted as have been described above, and then the field will be compared with a registered pattern. An example of comparison scheme will be described with reference to FIG. 22. By making use of regular pattern in the arrays to be inspected, the field of view will be clipped to the size of an elementary pattern. In this situation the same size of image will be suitable for the registered image to be compared with. Similar to the viral inspection as above, the consistency of the pattern will be evaluated, and if the correlation value falls below a predetermined lower threshold the corresponding address of memory will be recorded. Thereafter the position of clipped field of view will be shifted to the next to iteratively evaluate the consistency of the pattern. Once the inspection of the entire image captured has been completed, the field of view will be changed by means of for example the specimen stage 18 or the deflective coil for condenser system 16 and the focus will be readjusted to resume the inspection. In the foregoing description there are a plurality of patterns of memory cells in the image captured by the electron beam detector at the beginning, however, it may be possible that the defects to be detected may be much smaller, or the contrast of the image may be much lower. In such a case it may be required to increase the magnification rate to a level sufficient to the observation. To do this an image of just one memory cell will be taken with a higher magnification rate to compare one by one with the registered image without clipping. In FIG. 22 the field of view moves from left hand side to the right hand side on the drawing sheet. However, any other sequences are equally allowed, and some examples are shown in FIG. 23. The sequence should be chosen in accordance with the performance of the fine adjuster of the specimen stage and the precision of deflection of the deflective coil used. In this specification some embodiments by means of a transmission electron microscopy (TEM) have been described by way of examples, however, the technology disclosed in the present invention may be equally applied to any other type of inspection apparatus for viewing images by using charged particle beams such as electrons and ions, including electron microscopes, such as scanning electron microscopes (SEM), scanning transmission electron microscopes (STEM), scanning ion microscopes (SIM). [Forth Embodiment] In an apparatus for observing or inspecting a specimen by continuously moving the specimen stage, the transfer of specimen stage at a predetermined constant speed may become difficult if the transfer speed is faster than 5 m/sec due to the error caused by the vibration, inconstant speed, and the precision of transfer rails. This problem can be solved by the application of the present invention, by using a first charged particle beam as the probe to probe the specimen to detect a second charged particle beam emitted from the specimen to compute the error of the current position of the specimen stage with the target position thereof by using the phase limitation from a plurality of images thus obtained to feed back the result to the specimen stage or to the deflector which deflects the probe before the next image of the specimen to be inspected in next turn will be captured. This allows onset of erroneous judgments in the inspection of continuously moving specimen to be decreased. More specifically, The probe, first charged particle beam will be collimated to scan a predetermined area on the specimen through the deflector and objective lens, then the second charged particle beam emitted from the specimen will be detected by the detector, and the detected signals will be converted from analog to digital domain to store in a storing means. The starting point of recording will be held at a given constant position for every sessions and the scanning will be started over again at timing management signals or signal from the specimen stage or the marking on the specimen. At a predetermined period of time after the capture of first image, a second image will be captured. These first and second images will be subjected to the Fourier transform to determine the phase variance therebetween and will be subjected then to the invert Fourier transform to determine the displacement from the origin based on the distance of address in the storage means to feed the result back to the controller of the specimen stage or to the deflector. The error found in comparison of the first image with the second image, due to the malfunction or incorrect operation during transfer of the specimen stage will be decreased. [Fifth Embodiment] In the first embodiment described above, an example using a CCD camera as the imaging device has been disclosed. The fundamental configuration of the CCD camera used is comprised of a scintillator 71, a photo coupler 72, and a CCD camera 73, as have been described above with reference to FIG. 6. The images formed on the scintillator 71 will be focused on the CCD camera 73 at a constant magnification rate of projection. In this embodiment, an example using a zoom lens for the photo coupler 72 to variably set the magnification rate of projection in compliance with the analytic result of the displacement will be described below, with reference to FIG. 27. At the bottom part of the electron microscope is mounted a electron detector 17 in a manner similar to FIG. 19. The detailed configuration is shown in the figure. The electron image made of electron beam will be converted to optical image by the scintillator 101 placed in a vacuum. The scintillator 101 is adhered on a glass substrate 103, is polished to the most suitable thickness, for example approximately 50 to 120 microns in combination with the accelerated electron beam at 100 kV to 400 kV. The optical image formed by the scintillator 101 will be focused on the imaging device 106 through the optical lens 105. The optical lens 105 and imaging device 106, which are devices of precision structure, are preferably placed and operated in the atmosphere. In other words only the scintillator 101 is installed in the vacuum by using a vacuum seal 102, the optical image will be picked up to the atmosphere through the glass substrate 103 which separates the vacuum and the atmosphere. For the imaging device 106 a vast majority of two dimensional detectors including not only the CCD device but also any imaging devices such as camera tubes. The procedure used for determining the amount of defocus F corresponding to the displacement D between the first and second images is identical to the first embodiment described above. In general, the smaller the amount of defocus F is, the smaller the displacement D between two images. Thus in order to find the amount of displacement D at a higher precision, an effective way is to increase the magnification rate of imaging when the displacement D decreased to less than a given limit to enlarge the displacement. To increase the magnification rate of imaging, it will be sufficient to increase the magnification rate of the electron microscope. However, there may often be arisen undesirable effects, such as the change of the field of view, change in the image contrast resulting from the change of conditions of electron beam optics. Therefore the inventor have devised a method for changing the magnification rate of imaging without touching the electron microscopy, by changing the magnification of the zoom of the optical lens 105. Any zoom lens 105 commercially available in the market equipped with motor-driven zooming mechanism may be used for the optical lens 105. As shown in the box at the right hand bottom corner of the drawings, the magnification of zooming of the optical lens 105 may be increased to for example 1.5 fold when the peak indicating the displacement D is approaching to the origin. If two images at this condition are taken again, a displacement Dxe2x80x2 will be magnified to 1.5 fold accordingly. As can be seen from the foregoing description the compensation of focusing as well as drifting may be enabled at higher precision by feeding the result of analytic images back to the electron detector 17. [Sixth Embodiment] In the first preferred embodiment above a focus compensation using the parallax has been descried. A stigmatizer, compensator for astigmatic aberration using the parallax may also be achieved. An astigmatism is a phenomenon that, as shown in FIG. 28, the focus is distributed to an oval around the optical axis because the electromagnetic field generated by the objective lens 14 has an oval distribution around the optical axis (z-axis). In other words the focus has a distribution described by F(xcex2)=F+A cos2(xcex2xe2x88x92xcex2A), depending on the azimuth xcex2. In this equation F designates to the mean of F(xcex2), referred to as the amount of defocus in general. the A designates to the amount of astigmatism, and xcex2A to the astigmatic orientation. The apparatus and the process flow depicted in FIG. 29 may be used to find the focus distribution around the optical axis by the focus analysis using the parallax to analyze the amount and orientation of astigmatism to feed the result back to the stigmatizer 141. A first TEM image will be taken by irradiating the specimen with the incident electron beam from a first direction approximately in parallel to the optical axis, the z-axis, and a second TEM image will be taken by irradiating the specimen with the incident electron beam from a second direction tilted by an angle xcex1 from the z-axis. The azimuth of the second direction with respect to the x-axis will be xcex22. The displacement D(xcex22) between the first TEM image and the second TEM image will be analyzed by the displacement analysis processor using phase variance of Fourier transform images 20, which will in turn send thus found displacement D(xcex22) to the computer 19, which further will computes the amount of defocus F(xcex22) at the azimuth xcex22. Thereafter, a third TEM image will be taken by irradiating the specimen with the electron beam from a third direction where the direction is tilted by angle xcex1 from the z-axis, and the azimuth to the x-axis becomes xcex23, in order to analyze the displacement D(xcex23) between the first TEM image and the third TEM image to determine the amount of defocus F(xcex23) at the azimuth xcex23 to the x-axis. Then the same procedure of analysis will be applied to a plurality of azimuths xcex2n to determine the distribution of azimuth at the focal point. Any one of fitting methods including such as least-square method will be used to identify the amount of defocus, astigmatism, and the astigmatic orientation from the focal point F(xcex2n) at each orientation. With respect to the astigmatic orientation there may be a case in which the azimuth of incident electron beam may not be in parallel to the direction of displacement vector, by the influence of image rotation being generated within the electron lenses. Since the difference between the orientation of incident electron beam and the direction of displacement vector may be determined once conditions of lens such as the magnification rate has been decided, the difference at each of lens conditions may be stored in the computer to correct the astigmatic orientation based on the stored difference. Based on the result of the astigmatism analysis, the current values I sx and I sy of the stigmatizer 141, required in order for the amount of astigmatism A to become zero, will be computed so as to adjust the stigmatizer 141 through the stigmatizer control circuit 141xe2x80x2. The astigmatic analysis needs a precision by two digits or more superior to the precision of defocus analysis. In a conventional focus analysis system using the cross-correlation for the analysis of displacement it will be very difficult to satisfy a precision required to carry out the stigmation. The analysis of astigmatism is an analysis of focal distribution, so that a large number of times of displacement analysis will be required. The system disclosed herein, equipped with the displacement analysis processor using phase variance of Fourier transform images 20, based on a digital signal processor (DSP), may perform one focusing analysis within a second, allowing one analysis of astigmatism to be completed within a few seconds. The performance of apparatus compensating for an electron microscope based on the displacement between the images taken by the electron microscope such as the focusing analysis using the parallax is largely depending on the displacement analysis. In the analysis method of displacement used in the conventional compensator systems the precision of analysis in theory cannot be smaller than the size of a pixel of the electron detector 17. However, in accordance with the present invention, a precision of analysis smaller than the size of a pixel may be obtained. The apparatus in accordance with the present invention is capable to adjust the focusing at a precision as fine as a skillful operator. Although the time required for analysis and the cost of hardware will be increased when improving the performance in an attempt to improve the precision of focal analysis, such as subdividing the image to be inspected into still smaller pieces, the present invention, which may improve the precision of the analysis of displacement by altering the analysis method of displacement, allows the precision of focusing analysis to be improved without additional time of analysis and cost of hardware. Furthermore, in accordance with the present invention, the consistency between paired images is indicated as a correlation. The operator of the electron microscope may check the reliability of the analytic result output. Incorrect operation may be prevented by setting a lower threshold of correlation values so as not to limit the adjustment of the lens system when a calculated correlation value is less than the lower threshold. In an automatic inspection apparatus, the operator may check to see later whether or not the automatic compensation has been performed correctly, by storing the correlation values in the focal analysis and the results of focal analysis, allowing unmanned operation to be performed. The analysis of displacement in accordance with the present invention is a method making use of the phase component of images, which is almost immune to the variance of background, and is operable if there is a sufficient common area of paired images, even when some extent of shadow of aperture covers the images. The system in accordance with the present invention is still operable when the TEM is not sufficiently configured. In brief, an operator unfamiliar with the operation of TEM may use the system. The foregoing description of some preferred embodiments of the invention has been presented for purposes of illustration and description. It is not intended to be exhaustive or to limit the invention to the precise forms disclosed, and modifications and variations are possible in light of the above teachings or may be acquired from practice of the invention. The embodiments are chosen and described in order to explain the principles of the invention and its practical application to enable one skilled in the art to utilize the invention in various embodiments and with various modifications as are suited to the particular use contemplated. it is intended that the scope of the invention be defined by the claims appended hereto, and their equivalents. |
|
description | The present application is a National Phase entry of PCT Application No. PCT/EP2006/009457, filed Sep. 29, 2006, which claims priority from German Application Number 102005053669.7, filed Nov. 8, 2005, the disclosures of which are hereby incorporated by reference herein in their entirety. 1. Field of the Invention The invention relates to a sample manipulation device, which comprises an observation unit, which is used to observe a sample and to select a predetermined position at which a sample portion to be removed is located, as well as a specimen stage which receives the sample. The invention relates to difficulties in handling which occur when a sample is being observed while simultaneously carrying out manipulations on the sample which are monitored via the observation unit. 2. Background In medical, biological and biochemical research, problems involving experiments with individual cells are gaining more and more importance. An important example thereof is the analysis and clarification of molecular regulating mechanisms or so-called molecule cascades. For this purpose, the cell of interest is detached from the cell array and transferred to a nutrient solution which is suitable to keep the cell alive. If conditions are favorable, the initial cell reproduces until sufficient material for the intended analytical method is present; so-called cell lines are prepared. A considerable disadvantage of the method of preparing cell lines is that the starting cell and the cells descending from this cell encounter idealized environmental conditions. However, analytical results obtained in this way do not represent the conditions actually present in the organism. Neurobiological problems, too, can not be resolved, or resolved only insufficiently, in this manner. For example, after a certain period of time, a neuron isolated from a network of neuronal cells no longer represents that cell which it formerly represented when a part of the neural network. Presently no method is known by which the state of the cell within the network can be preserved with simultaneous reproduction. On the other hand, biochemical problems can be addressed if sensitive analytical methods are used for which small amounts of material—in particular individual cells—are sufficient. This requires individual cells to be removed from the sample and transferred to an analyzing apparatus within a certain time. This is the only way to ensure that degradation processes within cells have not decomposed the substances to be examined and requires specific removal of an individual, identified, selected cell. One possibility of identification is the utilization of morphologic differences which can be determined by means of microscopy. Another possibility of identification consists in the use of dyes. These dyes can be visualized by fluorescence microscopy and thus enable identification of those cells which are marked with this dye. In the prior art, there are presently several possibilities of removing individual cells from a sample. One method involves manual preparation, during which the experimenter observes the sample through a stereo microscope and removes individual cells from the sample by mechanical tools. However, this method is complex, time-consuming, and requires the experimenter to have an above average dexterity which is usually acquired only after many years. Another possibility of preparation consists in microdissection, in which UV lasers, for example, are used for cutting. However, this type of preparation requires tissue sections which do not represent living cells. Moreover, inverted microscopes must be used, i.e., the required manual preparation, on the one hand, and the observation, on the other hand, are effected from opposite directions towards the specimen. Although this can be avoided by consecutively using a stereo microscope, then an inverted microscope. The latter method is highly prone to error, due to the continually repeated changing of the microscopes. Therefore, it is an object of the invention to improve a sample manipulation device of the above-mentioned type such that the preparation and manipulation of individual cells is simplified, as well as a simpler method, less prone to errors, for removing portions from a sample. This object is achieved in a sample manipulation device of the above-described type by providing a manipulation tool, which is shiftable in space relative to the observation unit and comprises a manipulation tip by which portions are removed from the sample, a control unit by which the shifting of the manipulation tool is controlled, as well as an optical position measurement unit connected to the control unit, said optical position measurement unit being used to determine the actual position of the manipulation tip so that specific shifting of the manipulation tip towards the target position can be carried out. Thus, an experimenter observes the sample in the observation unit and selects a portion to be removed from the sample. Such selection may be effected, for example, by guiding the sample portion to be removed into the center of the visual field of the observation unit. Such guiding may be facilitated by crosshairs arranged at the center of the visual field. The portion of the sample in the crosshairs corresponds to the target position. Another possibility results if the image of the sample is represented, for example, on a screen and a corresponding region in the image is selected using a computer mouse or the like. The control unit can then move the manipulation tip of the manipulation tool to the corresponding target position. However, this requires knowledge of the present actual position of the manipulation tip. The optical position of the measurement unit serves to determine the actual position of the manipulation tip. The manipulation tip is moved manually or automatically into the visual field of the position measurement unit, then moved further until it is detected by the position measurement unit. Since the position measurement unit and the observation unit, with their respective coordinate systems, are fixedly positioned relative to each other, the coordinates determined by the optical position measurement unit in its coordinate system can be transformed into the coordinates of the observation unit. In this manner, the three-dimensional distance vector from the manipulation tip to the target position is obtained and a corresponding adjustment can be performed. In this invention, the position measurement unit may be provided with an image-recording and image-processing unit. This allows the process of coordinate determination and transformation to be performed automatically, if the image-processing unit is also connected to the control unit. The image-processing unit is capable of recognizing by itself when the manipulation tip is in focus. In an advantageous, space-saving manner, the specimen stage is arranged between the observation and position measurement units. In this case, the manipulation tip is located on the side of the observation unit. Accordingly, the optical properties of the specimen stage may be taken into account when determining the position of the manipulation tip. It is also possible to arrange the observation and position measurement units on the same side of the specimen stage. Moreover, the specimen stage is advantageously shiftable relative to the observation and position measurement units, in particular within the plane of the stage, so that the sample can be displaced within said plane. Advantageously, the observation and position measurement units are each provided as microscopes, their magnification resulting in higher precision. The position measurement unit can be designed to be shiftable along its optical axis. In one embodiment of the invention, the optical axes of the objectives of the observation and position measurement units are parallel to each other. The plane of the specimen stage is then conveniently perpendicular to these optical axes. This facilitates the construction of the device. However, designs in which the optical axes are not parallel to each other are also possible. In this case, the position measurement unit and the observation unit, for example, are arranged on the same side of the specimen stage and the optical axes are not parallel to each other. However, the position measurement and observation units can be arranged such that the optical axes intersect, for example, in a point on the specimen stage. In this case, as also in the case where the optical axes are not only parallel to each other, but coincide, laser-optical tools and/or laser-optical measurement units can be advantageously included in the device. These tools and/or measurement units can then be coupled into the object plane by the objective of the position measurement unit. Examples of such tools are optical tweezers or a laser scalpel. The shiftability along the optical axis the focus to be changed. Thus, for example, laser scalpel can be used to cut tissue sections out of the sample, parallel to the plane of the stage and at different heights measured from the plane of the stage. The tools of this invention need not necessarily be incorporated in the sample manipulation device, but can be coupled in from outside, for example via fibre ports. Such coupling can result in a more cost effective device. Accordingly, special tools, such as a laser microtome, can be easily coupled in the manner of modules. The position measurement unit may include an objective having a depth of focus on the order of magnitude of the manipulation tip. This ensures that the error of surveying the tip is as small as possible, without increasing the amount of time required as would be the case with an objective having a lower depth of focus. The manipulation tool may be advantageously designed such that the manipulation tip, which may be, for example, a capillary for removal of cells, can be replaced. In another embodiment of the invention, the manipulation tool including the control unit is omitted. Instead of an optical position measurement unit, an optical manipulation unit is provided, by which a selected portion of the sample can be manipulated. The optical assemblies may be substantially identical with those of the position measurement unit, but the image-recording and image-processing unit can be omitted. The specimen stage can also be arranged between the observation and manipulation units and is advantageously shiftable with respect to the observation and manipulation units. Advantageously, the observation and manipulation units may be each provided as microscopes and the manipulation unit is advantageously shiftable along its optical axis. The optical axes of the objectives of both units may be parallel to each other or may coincide. Additionally, any combination of both designs is conceivable, i.e., the optical manipulation unit may be designed such that it can perform the functions of the optical position measurement unit. The manipulation unit may comprise laser-optical tools and/or laser-optical measurement devices, which may be, for example, optical tweezers (forceps). In all embodiments, an incident-light microscope or a stereo microscope, for example, may be provided as the observation unit. Moreover, an image-recording and image-processing unit may be provided in the observation unit. CCD arrays or CMOS arrays are examples of such image-recording units. In the case of a stereo microscope, such an image-recording unit may be coupled to only one of the two channels or to both channels. In this case, the image contains the sum of the intensities of both channels. It is also possible to combine two CCD arrays or CMOS arrays in an image-recording unit in the case of a stereo microscope. This will allow stereo images to be generated. The invention further relates to a method of removing portions from samples, wherein particularly also the aforementioned sample manipulation devices can be used. In such a method, a target position, at which a sample portion to be removed is located, is selected by an observation unit. Next, the actual position of a manipulation tip relative to the observation unit is determined using an optical position measurement unit, the manipulation tip is moved to the target position, and the sample portion is removed. The sample portion removed can then be deposited again at a predetermined position. The actual position is preferably determined in that the manipulation tip is first guided by the control unit into the beam path of the position measurement unit such that the contours of the manipulation tip are recognizable for the position measurement unit. Next, focusing on the tip is effected in several steps; then, the coordinates of the manipulation tip in the coordinate system of the observation unit are determined on the basis of the set parameters. The distance vector between the target position and the actual position in the coordinate system of the observation unit then allows to determine the required adjustment for moving to the target position. The sample manipulation device shown in FIG. 1 includes a stereo microscope 1 as the observation unit. The illumination unit for the sample is also incorporated in said stereo microscope 1. Light is incident through the objective 2 of the stereo microscope 1 on a sample 3 which is fixed on a specimen stage 4. Light reflected by the sample 3 is then directed through the objective 2 to the eyepieces 5 of the stereo microscope 1. The specimen stage 4 is displaceable in the plane of the stage, i.e. perpendicular to the optical axis of the objective 2. To the left of the stereo microscope 1, there is a manipulation tool 6 comprising a manipulation tip 7. The manipulation tool 6 is optional; manipulations of the sample can also be performed via the manipulation objective 8. Using the manipulation tool 6 or the manipulation tip 7, portions of the sample 3, for example individual cells, are removed and deposited in a deposition field 4.1. The deposition field 4.1 may be, for example, a metal plate comprising individual points of measurement, a microtiter plate or the like. If necessary, the deposition positions may be predetermined and stored for further use. For example, lasers 9 may be directed onto the sample 3 via the manipulation objective 8. These lasers 9 may function as tools such as optical tweezers or a laser scalpel, but may also perform measurement tasks. If a manipulation tool 6 is present, the manipulation objective 8 also performs another task, namely that of capturing an image of the manipulation tip 7 and imaging it on a CCD camera 10. Using images recorded from different heights, the manipulation tip 7 can be focused in an iterative manner and, thus, its position relative to the observation unit can be determined. FIG. 2 shows the individual components of the sample manipulation device. The device comprises a stereo microscope 1 including an objective 2, a motor-adjustable specimen stage 4, on which the sample 3 is fixed, as well as a manipulation tool 6 which can be motor-shifted in the three spatial directions and is provided with a manipulation tip 7. The coordinate system of the manipulation tool 6 is designated by the coordinates x′, y′ and z′. A suction device 11 for capillaries is connected to the manipulation tool 6. The sample 3 is irradiated by a source of illumination 12, which is coupled into the beam path via a lens 13. Said source may be, for example, a laser which excites fluorescence if the sample 3 is marked using suitable dyes. The specimen stage 4 can shift parts, which are located in the object plane, within this plane, which is referred to as the X-Y plane. The manipulation tool 6 preferably serves to receive a capillary and, as indicated, can be shifted along the three independent axes x′, y′ and z′. These axes are usually not identical with the axes x, y and z. Below the specimen stage 4, there is the manipulation objective 8, which can be moved in the z-direction, i.e. along the optical axis or perpendicular to the plane of the stage. Sucking up selected particles of tissue requires exact knowledge of the spatial coordinates of the manipulation tip 7. In cases where the manipulation tip 7 is a capillary, these are exchanged more frequently and their coordinates subsequently have to be determined anew. For determining the coordinates of the tip, the low depth of focus of the manipulation objective 8 can be utilized. A control loop comprising the image of the CCD camera 10, a corresponding image-processing software and the control of the motor-adjustable axes x′, y′ and z′ of the manipulation tool 6 allows the exact spatial location of the manipulation tip 7 to be determined. For the duration of the coordinate determination, the manipulation objective is always at the same z-position, which is known to a control unit 14 which controls the measurement. First, the manipulation tip 7 is moved via the control unit 14 until the image processing, which evaluates the image of the CCD camera 10 and may be integrated in the control unit 14, recognizes the contours of the manipulation tip 7. Then, the control loop becomes effective and the manipulation tool 6 including the manipulation tip 7 is moved via the control unit 14 such that the manipulation tip 7 is sharply imaged onto the CCD camera 10 at the end of the feedback control. Since the imaging conditions of the manipulation objective 8 in combination with the imaging lens 15 are known to the control unit, the z coordinate of the manipulation tip 7 in the coordinate system of the stereo microscope can thus be determined. It is also possible to determine the x and y coordinates via the location of the manipulation tip 7 within the image recorded by the CCD camera 10. Advantageously, the control loop may also be configured such that the manipulation tip 7 is located at the center of the image at the end of the procedure. If parts of the manipulation tool 6 are located within the focal depth range of the manipulation objective 8 already during the search for the contours of the manipulation tip 7, this may also be utilized to move the tool so that the manipulation tip 7 is sharply imaged at the end of the feedback control. The axes of the manipulation tool 6 may then be adjusted, for example, electromechanically. Using a capillary with an angled tip clamped such that it is rotatable about its axis, the angular position may be determined as well, so that the tip can be rotated to the appropriate angle in each case. This can be uniquely achieved by determining the position of the tip at various angular positions—either by adjustment and simultaneous focusing or by rotating and then searching. The positions, which may be located on the circumference of a circle, allow determination of the center of said circle. In application, an angled capillary has advantages, because it can be fixed almost parallel to the object plane, thus providing a better sensitively for heights, for example, during transfer from optical tweezers. In order to deposit the removed sample portion, the capillary is then rotated such that its opening points downwards. Laser-optical tools may also be provided in addition to or instead of the manipulation tool 6. In FIG. 2, two lasers 9 are provided, respectively emitting light at different wavelengths. The upper of the two lasers 9 functions as a so-called laser scalpel, its radiation being coupled, via the beam shaper 16, the lens 17 and the beam splitters 18 and 19, into the manipulation objective 8 and, from there, into the object plane. The lower of the two lasers 9 functions as so-called optical tweezers. Its light is coupled, via a beam shaper 20, a deflecting mirror 21, a scanning unit 22, the beam splitter 18, the lens 17, and the beam splitter 19, into the manipulation objective 8 and, from there, into the object plane. By means of the scanning unit 22, the optical tweezers can be freely moved within the visual field of the manipulation objective 8. The stereo microscope 1, the specimen stage 4, the movement of the manipulation objective 8 along the z-direction as well as the movement of the manipulation tool 6 can also be controlled centrally by a separate unit. Said unit may be, for example, a PC 23, which is connected to a screen 24. The control unit 14 may also be integrated into this PC 23, but may be connected to the latter. For example, the microscope image recorded by a CCD camera 25 as well as the locations of the laser-optical tools can be displayed on the screen 24 of the PC 23. In a further embodiment of the invention, at least some of the individual steps, but preferably each step, from observation via selection to preparation, recording and transfer through discharge of the particle of tissue, can be precisely documented, to which end the CCD camera 25 makes the essential contribution. The positions at which the removed cell or the tissue particle is to be deposited can be predetermined and stored, also depending on the sample. This is advantageous, in particular, where tissue particles are removed from many different samples and need to be unmistakably identified later, e.g. in forensic medicine. In the laser-optical tools, a so-called spatial light modulator (SLM) may also be provided instead of a scanning unit 22. Said modulator allows to realize also a multiplicity of optical tweezers, basically even so-called multi-beam tweezers. |
|
summary | ||
summary | ||
abstract | An unirradiated nuclear fuel assembly and fuel component shipping cask that employs a liner with a universal, removable, reusable axial restraint device that can accommodate various fuel assembly designs. The restraint device has a top shear plate with a groove that encircles its peripheral edge and mates with corresponding rails on each of the walls of the liner. The top shear plate includes an anchoring mechanism for supporting a side of the top shear plate against an abutting side of a stationary wall of the liner. |
|
summary | ||
summary | ||
summary | ||
description | This application is the U.S. National Phase application of PCT/EP2009/058898, filed Jul. 13, 2009, which claims the benefit of priority from U.S. Provisional Application Nos. 61/136,129, filed Aug. 14, 2008, 61/136,130, filed Aug. 14, 2008, and 61/193,373, filed Nov. 21, 2008, the entire contents of all of which are incorporated herein by reference. The present invention relates to a lithographic apparatus and a method for producing extreme ultraviolet radiation. A lithographic apparatus is a machine that applies a desired pattern onto a substrate, usually onto a target portion of the substrate. A lithographic apparatus can be used, for example, in the manufacture of integrated circuits (ICs). In that example, a patterning device, which is alternatively referred to as a mask or a reticle, may be used to generate a circuit pattern to be formed on an individual layer of the IC. This pattern can be transferred onto a target portion (e.g. including part of one or several dies) on a substrate (e.g. a silicon wafer). Transfer of the pattern is typically via imaging onto a layer of radiation-sensitive material (resist) provided on the substrate. In general, a single substrate will contain a network of adjacent target portions that are successively patterned. Known lithographic apparatus include steppers, in which each target portion is irradiated by exposing an entire pattern onto the target portion at one time, and scanners, in which each target portion is irradiated by scanning the pattern through a radiation beam in a given direction (the “scanning” direction) while synchronously scanning the substrate parallel or anti-parallel to this direction. A theoretical estimate of the limits of pattern printing can be given by the Rayleigh criterion for resolution: CD = k 1 * λ NA PS ( 1 ) where λ is the wavelength of the radiation used, NAPS is the numerical aperture of the projection system used to print the pattern, k1 is a process dependent adjustment factor, also called the Rayleigh constant, and CD is the feature size (or critical dimension) of the printed feature. It follows from the Rayleigh criterion that reduction of the minimum printable size of features can be obtained in three ways: by shortening the exposure wavelength λ, by increasing the numerical aperture NAPS or by decreasing the value of k1. In order to shorten the exposure wavelength, and thus reduce the critical dimension, it has been proposed to use an extreme ultraviolet (EUV) radiation source. EUV radiation sources are configured to output a radiation wavelength of about 13 nm. Thus, EUV radiation sources may constitute a significant step toward achieving small features printing. Possible sources of EUV radiation include, for example, laser-produced plasma sources, discharge plasma sources, or synchrotron radiation from electron storage rings. When using a plasma source, contamination particles are created as a by-product of the plasma generation. Generally, such contamination particles are undesired because they adhere for example to reflective surfaces of the lithographic apparatus. Build up of contamination particles on reflective surfaces of the lithographic apparatus reduces the reflectivity of those surfaces, and consequently reduces the achievable throughput of the lithographic apparatus. It is desirable to reduce the accumulation of contamination particles on reflective surfaces of the lithographic apparatus. According to an aspect of the invention, there is provided a lithographic apparatus includes a source configured to generate a radiation beam comprising desired radiation and undesired radiation using a plasma, an illumination system configured to condition the radiation beam and to receive hydrogen gas during operation of the lithographic apparatus, and a support structure constructed to hold a patterning device. The patterning device is capable of imparting the radiation beam with a pattern in its cross-section to form a patterned radiation beam. A substrate table is constructed to hold a substrate, and a projection system is configured to project the patterned radiation beam onto a target portion of the substrate. The lithographic apparatus is configured such that the radiation beam on entering the projection system includes at least 50% of the undesired radiation that is generated by the plasma and includes wavelengths of radiation that interact with the hydrogen gas to generate hydrogen radicals. According to an aspect of the invention, there is provided a device manufacturing method a device manufacturing method that includes generating a radiation beam comprising desirable radiation and undesirable radiation using a plasma, directing the radiation beam through an illumination system which conditions the radiation beam, directing the radiation beam onto a patterning device which imparts the radiation beam with a pattern in its cross-section to form a patterned radiation beam, projecting the patterned radiation beam onto a target portion of a substrate using a projection system, providing hydrogen gas to the illumination system and/or the projection system, and generating hydrogen radicals from the hydrogen gas with the undesirable radiation that is generated by the plasma. The radiation beam on entering the illumination system and/or the projection system includes at least 50% of the undesirable radiation that is used to generate the hydrogen radicals. According to an aspect of the invention, there is provided a lithographic apparatus that includes a source configured to generate a radiation beam using a plasma, an illumination system configured to condition the radiation beam, and a support structure constructed to hold a patterning device. The patterning device is capable of imparting the radiation beam with a pattern in its cross-section to form a patterned radiation beam. A substrate table is constructed to hold a substrate, and a projection system is configured to project the patterned radiation beam onto a target portion of the substrate. One or more of the source, illumination system and projection system is configured to receive hydrogen gas and an inert gas during operation of the lithographic apparatus. The radiation beam interacts with the inert gas to generate atomic hydrogen to increase an amount of cleaning provided by the hydrogen gas. According to an aspect of the invention, there is provided a device manufacturing method that includes generating a radiation beam using a plasma which forms part of a source, directing the radiation beam through an illumination system which conditions the radiation beam, directing the radiation beam onto a patterning device which imparts the radiation beam with a pattern in its cross-section to form a patterned radiation beam, projecting the patterned radiation beam onto a target portion of a substrate using a projection system, providing a mixture of hydrogen gas and an inert gas to one or more of the source, illumination system and projection system, and generating atomic hydrogen with the radiation beam and the inert gas to increase an amount of cleaning provided by the hydrogen gas. FIG. 1 schematically depicts a lithographic apparatus 1 according to an embodiment of the invention. The apparatus comprises: an illumination system (illumination system) IL configured to condition a radiation beam B of radiation. The apparatus also includes a support structure (e.g. a mask table) MT constructed to support a patterning device (e.g. a mask) MA and connected to a first positioner PM configured to accurately position the patterning device in accordance with certain parameters; a substrate table (e.g. a wafer table) WT constructed to hold a substrate (e.g. a resist-coated wafer) W and connected to a second positioner PW configured to accurately position the substrate in accordance with certain parameters; and a projection system (e.g. a refractive or reflective projection lens system) PS configured to project a pattern imparted to the radiation beam B by patterning device MA onto a target portion C (e.g. comprising one or more dies) of the substrate W. The illumination system may include various types of optical components, such as refractive, reflective, magnetic, electromagnetic, electrostatic or other types of optical components, or any combination thereof, for directing, shaping, or controlling radiation. The support structure MT holds the patterning device in a manner that depends on the orientation of the patterning device, the design of the lithographic apparatus, and other conditions, such as for example whether or not the patterning device is held in a vacuum environment. The support structure MT can use mechanical, vacuum, electrostatic or other clamping techniques to hold the patterning device. The support structure MT may be a frame or a table, for example, which may be fixed or movable as required. The support structure MT may ensure that the patterning device is at a desired position, for example with respect to the projection system. Any use of the terms “reticle” or “mask” herein may be considered synonymous with the more general term “patterning device.” The term “patterning device” used herein should be broadly interpreted as referring to any device that can be used to impart a radiation beam with a pattern in its cross-section such as to create a pattern in a target portion of the substrate. It should be noted that the pattern imparted to the radiation beam may not exactly correspond to the desired pattern in the target portion of the substrate, for example if the pattern includes phase-shifting features or so called assist features. Generally, the pattern imparted to the radiation beam will correspond to a particular functional layer in a device being created in the target portion, such as an integrated circuit. The patterning device may be reflective. Examples of patterning devices include masks, programmable mirror arrays, and programmable LCD panels. Masks are well known in lithography, and include mask types such as binary, alternating phase-shift, and attenuated phase-shift, as well as various hybrid mask types. An example of a programmable mirror array employs a matrix arrangement of small mirrors, each of which can be individually tilted so as to reflect an incoming radiation beam in different directions. The tilted mirrors impart a pattern in a radiation beam which is reflected by the mirror matrix. The term “projection system” used herein should be broadly interpreted as encompassing any type of projection system, including refractive, reflective, catadioptric, magnetic, electromagnetic and electrostatic optical systems, or any combination thereof, as appropriate for the exposure radiation being used. Any use of the term “projection lens” herein may be considered as synonymous with the more general term “projection system”. As here depicted, the apparatus may be of a reflective type (e.g. employing a reflective mask). The lithographic apparatus may be of a type having two (dual stage) or more substrate tables (and/or two or more patterning device tables). In such “multiple stage” machines the additional tables may be used in parallel, or preparatory steps may be carried out on one or more tables while one or more other tables are being used for exposure. Referring to FIG. 1, the illumination system IL receives a radiation beam from a radiation source SO. The source SO and the illumination system IL, together with the beam delivery system if required, may be referred to as a radiation system. The illumination system IL may comprise an adjuster for adjusting the angular intensity distribution of the radiation beam. Generally, at least the outer and/or inner radial extent (commonly referred to as α-outer and α-inner, respectively) of the intensity distribution in a pupil plane of the illumination system can be adjusted. In addition, the illumination system IL may comprise various other components, such as an integrator and a condenser. The illumination system may be used to condition the radiation beam, to have a desired uniformity and intensity distribution in its cross-section. The radiation beam B is incident on the patterning device (e.g., mask) MA, which is held on the support structure (e.g., mask table) MT, and is patterned by the patterning device. Having traversed the patterning device MA, the radiation beam B passes through the projection system PS, which focuses the beam onto a target portion C of the substrate W. With the aid of the second positioner PW and position sensor IF2 (e.g. an interferometric device, linear encoder or capacitive sensor), the substrate table WT can be moved accurately, e.g. so as to position different target portions C in the path of the radiation beam B. Similarly, the first positioner PM and another position sensor IF1 can be used to accurately position the patterning device MA with respect to the path of the radiation beam B, e.g. after mechanical retrieval from a mask library, or during a scan. In general, movement of the support structure MT may be realized with the aid of a long-stroke module (coarse positioning) and a short-stroke module (fine positioning), which form part of the first positioner PM. Similarly, movement of the substrate table WT may be realized using a long-stroke module and a short-stroke module, which form part of the second positioner PW. In the case of a stepper (as opposed to a scanner) the support structure MT may be connected to a short-stroke actuator only, or may be fixed. Patterning device MA and substrate W may be aligned using patterning device alignment marks M1, M2 and substrate alignment marks P1, P2. Although the substrate alignment marks as illustrated occupy dedicated target portions, they may be located in spaces between target portions (these are known as scribe-lane alignment marks). Similarly, in situations in which more than one die is provided on the patterning device MA, the patterning device alignment marks may be located between the dies. The depicted apparatus could be used in at least one of the following modes: 1. In step mode, the support structure MT and the substrate table WT are kept essentially stationary, while an entire pattern imparted to the radiation beam is projected onto a target portion C at one time (i.e. a single static exposure). The substrate table WT is then shifted in the X and/or Y direction so that a different target portion C can be exposed. In step mode, the maximum size of the exposure field limits the size of the target portion C imaged in a single static exposure. 2. In scan mode, the support structure MT and the substrate table WT are scanned synchronously while a pattern imparted to the radiation beam is projected onto a target portion C (i.e. a single dynamic exposure). The velocity and direction of the substrate table WT relative to the support structure MT may be determined by the (de-)magnification and image reversal characteristics of the projection system PS. In scan mode, the maximum size of the exposure field limits the width (in the non-scanning direction) of the target portion in a single dynamic exposure, whereas the length of the scanning motion determines the height (in the scanning direction) of the target portion. 3. In another mode, the support structure MT is kept essentially stationary holding a programmable patterning device, and the substrate table WT is moved or scanned while a pattern imparted to the radiation beam is projected onto a target portion C. In this mode, generally a pulsed radiation source is employed and the programmable patterning device is updated as required after each movement of the substrate table WT or in between successive radiation pulses during a scan. This mode of operation can be readily applied to maskless lithography that utilizes programmable patterning device, such as a programmable mirror array of a type as referred to above. Combinations and/or variations on the above described modes of use or entirely different modes of use may also be employed. FIG. 2 shows the apparatus of FIG. 1 in more detail, including the source SO, the illumination system IL, and the projection system PS. The source SO generates EUV radiation, as well as non-EUV radiation such as, for example, ultraviolet (UV) radiation and deep ultraviolet (DUV) radiation, and infrared (IR) radiation from a plasma 2. The plasma 2 is created by directing a laser beam 5 onto droplets of a suitable material such as Sn or Gd which are generated by a droplet generator 20. The laser beam 5 causes the droplets to be vaporized, thereby generating the plasma 2. A source of this type may be referred to as a laser produced plasma (LPP) source. Radiation emitted by the plasma 2 is collected by a collector 3, and is brought to an intermediate focus 8. The intermediate focus 8 acts as a virtual source point 8 at an aperture in the source SO. From the source SO, a beam of radiation 9 is reflected in the illumination system IL via first and second normal incidence reflectors 10, 11 onto a patterning device MA (e.g. a mask) positioned on support structure MT. A patterned beam 12 is formed which is imaged in the projection system PS via first and second reflective elements 13, 14 onto a substrate W held on a substrate table WT. More elements than shown may generally be present in the illumination system IL and projection system PS. In an alternative configuration (not illustrated) the EUV radiation may be generated by causing a partially ionized plasma of an electrical discharge to collapse onto an optical axis. This source may be referred to as a discharge produced plasma (DPP) source. Partial pressures of for example 10 Pa of Xe, Li, Sn vapor or any other suitable gas or vapor may be used to generate the EUV radiation emitting plasma. Although the collector 3 shown in FIG. 2 is a single curved mirror, the collector may take other forms. For example, the collector may be a Schwarzschild collector having two radiation collecting surfaces. In an alternative example, the collector may be a grazing incidence collector which comprises a plurality of substantially cylindrical reflectors nested within one another. The grazing incidence collector may be suited for use in a DPP source. In general, a collector may be configured to collect radiation generated by a plasma 2, and to focus collected radiation to form a radiation beam. The radiation beam may pass through an aperture 6 between a source SO and an illumination system IL (shown schematically as separate apertures in the source SO and illumination system IL for ease of illustration). The aperture 6 may be a circular aperture, or have another shape (for example elliptical, square, etc). The aperture 6 may be small, for example having a diameter less than about 10 cm, preferably less than 1 cm, (measured in a direction transverse to an optical axis of the lithographic apparatus. When using a laser produced plasma (LPP) source or discharge produced plasma (DPP) source, contamination may be produced in the form of fast ions and/or neutral particles (for example Sn (tin)). Such contamination may build up on the reflective surface(s) of the collector 3, causing the collector to lose reflectivity and thereby reducing the efficiency of the collector. Contamination may also cause other reflective components of the lithographic apparatus (for example mirrors 10, 11, 13, 14 or patterning device MA) to lose reflectivity over time. The throughput of the lithographic apparatus is dependent upon the intensity of EUV radiation which is incident on a substrate being exposed. Any reduction of reflectivity which arises due to the build up of contamination on the collector or other reflective surfaces of the lithographic apparatus will reduce the throughput of the lithographic apparatus. In an embodiment of the invention, contamination of reflective surfaces of the lithographic apparatus may be removed using hydrogen radicals. The hydrogen radicals remove contamination from the reflective surfaces by reacting with the contamination. For example, hydrogen radicals can react with tin (Sn) contamination to form gaseous SnH4 that may then be pumped out of the lithographic apparatus. In another example, hydrogen radicals can react with carbon (C) contamination to form hydrocarbons which may then be pumped out of the lithographic apparatus. In addition to removing contamination, the hydrogen radicals may also inhibit the depositing of contamination on the reflective surfaces in the first place. The hydrogen radicals may be generated via dissociation of hydrogen molecules. This dissociation may arise due to radiation emitted by the plasma 2 being incident upon the hydrogen molecules and causing the hydrogen molecules to atomize and form hydrogen radicals. The source SO may contain an atmosphere of hydrogen (i.e. hydrogen gas) during use (i.e. during operation of the lithographic apparatus). The pressure of hydrogen in the source SO may depend upon whether the source is an LPP source or a DPP source. In an LPP source, the pressure of hydrogen may for example be tens of Pascals, around 100 Pa, or several hundred Pascals. In a DPP source, the pressure of hydrogen may for example be in the range 2-5 Pa, and may for example be 3 Pa. The pressure in the source is sometimes referred to as the base pressure. In some instances, the plasma may be generated in a chamber (not illustrated) within the source SO. The chamber, which is referred to here as the plasma chamber, may for example be present in an LPP source. The pressure of hydrogen gas in the chamber may be higher than the pressure of hydrogen gas in the remainder of the source SO. The radiation emitted by the plasma 2 causes dissociation of hydrogen molecules of the hydrogen gas in the source SO (including in the plasma chamber where it is present), thereby generating hydrogen radicals. The hydrogen radicals remove contamination from reflective surfaces in the source, and may also inhibit the depositing of contamination on the reflective surfaces. Using radiation emitted by the plasma 2 to generate hydrogen radicals may have several advantages. For example, it is not necessary to use hardware such as a dedicated hydrogen radical generator to generate the hydrogen radicals. In addition to being expensive, dedicated hydrogen radical generators generally work by dissociating hydrogen using tungsten filaments. The tungsten filaments could lead to unwanted tungsten contamination in the lithographic apparatus. This tungsten contamination risk is avoided since a dedicated hydrogen radical generator is not required by embodiments of the present invention. An additional advantage of using radiation emitted by the plasma 2 to generate hydrogen radicals is that it allows cleaning of reflective surfaces of the lithographic apparatus to be carried out during operation of the lithographic apparatus (i.e. simultaneously with exposure of substrates by the lithographic apparatus). A further advantage which arises from reducing the build up of contamination on reflective surfaces is that the extent to which the reflective surfaces are heated by radiation absorption is reduced. This is because the reflectivity of the reflective surfaces remains higher than would otherwise be the case, leading to less radiation being absorbed. In a conventional lithographic apparatus, a filter is typically used to filter out wavelengths of radiation which are not required for projection of a pattern from a patterning device MA onto a substrate W, which may be called “undesired radiation.” For example, if the lithographic apparatus is configured to use EUV radiation for projection of a pattern onto a substrate, the filter may for example be a spectral purity filter which is arranged to transmit desired radiation having a wavelength of, for example 13.5 nm which is within the EUV range of wavelengths, while blocking undesired radiation of other wavelengths. The undesired radiation may include the UV, DUV, and IR radiation that is also generated by the plasma in the source. It is conventional to provide a spectral purity filter adjacent to the plasma 2 (for example between the collector 3 and the aperture 6 of the source SO), in order to limit the amount of radiation which passes into the illumination system IL and projection system PS of the lithographic apparatus. The term ‘spectral purity filter’ may be considered to mean a filter which selects a specific desired portion of the electromagnetic spectrum (for example 13.5 nm, 6.7 nm or 6.8 nm). Dissociation of hydrogen into hydrogen radicals may be a function of the intensity of the radiation generated by the plasma and/or the wavelength of the radiation generated by the plasma. For these reasons, in an embodiment of the invention a spectral purity filter is located in the illumination system IL or the projection system PS instead of being located adjacent to the plasma 2. In an embodiment of the invention, the spectral purity filter 80 is positioned at an end of the projection system PS which receives the radiation beam last. Since the spectral purity filter 80 is located at the end of the projection system PS which receives the radiation beam last, in addition to passing through the source SO, the unfiltered radiation emitted by the plasma 2 passes through the illumination system IL and projection system PS. This unfiltered radiation may dissociate hydrogen present in the source SO, illumination system IL and projection system PS, thereby generating hydrogen radicals which clean optical surfaces of the collector 3, illumination system mirrors 10, 11 and projection system mirrors 13, 14. In an embodiment, at least 50% of the undesired radiation that is generated by the source SO and may be used to generate hydrogen radicals passes through the illumination system IL and projection system PS. In an embodiment, at least 70% of the undesired radiation that is generated by the source SO passes through the illumination system IL and projection system PS. In an embodiment, at least 90% of the undesired radiation that is generated by the source SO passes through the illumination system IL and projection system PS. The intensity of the unfiltered radiation will reduce gradually as it passes through the illumination optics unit and projection system, since a loss is incurred each time the radiation is reflected by the reflective surfaces 10, 11, 13, 14. However, the unfiltered radiation is more intense than would have been the case if it had been filtered by a spectral purity filter prior to entering the illumination system IL and projection system PS. The intensity of radiation that impinges on the spectral purity filter 80 is less than the intensity of radiation that would have impinged on the spectral purity filter if it was located adjacent to the plasma 2. As a result of this reduced intensity, the lifetime of the spectral purity filter may be significantly increased. In an embodiment of the invention, a spectral purity filter 81 is positioned at an end of the illumination system IL which receives the radiation beam last. Since the spectral purity filter 81 is located at the end of the illumination system IL, which receives the radiation beam last, in addition to passing through the source SO, the unfiltered radiation emitted by the plasma 2 passes through the illumination system IL. This unfiltered radiation may dissociate hydrogen present in the source SO and the illumination system IL, thereby generating hydrogen radicals which clean optical surfaces of the collector 3, and the illumination system mirrors 10, 11. Providing the spectral purity filter in the illumination system IL ensures that the radiation beam is filtered before it is incident upon the patterning device MA. This may be desirable for example if the unfiltered radiation beam would be expected to transfer too much heat to the patterning device. The spectral purity filter 81 may for example be provided in the illumination system instead of or as well as the spectral purity filter 80 in the projection system. The illumination system spectral purity filter 81 and the projection system spectral purity filter 80 may be provided at any suitable locations in the illumination system an the projection system. The positions shown in FIG. 2 are merely examples. The spectral purity filter may be a transmissive filter 80, 81 (as shown in FIG. 2) or may be a reflective filter, such as for example a blazed grating. Where the spectral purity filter is a transmissive filter, it may for example have a transmission of at least 40%, at least 60%, at least 80%, or at least 90% for desired radiation such as EUV radiation (e.g. at 13.5 nm). Where the spectral purity filter is a reflective filter, it may for example have a reflectance of at least 40%, at least 60%, at least 80%, or at least 90% for desired radiation such as EUV radiation (e.g. at 13.5 nm). The spectral purity filter's transmission ratio of EUV radiation to DUV radiation may be 100, 1000 or even up to 105. The spectral purity filter 80, 81 may be configured to filter out undesired radiation. Undesired radiation may be defined as radiation having wavelengths other than the wavelength which is used to project a pattern onto a substrate (e.g. wavelengths other than 13.5 nm). The undesired radiation, which may be reflected or absorbed by the spectral purity filter, may have wavelengths which are longer or shorter than the desired radiation. In an embodiment of the invention, undesired radiation and desired radiation are both used to dissociate hydrogen into hydrogen radicals. This may happen in the source SO, illumination system IL or projection system PS of the lithographic apparatus. The undesired radiation may include deep ultraviolet (DUV) radiation and ultraviolet (UV) radiation. The spectral purity filter 80, 81 may include a multi-layered structure of alternating layers. The multi-layered structure of the spectral purity filter may have for example 2-200 alternating layers, 10-100 alternating layers, or 20-50 alternating layers. The alternating layers may for example have a thickness of 0.2 to 100 nm, 0.2 to 20 nm, or 0.5 to 5 nm. Each of the alternating layers may form continuous layers of substantially constant thickness. The total thickness of the multi-layered structure of alternating layers may range from 10 to 700 nm, and may range from 100 to 200 nm. The multi-layered structure of alternating layers of the spectral purity filter 80, 81 may be formed from any suitable number of different alternating layers. For example, there may be two different layers which alternate with one another. Alternatively, there may be three different layers which alternate with one another. The alternating layers forming the multi-layered structure of the spectral purity filter 80 may be formed from a combination of any of the following: Zr and Si layers; Zr and B4C layers; Mo and Si layers; Cr and Sc layers; Mo and C layers; and Nb and Si layers. The multi-layered structure of alternating layers may be formed by depositing alternating layers of, for example, Zr and Si, using any suitable technique such as magnetron sputtering, epitaxy, ion sputtering and e-beam evaporation with or without ion polishing. Additional information regarding the spectral purity filter may be gleaned from co-pending U.S. Pat. No. 7,372,623 issued on May 13, 2008, the content of which is incorporated herein in its entirety by reference. In an embodiment of the invention, more than one radiation filter may be provided in the lithographic apparatus. For example, a filter 82 which blocks infrared radiation may be provided in the source SO. Infrared radiation may provide little or no assistance in the generation of hydrogen radicals via dissociation of hydrogen molecules. Since the infrared radiation would contribute to unwanted heating of mirrors in the illumination system IL and projection system PS, the infrared filter 82 is used to filter out the infrared radiation before it enters illumination system IL and projection system PS. The infrared filter 82 may for example comprise a metal grid, having a period which is less than the wavelength of radiation to be removed. In general, a low-pass filter which blocks wavelengths longer than a desired wavelength may be provided adjacent to the source SO, in the source SO, or at any other suitable location in the lithographic apparatus. In general, one or more filters which filter out at least some wavelengths which are not desired for use in lithographic projection of a pattern onto a substrate, and which do not assist in the generation of hydrogen radicals, may be provided adjacent to the source SO, in the source SO, or at any other suitable location. The one or more filters may be provided such that the radiation beam is filtered by the one or more filters before it is incident upon a reflector of the illumination system IL. The infrared filter 82 described above may be considered to be an example of this kind of filter. In general, one or more filters which filter out wavelengths that assist in the generation of hydrogen radicals but which are not desired for use in lithographic projection of a pattern onto a substrate may be provided in the projection system PS (for example adjacent to the substrate table WT), in the illumination system IL, or in any other suitable location. The spectral purity filters 80, 81 described above may be considered to be examples of this kind of filter. In addition to providing filtering of radiation, the filter may seal the projection system PS adjacent to the substrate stage WT. The filter may be located after the projection system but before the location where a substrate W will be provided in use. For example, the filter may be provided adjacent to a dynamic gas lock which is configured to blow gas towards the substrate. Where this is done, the filter may be located such that gas emitted by the dynamic gas lock is reflected from the surface of the filter towards the substrate, thereby improving the flow of gas towards the substrate. The pressure of hydrogen may be substantially the same in the source SO, and/or the illumination system IL and/or the projection system PS. Alternatively, the pressure may be different in each of these locations. The pressure of hydrogen at a given location may for example be set based on the type and degree of contamination that is expected to arise on the reflective surfaces in that location. For example, more contamination may be present in the source SO (for example at the collector 3), and the contaminant may for example be tin (Sn). Whereas, in the illumination system IL and/or the projection system PS less contamination may be present, and the contaminant may for example be carbon (C). The hydrogen pressure may therefore be higher in the source SO than in the illumination system IL. For the same reason, the hydrogen pressure may be higher in the illumination system IL than in the projection system PS. In an embodiment, the pressure range of hydrogen in the source SO is in the range 2-200 Pa, and may be around 100 Pa. In an embodiment, the pressure range of hydrogen in the illumination system IL and the projection system PS is in the range 0.3-20 Pa, and may be around 3 Pa. A single gas supply system may be used to provide hydrogen to different parts of the lithographic apparatus (e.g. to the source SO, the illumination system IL and the projection system PS). Alternatively, each separate part may include a dedicated gas supply system that is adapted to provide hydrogen. In an embodiment, a radiation source may be provided (in addition to the plasma 2), the radiation source being arranged to provide DUV and/or UV radiation in the lithographic apparatus, and thereby assist in the generation of hydrogen radicals from the hydrogen gas. For example, an additional radiation source (not shown) which emits DUV and/or UV radiation may be provided in the projection system PS. An additional radiation source which emits DUV and/or UV radiation may be provided in the illumination system IL. Where this is done, the spectral purity filter may be positioned between the additional radiation source and the substrate table WT, such that radiation from the additional radiation source is blocked from being incident on a substrate W during operation of the lithographic apparatus. In an embodiment of the invention, argon gas may be introduced into the lithographic apparatus along with the hydrogen gas. Since argon is inert, it does not directly assist in removing contamination from reflective surfaces of the lithographic apparatus. However, the argon assists in the generation of atomic hydrogen. As a result, additional atomic hydrogen may be generated, and a corresponding increase of the cleaning effect may be seen. The argon gas may receive energy from the radiation beam, which energy is then transferred from the argon to the hydrogen, leading to generation of atomic hydrogen via dissociation of the hydrogen molecules. This transfer of energy from argon to hydrogen may be a more efficient mechanism for giving energy to hydrogen molecules than direct excitation of the hydrogen molecules by the radiation beam. The amount of energy provided to the argon by the radiation beam is such that the argon is able to generate enough atomic hydrogen to provide a measurable increase in the amount of cleaning provided by the hydrogen (compared with the cleaning provided by the hydrogen in the absence of the argon). An electric field, or other means of accelerating the argon in order to generate the atomic hydrogen is not required. The argon gas may be provided in one or more of the source SO, illumination system IL and projection system PS of the lithographic apparatus. Argon is an example of a secondary gas which may be introduced into the lithographic apparatus along with hydrogen gas. The secondary gas may be any inert gas. Inert gases are preferred because non-inert secondary gases may give rise to unwanted contamination in the lithographic apparatus. For example, gases containing carbon, oxygen or nitrogen could give rise respectively to carbon contamination, oxidation of a mirror's ruthenium layer, and the formation of tin nitride. The amount of secondary gas which is provided in the lithographic apparatus may be less than the amount of hydrogen gas. For example, the gas mixture may comprise at least 80% hydrogen, at least 90% hydrogen, at least 95% hydrogen or at least 99% hydrogen. When selecting the amount of secondary gas to be present in the mixture, the following factors should be considered: a greater amount of secondary gas will provide a greater enhancement of the cleaning effect (by increasing the generation of hydrogen radicals), but may also lead to a greater degree of damage arising due to sputtering of secondary gas molecules on reflective surfaces of the lithographic apparatus. The degree to which sputtering may lead to damage of reflective surfaces may depend upon the mass of the molecules of the secondary gas. It may be possible for example to use a greater proportion of helium than of argon as the secondary gas without incurring significant damage of reflective surfaces due to sputtering. The above description of embodiments of the invention refers to reflective surfaces of the lithographic apparatus. These may be considered to be examples of optical surfaces of the lithographic apparatus. It is not necessarily the case that all optical surfaces of the lithographic apparatus are reflective. The term extreme ultraviolet (EUV) radiation as used above may be interpreted as meaning electromagnetic radiation having a wavelength of less than 20 nm, for example within the range of 10-20 nm, for example within the range of 13-14, for example within the range of 5-10 nm, for example such as 6.7 nm or 6.8 nm. Although specific reference may be made in this text to the use of lithographic apparatus in the manufacture of ICs, it should be understood that the lithographic apparatus described herein may have other applications, such as the manufacture of integrated optical systems, guidance and detection patterns for magnetic domain memories, flat-panel displays, liquid-crystal displays (LCDs), thin-film magnetic heads, etc. It should be appreciated that, in the context of such alternative applications, any use of the terms “wafer” or “die” herein may be considered as synonymous with the more general terms “substrate” or “target portion”, respectively. The substrate referred to herein may be processed, before or after exposure, in for example a track (a tool that typically applies a layer of resist to a substrate and develops the exposed resist), a metrology tool and/or an inspection tool. Where applicable, the disclosure herein may be applied to such and other substrate processing tools. Further, the substrate may be processed more than once, for example in order to create a multi-layer IC, so that the term substrate used herein may also refer to a substrate that already contains multiple processed layers. The descriptions above are intended to be illustrative, not limiting. Thus, it will be apparent to one skilled in the art that modifications may be made to the invention as described without departing from the scope of the claims set out below. The invention is not limited to application of the lithographic apparatus or use in the lithographic apparatus as described in the embodiments. Further, the drawings usually only include the elements and features that are necessary to understand the invention. Beyond that, the drawings of the lithographic apparatus are schematic and not on scale. The invention is not limited to those elements, shown in the schematic drawings (e.g. the number of mirrors drawn in the schematic drawings). The person skilled in the art will understand that embodiments described above may be combined. |
|
summary | ||
055901689 | description | DESCRIPTION OF THE PREFERRED EMBODIMENTS FIG. 11 is a schematic view showing a first embodiment of the ultraviolet excitation type X-ray microscope according to the invention. The X-ray microscope comprises a laser plasma X-ray radiation source including a Nd:YAG laser 51 for emitting a laser beam, a condenser lens 52 for focusing the laser beam and a target 53 for emitting X-rays upon impact of the laser beam. The X-ray microscope further comprises X-ray condenser lens 54, X-ray objective lens 55, ultraviolet cut filter 56 and X-ray detector 57. The condenser lens 54 is formed by the Wolter optical element including ellipsoid of rotation mirrors and the objective lens 55 is formed by the Schwarzschild optical element. The Schwarzschild optical element includes multilayer coatings of Ni/C or W/C and has a maximum transmittance for a wavelength region of 65 to 43.7.ANG.. The X-rays emitted by the target 53 are focused by the condenser lens 54 onto a biological specimen 58 and X-rays transmitted through the specimen are focused by the objective lens 55 onto the detector 57. The above mentioned optical elements constitute an X-ray microscopic optical system. The X-ray microscope further comprises laser 59 for emitting a laser beam having a visible wavelength, non-linear optical mediums 60 and 61 for converting the visible laser beam into ultraviolet rays and condenser lens 63 for focusing the ultraviolet rays onto the specimen 58. The elements 59, 60, 61 and 63 constitute an ultraviolet exciting optical system. All the elements other than the Nd:YAG laser 51, condenser lens 52 and laser 59 are installed within a vacuum chamber 62. In a wall of the vacuum chamber 62 there are formed windows 62a and 62b for transmitting the laser beams emitted by the lasers 51 and 59, respectively. The non-linear optical mediums 60 and 61 may be made of optically non-linear material such as KDP (KH.sub.2 PO.sub.4) and BBO (.beta.-BaB.sub.2 O.sub.4). FIG. 12 is a block diagram illustrating an embodiment of a signal processing circuit for processing a signal derived from the X-ray detector 57 formed by a multichannel plate). The signal processing circuit comprises a host computer 71 for controlling the whole apparatus of the X-ray microscope system. The X-rays impinging upon the detector (MCP) 57 are converted into a visible image by means of a phosphor 72 and the thus produced optical image is picked-up by a TV camera system 74 by means of a lens 73. A first analog image signal derived from the TV camera system 74 in case that the specimen 58 is irradiated with the ultraviolet rays together with the X-rays is converted into a first digital image signal by means of a first analog-digital converter 75-1, and a second analog image signal obtained by irradiating the specimen only with the X-rays is converted into a second digital image signal by means of a second analog-digital converter 75-2. The first and second digital image signals are supplied to first and second frame memories 76-1 and 76-2 and are stored therein, respectively. Then, signals of corresponding pixels of the first and second digital image signals are supplied to a differential circuit 77 and differences therebetween are derived. Then, the thus derived digital differential signal is supplied to the host computer 71 and is stored therein. To this end, there is provided a data selection circuit 78 for supplying trigger signals to the first and second frame memories 76-1 and 76-2 in response to commands supplied from the host computer 71. The signal processing circuit further comprises a cathode ray tube 79 for displaying a transmitted X-ray microscopic image of particular substances such as proteins contained in the specimen 58. The host computer 71 further generates a trigger signal for the TV camera system 74 and a Q switch signal for the Nd:YAG laser 51. The Q switch signal is delayed by a delay circuit 67 and a delayed Q switch signal is supplied to the laser 59. Now the operation of the X-ray microscope of the present embodiment will be explained. AT first, the host computer 71 controls an amount of the laser beam by controlling the Q switch operation by means of the Q switch signal for adjusting a timing of the laser emission, so that an amount of the ultraviolet rays to be made incident upon the specimen 58 is adjusted to obtain a transmitted X-ray image having a good contrast. Then, the host computer 71 supplies the Q switch signal to the Nd:YAG laser 51 to emit the laser beam. The laser beam emitted by the Nd:YAG laser 51 is made incident upon the target 53 by means of the condenser lens 52 and window 62a to emit the X-rays. In synchronism with this emission of the X-rays, the host computer 71 supplies the trigger signals to the TV camera system 74 and first frame memory 76-1. In this manner, the first frame memory 76-1 has stored first image data A representing the digitalized transmitted X-ray image of carbon contained in the specimen 58 which are irradiated with both the X-rays and ultraviolet rays. Next, the host computer 71 supplies a command to the laser 59 for inhibiting the irradiation of the ultraviolet rays so that the sample 58 is irradiated only with the X-rays, and supplies the trigger signals to the TV camera system 74 and second frame memory 76-2. In this manner, the second frame memory 76-2 has stored second image data B representing a digitalized transmitted X-ray image of elements other than carbon contained in the specimen 58 irradiated only with the X-rays. The first image data A stored in the first frame memory 76-1 is a signal representing the transmitted X-ray image of carbon under inspection having background noise added thereto and the second image data B stored in the second frame memory 76-2 is a signal representing the background noise. Therefore, by deriving a difference between the first and second image data A and B for corresponding pixels in the differential circuit 77, the background noise is cancelled out of the first image data A and the thus obtained differential image signal A-B represents exclusively a transmitted X-ray image of carbon contained in the specimen 58. The differential image signal is processed by the host computer 71 to generate an analog image signal which is supplied to the cathode ray tube 79. In this manner, the transmitted X-ray microscopic image of carbon is displayed on the cathode ray tube 79. Now the generation of the ultraviolet rays used in the above mentioned X-ray microscope will be explained further in detail. The visible laser beam emitted by the laser 59 is made incident upon the non-linear mediums 60 and 61 via the window 62b formed in the wall of the vacuum chamber 62. As mentioned above, the non-linear mediums 60 and 61 are made of KDP or BBO, so that the visible laser beam is converted into higher harmonics having a frequency higher by an integer number than a frequency of the incident visible laser beam. Particularly, conversion coefficients of KDP and BBO for converting incident light of a wavelength region of the incident light higher than 200 nm into a second order harmonic amounts to about 20%. Therefore, light rays having a wavelength shorter than that of incident light by two times can be easily produced. For instance, when the laser 59 is formed by Nd:YAG laser emitting visible light rays having a wavelength of 532 nm, it is possible to obtain ultraviolet rays having a wavelength of 266 nm by means of a single non-linear medium. When the Nd:YAG laser is operated to emit a fundamental wave having a wavelength of 1065 nm, it is possible to produce the ultraviolet rays having a wavelength of 266 nm by means of a series arrangement of the two non-linear mediums as shown in FIG. 11. Therefore, by selecting the number of the non-linear mediums to be inserted into the optical path in accordance with a wavelength of the visible light emitted by the laser 59, it is possible to obtain the ultraviolet rays having a wavelength near 200 nm which is preferably used in the present invention. It should be noted that it is also possible to use non-linear optical mediums which produce harmonics higher than the second order harmonic. In the first embodiment of the X-ray microscope according to the invention, the non-linear optical mediums 60 and 61 are arranged within the vacuum chamber 62, so that the ultraviolet rays are produced within the vacuum chamber. Therefore, the ultraviolet rays are not absorbed by the air and thus a large amount of the ultraviolet rays can be made incident upon the specimen 58 without loss. Moreover, the laser 59 is formed by the visible light laser which is cheap in cost and its maintenance is very easy compared with a laser which can directly emit the ultraviolet rays such as excimer laser. FIGS. 13 and 14 illustrate a second embodiment of the ultraviolet excitation type X-ray microscope according to the invention. In the present embodiment, portions similar to those shown in FIGS. 11 and 12 are denoted by the same reference numerals used in FIGS. 11 and 12 and the explanation of these portions is omitted. In the present embodiment, there is not provided the laser for emitting the visible light separately from the laser plasma light source. As shown in FIG. 13, a part of infrared radiation rays emitted by the Nd:YAG laser 51 is divided by a half mirror 64 arranged between the laser 51 and the condenser lens 52. The thus divided infrared radiation rays are made incident upon a polarizer 65 to adjust an amount of the infrared radiation rays. Then, the infrared radiation rays are reflected by a reflection mirror 66 and are made incident upon the non-linear optical mediums 60 and 61 by means of the window 62b to generate ultraviolet rays. In the present embodiment, it is preferable to make the window 62b of a material which has a high transmittance for the infrared radiation. In the second embodiment shown in FIG. 13, the Nd:YAG laser 51 is used commonly for generating both the X-rays and ultraviolet rays, so that the construction of the signal processing circuit has to be changed partially. That is to say, as depicted in FIG. 14, instead of supplying the Q switch signal from the host computer 71 to the laser 59 (see FIG. 11), there is provided a polarizer driving circuit 80. The polarizer 65 is arranged rotatably about an optical axis and the polarizer driving circuit 80 generates under the control of the host computer 17 a driving signal which is supplied to a driver for rotating the polarizer 65. When the specimen 58 is to be irradiated with the ultraviolet rays, the polarizer 65 is rotated by the driving signal supplied from the polarizer driving circuit 80 such that a desired amount of the infrared radiation rays can pass through the polarizer to adjust an amount of the ultraviolet rays impinging upon the specimen 58. When the irradiation of the ultraviolet rays has to be stopped, the polarizer 65 is rotated such that the inferred radiation rays do not pass through the polarizer. In the second embodiment, the common use of the laser light source can decrease a cost of the X-ray microscope, and further a timing of the irradiation of the X-rays and a timing of the irradiation of the ultraviolet rays can be easily synchronized by the signal processing circuit. It should be noted that in the above mentioned first and second embodiments, the image processing is performed by deriving the differential signal by means of the differential circuit 77, but according to the invention, the differential signal may be derived by the host computer 71 by processing the image data A and B in accordance with a software. Further, the X-ray condenser lens 54 is formed by the Wolter optical element and the X-ray objective lens 55 is formed by the Schwarzschild optical element, but they may be formed any other X-ray optical elements such as zone plate. Moreover, the laser plasma X-ray radiation source may be replaced by SOR (synchrotron radiation) or electron beam tube. As explained above, in the first and second embodiments of the ultraviolet excitation type X-ray microscope according to the invention, the non-linear optical mediums for converting the radiation rays having a wavelength longer than that of the ultraviolet rays into the ultraviolet rays are arranged within the vacuum chamber, the ultraviolet rays can be effectively made incident upon the specimen without undesired loss, and it is possible to observe the transmitted X-ray microscopic image of the specimen with a high contrast. FIG. 15 is a schematic view showing a third embodiment of the ultraviolet excitation type X-ray microscope according to the invention. The construction of this embodiment is somewhat similar to the first embodiment shown in FIG. 11, so that portions similar to those illustrated in FIG. 11 are denoted by the same reference numerals used in FIG. 11 and their explanation is dispensed with. In the present embodiment, the UV cut filter 56 is arranged between the target 53 and the X-ray condenser lens 54. The ultraviolet exciting optical system comprises an ultraviolet laser 81 for emitting ultraviolet rays and a condenser lens 82 for focusing the ultraviolet rays onto the specimen 58 via the window 62b made of a material such as diamond and fluoride having a high transmittance for the ultraviolet rays having a wavelength longer than 200 nm. In the present embodiment, the ultraviolet rays emitted by the UV laser 81 is made incident upon the specimen 58 at an inclined angle as a fine spot. This incident angle is an important factor. For instance, the specimen 58 is placed perpendicularly to the X-ray axis, it is practically difficult to have the ultraviolet rays being made incident upon the specimen with a small incident angle, because a distance between the condenser lens 54 and the specimen 58 and a distance between the specimen and the objective lens 55 are very small. Therefore, the incident angle of the ultraviolet rays to the specimen 58 has to be relatively large or the specimen has to be inclined with respect to the X-ray axis. In the present embodiment, the specimen 58 is positioned perpendicularly to the X-ray axis and the ultraviolet rays are made incident upon the specimen 58 at an incident angle of about 45 degrees. In this case, the ultraviolet rays emitted by the UV laser 81 are converged by the condenser lens 82, so that the ultraviolet ray beam is scarcely shielded by the vacuum chamber 62 and X-ray optical elements. In this manner, the specimen 58 can be irradiated with the ultraviolet rays having a sufficiently large photon flux, so that the transmitted X-ray microscopic image of the specimen having an excellent contrast can be observed in accordance with the X-ray microscopy proposed by J. H. Klems. It should be noted that the signal processing circuit of the present embodiment is substantially same as that shown in FIG. 12. In the present embodiment, the ultraviolet rays are projected onto the specimen 58 from the same side as that from which the X-rays are projected, and the specimen serves as the filter. Therefore, it is not always necessary to provide a stray light cut filter, but if the stray light has to be suppressed in order to improve S/N of the image, a stray light cut filter 83 may be arranged on the X-ray axis, for example between the objective lens 55 and the detector 57 as shown by a broken line in FIG. 15. In the present embodiment, there is provided the laser plasma radiation source for generating the X-rays. This radiation source emits white light including visible light and ultraviolet rays. Therefore, in order to set a desired or regulated amount of the ultraviolet rays impinging upon the specimen 58, it is necessary to cut off the visible light and ultraviolet rays. To this end, the UV cut filter 56 for cutting off light rays having wavelengths longer than that of the ultraviolet rays is arranged between the target 53 and the condenser lens 54. FIG. 16 is a schematic view showing a fourth embodiment of the ultraviolet excitation type X-ray microscope according to the invention. In the present embodiment, the specimen 58 is positioned to be inclined by about 45 degrees with respect to the X-ray optical axis and the UV laser 81, condenser lens 82 and window 62b are arranged such that the ultraviolet rays are made incident upon the specimen 58 at an incident angle of about 45 degrees. That is to say, the ultraviolet ray optical axis is made perpendicular to the X-ray optical axis. This construction is particularly suitable for a case in which the condenser lens 54 and objective lens 55 are arranged close to each other. It should be noted that in the present embodiment, the UV cut filter 56 is arranged between the objective lens 55 and the detector 57. In the present embodiment, the specimen 58 is inclined with respect to the X-ray optical axis by about 45 degrees, so that a transmitted X-ray image formed on the detector 57 is an obliquely transmitted image at a ratio of 1:.sqroot.2. Therefore, the digital image data has to be converted in a transmitted image at a ratio of 1:1 by means of a software or a calculation circuit. Also in the present embodiment, the focused ultraviolet rays can be made incident upon the specimen, so that the photon flux can be increased although the condenser lens and objective lens are arranged close to each other, and the transmitted X-ray microscopic image of the specimen can be observed with a high contrast. FIG. 17 is a schematic view showing a fifth embodiment of the X-ray microscope according to the invention. In the present embodiment, the ultraviolet rays emitted by the UV laser 81 is made incident upon an ultraviolet reflection mirror 83 via the window 62b. The UV reflecting mirror 83 is arranged on the X-ray optical axis between the target 53 and the X-ray condenser lens 54 and is inclined by 45 degrees with respect to the X-ray optical axis. Therefore, the ultraviolet rays are reflected by the mirror 83 along the X-ray optical axis and is made incident upon the specimen 58 via a central aperture of the condenser lens 54 formed by the Wolter optical element. The Wolter optical element forming the condenser lens 54 is constituted by the ellipsoid of rotation mirrors and the X-rays are made incident upon the mirror at the angle of total reflection, so that the center aperture of the condenser lens can be advantageously utilized for transmitting the ultraviolet rays. The UV reflection mirror 83 has such a size that it does not obstruct the X-rays passing from the target 53 to the condenser lens 54. In this manner, the ultraviolet rays are projected onto the specimen 58 along the X-ray optical axis as a parallel beam. Between the specimen 58 and the objective lens 55 there is arranged the UV cut filter 56. It should be noted that the UV reflecting mirror 83 also serves to cut off stray X-rays which do not contribute to the formation of the transmitted X-ray image and debris from the laser plasma radiation source. In the present embodiment, the parallel ultraviolet ray beam is made incident upon the specimen 58 along the X-ray optical axis along which the X-rays are made incident upon the specimen, so that the ultraviolet rays can be effectively prevented from being cut or shielded by the X-ray optical elements. It should be noted that the condenser lens may be provided between the UV laser 81 and the window 62b such that the converged ultraviolet ray beam is made incident upon the specimen. Further when the objective lens 55 is formed by the Wolter optical element, the UV reflection mirror 83 may be arranged between the specimen 58 and the detector 57 such that the ultraviolet rays are made incident upon a rear surface of the specimen from the side of the objective lens. FIG. 18 is a schematic view showing a sixth embodiment of the ultraviolet excitation type X-ray microscope according to the invention. This embodiment is similar to the second embodiment illustrated in FIG. 13. That is to say, instead of providing the UV laser, a part of the visible laser light emitted from the Nd:YAG laser 51 is divided by the half mirror 64 and is made incident upon the polarizer 65 to adjust an amount of the visible laser light transmitted through the polarizer. The visible laser beam emanating from the polarizer 65 is then made incident upon a KDP crystal 84 and is converted into fourth order harmonics, i.e. ultraviolet rays. The thus generated ultraviolet rays are made incident upon the specimen 58 by means of the reflection mirror 66, condenser lens 82 and window 62b made of UV transmissive material. In the present embodiment, the specimen 58 is inclined by about 45 degrees with respect to the X-ray optical axis and the ultraviolet rays are made incident upon the specimen perpendicularly to the X-ray optical axis. In the present embodiment, the signal processing circuit of the second embodiment shown in FIG. 14 may be used, so that the advantages obtained in the second embodiment can be equally attained. As explained above, in the second and sixth embodiments, an amount of the ultraviolet rays impinging upon the specimen 58 can be adjusted by rotating the polarizer 65. The inventors have found that the even though an amount of the ultraviolet rays, i.e. the photon flux is adjusted, it is sometimes difficult to observe the transmitted X-ray microscopic image having an excellent contrast. In order to remove such a drawback the inventors have confirmed that a thickness of the specimen, a wavelength of the X-rays and a tone resolving power of image should have a predetermined relationship. Now this relationship will be explained in detail with reference to the sixth embodiment shown in FIG. 18. Prior to the discussion, various parameters will be explained as follows. N.sub.0 : the number of molecules or atoms under inspection in unit volume PA0 N: the number of molecules or atoms in ground state under inspection in unit volume PA0 n: the number of molecules or atoms under inspection in unit volume excited with UV PA0 .tau.: lifetime of molecule or atom under observation excited with UV PA0 .sigma..sub.UV : cross-section of molecule or atom under inspection excited by UV PA0 T: time period of UV irradiation PA0 I.sub.0 : the number of photon (photon flux) impinging upon specimen per unit time per unit area PA0 .sigma..sub.X : cross-section of X-ray for exciting inner-shell electron into UV-excitation-generated outer-shell hole of molecule or atom under observation PA0 .mu..sub.UV : absorption coefficient of molecule or atom under inspection for ultraviolet rays PA0 .mu..sub.X : absorption coefficient of molecule or atom under inspection for X-rays [in general, the absorption coefficient .mu. and the excitation cross-section .sigma. have the following relation .mu.=N.sigma.. . . (1)] PA0 .lambda.: wavelength of ultraviolet rays for exciting inner-shell electron into UV-excitation-generated outer-shell hole PA0 M: tone resolving power of transmitted X-ray image PA0 E: energy of X-rays for exciting inner-shell electron of molecule or atom under observation into ultraviolet-excitation-generated outer-shell hole PA0 .omega.: fluorescence yield upon transition of outer-shell electron to be excited with ultraviolet rays into inner-shell hole within univalent ion having inner-shell hole PA0 h: Blank's constant PA0 c: light velocity When molecule or atom under observation is irradiated with the ultraviolet rays, the equilibrium equation of the ground state and excited state with respect to time t may be expressed as follows. EQU -dN/dt=I.sub.0 .sigma..sub.UV N-(N.sub.0 -N)/.tau. (2) When this equation (2) is solved under an initial condition that N=N.sub.0 at t=0 to derive n=N.sub.0 -N, the following equation may be derived. EQU n=[1-exp{-(I.sub.0 .sigma..sub.UV +1/.tau.)t}].multidot.{I.sub.0 .sigma..sub.uv N.sub.0 .sigma..sub.uv .tau.)} (3) It may be generally assumed that the irradiation time T of the ultraviolet rays is longer than the lifetime .tau. of the excited state (.tau.<T), so that the equation (3) may be simplified as follows. EQU -n.congruent.I.sub.0 .sigma..sub.UV N.sub.0 .tau./(1+I.sub.0 .sigma..sub.UV .tau.) (4) The photon flux I.sub.o of the ultraviolet rays is greatly decayed in accordance with a distance L up to which the ultraviolet rays penetrate into the specimen. Therefore, n becomes a function of L and .mu..sub.x is a function of L, so that the number of excited molecules or atoms n(L) may be expressed by the following equation (5), wherein .mu..sub.UV =N.sub.0 .sigma..sub.UV. EQU n(L)=I.sub.0 .sigma..sub.UV N.sub.0 .tau..multidot.exp(-.mu..sub.UV L)/[1+I.sub.0 .sigma..sub.UV .tau..multidot.exp(-.mu..sub.UV L)](5) Next, a transmittance F(.lambda.) due to the transition of the inner-shell electron of the molecule or atom into the UV-excitation-generated outer-shell hole when the there are produced the excited molecules or atoms which are produced by the irradiation with the ultraviolet rays and whose number is defined by the equation (5) is derived. The transmittance F(.lambda.) is also a function of L and may be expressed by the following equation (6). EQU F(.lambda.)=exp[-u(L)] (6) wherein ##EQU1## Therefore, if the specimen has a sufficiently large thickness, the transmittance F(.lambda.) for the X-rays having the wavelength .lambda. upon the irradiation of the ultraviolet rays may be expressed as follows. EQU F(.lambda.)=lim exp[-u(L)] (7) L.fwdarw..infin. The above equation (7) can be calculated in an analytic manner and may be simplified as follows. EQU F(.lambda.)=(1+I.sub.0 .sigma..sub.UV .tau.).sup.-.sigma. x/.sup..sigma. UV (8) In the equation (7), a condition of L.fwdarw..infin. is assumed, but in practice, the thickness of the specimen up to which the ultraviolet rays can penetrate is about several hundreds nm and molecules or atoms situating at positions far from said distance are remained in the ground state. Therefore, the ultraviolet excitation type X-ray microscope can observe only molecules or atoms within a surface of a specimen and a bulk of the specimen becomes transparent for the X-rays. At a first glance, this may be interpreted that the molecules or atoms within the surface of the specimen can be observed even thought the thickness of the specimen is large. However, this interpretation is contradict to the fact. From the equation (8), it may be derived that when a part of the specimen is not irradiated with the ultraviolet rays, i.e. I.sub.0 =0, the transmittance F(.lambda.) of the relevant portion of the specimen becomes 1, so that no absorption by this part of the specimen could be observed. However, this conclusion is obtained under the following assumptions. Firstly, when the molecules or atoms under inspection within the bulk of the specimen (they are not irradiated with the ultraviolet rays) are concerned, the absorption coefficient for the X-rays is very small, because the wavelength of the X-rays is somewhat longer than the X-ray absorption edge. Secondly, the absorption due to molecules or atoms not under observation is ignored. In this connection, reference should be made to the above mentioned Physical Review A of J. H. Klems. The above assumptions are correct when a thickness of the specimen is small. However, when a wavelength of the X-rays is somewhat longer than the absorption edge of X-rays, there is a slight absorption, so that if a thickness of the specimen is about several hundreds nm, the transmittance of the specimen becomes zero without the irradiation of the ultraviolet rays. Therefore, the advantage of the ultraviolet excitation type X-ray microscope could not be attained. The inventors have conducted various experiment and analyses for deriving a mutual relationship between a thickness of a specimen, a wavelength of X-rays and a resolving power of tone in order to observe a transmitted X-ray microscopic image, and have found the following relationship. Now it is assumed that an absorption coefficient of a specimen for X-rays in case of no irradiation of the ultraviolet rays is .mu..sub.0 (this value varies for particular elements contained in the specimen), a thickness of a portion of the specimen through which the ultraviolet rays penetrate is Z.sub.UV, a thickness of a remaining portion of the specimen up to which the ultraviolet rays do not penetrate is Z.sub.0, and a whole thickness of the specimen is Z (Z=Z.sub.UV +Z.sub.0). In general, Z.sub.UV <<Z.sub.0, so that the absorption coefficient F.sub.0 may be expressed as follows. EQU F.sub.0 =F(.lambda.)exp(-.mu..sub.0 Z) (9) As shown in FIGS. 12 and 14, the transmitted X-ray microscopic image of the specimen is observed by picking up the X-ray image by means of the two dimensional MCP or solid state image sensor to derive the bivalent image signal and the image signal is displayed on the monitor such as CRT. Now it is considered a condition for realizing a minimum performance for judging the existence or non-existance of molecule or atom under inspection when the signal derived from the image pick-up device is quantized into M tones. If this condition is realized in the X-ray microscope, it is possible to distinguish an absorption coefficient F.sub.0 =1/M by optimally utilizing the tone resolving power of the image sensing device. In order to utilize the tone resolving power optimally, it can be derived from the equation (7) that the following equation should be satisfied. EQU 1/M<exp (-.mu..sub.0 Z) (10) When this equation (10) is rewritten, the following equation may be derived. EQU Z<Log.sub.e M/.mu..sub.0 (11) Now it is assumed that a large amount of the molecules or atoms under inspection are existent in the specimen, but the number of other molecules or atoms is small. Then, it is sufficient to consider the absorption by the molecules or atoms under inspection. Therefore, the above equation may be further rewritten. That is to say, the absorption coefficient .mu..sub.0 may be expressed by the following equation (12) in which r.sub.e denotes a classical electron radius, .lambda. is a wavelength of the X-rays, N.sub.0 is the number of molecules or atoms under inspection per unit volume, and f represents an imaginary part of the atom scattering factor at the wavelength .lambda.. EQU .mu..sub.0 =2r.sub.e .lambda.N.sub.0 f (12) Finally by rewriting the equation (11) by using the equation (12), the following equation (13) may be obtained. EQU Z<Log.sub.e M/(2r.sub.e .lambda.N.sub.0 f) (13) The equation (13) represents the required relationship between the thickness of the specimen, the wavelength of the X-rays and the tone resolving power for observing or judging the existence of the molecules or atoms under inspection contained in the specimen while the tone resolving power of the X-ray microscopic image pick-up system. It should be noted that the above relationship is derived by ignoring the existence of molecules or atoms other than those under observation, so that a region defined by the above equation (13) would be somewhat wider than actually required one. According to the invention, the thickness of the specimen is adjusted to satisfy the condition defined by the equation (13), and then the transmitted X-ray microscopic image having an excellent contrast can be observed. In the above explanation, the tone resolving power M is defined by the detector and A/D converter, but when use is made of an X-ray photographic film, the equation (13) is still effective. In this case, the tone resolving power M may be determined in accordance with a tone resolving power of the X-ray photographic film itself. Now the effectiveness of the above equation (13) will be confirmed by taking an example in which a chain structure of carbon in a laminated langmuir-blodgett film (LB film) at a wavelength of 44.7.ANG.. FIG. 19 is a schematic diagram showing the molecular construction of a single cell of the LB film. The molecular formula of this film may be expressed as follows. EQU [CH.sub.3 (CH.sub.2).sub.n COO].sub.2 Pb (14) The LB thin film is formed by depositing two-dimensionally the molecules expressed by the formula (14) on a thin substrate as a multilayer. Now a maximum thickness of the thin film will be considered. For the sake of simplicity, it is assumed that the substrate is sufficiently thinner than the LB film, so that influence of the substrate can be neglected. This may be realized by using the substrate made of diamond whose absorption is very small and whose mechanical strength is large. For instance, a thickness of the diamond substrate may be 0.2 to 0.3 .mu.m. The LB film is formed by the molecules defined in the formula (14) wherein n=76. In this case, a length of a single molecule is 200.ANG. and a cross-section of the molecule becomes 20.ANG..sup.2. Therefore, the numbers of atoms in unit volume constituting the molecule may be expressed in a table 1. As to substance data such as atom scattering factors, refer to Atomic Data & Nuclear Data Tables, Vol. 27, No. 1, B. L. HENKE et al. 1982. In the table 1, there are also shown atomic scattering factors of respective elements and absorption coefficients contributed by particular elements at the wavelength of 44.7.ANG.. The absorption coefficient .mu..sub.0 of the LB film is a sum of these absorption coefficients. In the present example, .mu..sub.0 is 2222.0/cm. TABLE 1 ______________________________________ Number Imaginary part N per of atomic Ele- Number in unit volume scattering Absorption ment one cell (number/cm.sup.3) factor coefficient ______________________________________ C 78 1.9 .times. 10.sup.22 0.19 903.6 O 4 1.0 .times. 10.sup.21 0.64 162.7 H 154 3.9 .times. 10.sup.22 0.003 29.3 Pb 1 2.5 .times. 10.sup.20 18.0 1126.4 ______________________________________ When it is assumed that the A/D converters 75-1, 75-2 shown in FIG. 14 treat the digital signal composed of eight bits, the tone resolving power M becomes M=2.sup.8 =256, so that Z<25 .mu.m from the equation (11). This condition defines a value of Z from the absorption in a case including elements other than carbon under observation. Whilst, when Z is defined by the equation (13) which considers the absorption only in terms of carbon elements under inspection, Z<60 .mu.m may be obtained. From the above consideration, it may be found that in order to pick-up the transmitted X-ray microscopic image having high contrast and high tone, the specimen should have a thickness which is substantially equal to a penetration depth of X-rays (several hundreds nm), but in order to realize the minimum performance of the ultraviolet excitation type X-ray microscope for observing the existence of the molecules or atoms under inspection, the condition defined by the equation (13) should be satisfied. FIG. 20 is a schematic view showing a seventh embodiment of the ultraviolet excitation type X-ray microscope according to the invention. Also in the present embodiment, portions similar to those of the previous embodiments are denoted by the same reference numerals used in the previous embodiments and their explanation is omitted. In the present embodiment, both the X-rays and ultraviolet rays are generated by the laser plasma radiation source comprising the Nd:YAG laser 51, condenser lens 52 and target 53. Between the target 53 and the X-ray condenser lens 54 there is arranged filter assembly 85 including a plurality of X-ray filter elements and ultraviolet filter elements which are removably inserted into the optical path by means of a filer driver 86. The filter assembly 85 and filter driver 86 are arranged within the vacuum chamber 62. FIG. 21 is a block diagram illustrating the signal processing circuit of the present embodiment. The signal processing circuit of the present embodiment is quite similar to that shown in FIG. 14, but in the present embodiment, there is provided a filter driving circuit 87 instead of the polarizer driving circuit 80 in FIG. 14. The filter driving circuit 87 receives commands from the host computer 71 and generates a filter driving signal to be supplied to the filter driver 86 shown in FIG. 20. The laser plasma radiation source can emit radiation of a wide band including a band from the X-rays to the ultraviolet rays, so that it is possible to obtain the X-rays and ultraviolet rays required for the ultraviolet excitation type X-ray microscope according to the invention. Therefore, if the intensities of the X-rays and ultraviolet rays emitted by the laser plasma radiation source are suitable for the specimen 58, it is not necessary to provide a separate radiation source emitting the ultraviolet rays and the whole construction of the X-ray microscope system can be simplified. The filer assembly 85 is provided for adjusting the intensities and wavelengths of the X-rays and ultraviolet rays to be made incident upon the specimen 58. That it to say, in order to observe the transmitted X-ray image having a high contrast, a thickness of the specimen 58 may be determined in accordance with the relationship defined by the equation (13), but it is necessary to limit the intensities of the X-rays and ultraviolet rays and a ratio of these intensities and to select wavelengths of the X-rays and ultraviolet rays to remove undesired X-rays and ultraviolet rays which affect the observation. To this end, in the present embodiment, the filter assembly 85 comprises a plurality of X-ray filter elements having different transmission wavelength regions and transmittances and a plurality of ultraviolet filter elements having different transmission wavelength regions and transmittances and these filter elements can be selectively inserted into the optical path by means of the filter driver 86. In this manner, it is possible to observe a transmitted X-ray microscopic image having an excellent contrast. In the present embodiment, it is not necessary to provide the ultraviolet radiation source or the means for converting the laser light emitted by the Nd:YAG laser into the ultraviolet rays, so that the whole system can be simple in construction and cheap in cost. Moreover, the ultraviolet rays can be selected from the continuous spectrum emitted by the laser plasma radiation source, and thus the wavelength of the exciting ultraviolet rays can be easily and precisely changed. This is particularly suitable for selectively observing particular elements or a particular element in a particular substance contained in a specimen. That is to say, by changing the wavelength of the ultraviolet rays, carbon elements contained in a particular protein contained in a biological specimen composed of various proteins can be exclusively observed. The Schwarzschild optical element and zone plate have the wavelength selectivity for the X-rays, so that if the condenser lens 54 and/or objective lens 55 is formed by these optical elements, the filter assembly 85 may not include the X-ray filter elements. However, the grazing incident optical element such as the Wolter optical element reflects radiation of any wavelength, so that the filter assembly 85 should include the X-ray filter elements. Therefore, suitable optical elements may be utilized in accordance with applications. It should be noted that when a multilayer coating is applied on the grazing incident type optical element, the element can have the wavelength selectivity. Therefore, when the grazing incident type optical element is constructed to transmit the X-rays of a wavelength region of 65 to 43.7.ANG., carbon elements in a specimen can be advantageously observed. Furthermore, when it is desired to observe a transmitted X-ray image without irradiation of the ultraviolet rays, the filter assembly 85 may comprise a UV cut filter. In the above embodiment, the specimen 58 is the LB film having the molecular structure of a single cell shown in FIG. 19, but according to the invention, the relationship defined by the equation (13) may be equally applied in observing LB films having other molecular structures than that shown in FIG. 19, and also in these cases the above explained advantages can be equally attained. Further, in the above embodiment, the ultraviolet rays are produced by the laser plasma radiation source, so that there is not provided a separate UV source. However, it is also possible to provide an UV source such as glass laser, excimer laser and SOR source. Moreover, the intensity of the ultraviolet rays may be changed by inserting a wedge prism into the optical path or by adjusting the focus condition of the condenser lens 82 shown in FIGS. 15, 16 and 18. It should be further noted that in the above embodiment, the exciting radiation is formed by the ultraviolet rays, but according to the invention, the excitation may be performed by radiation other than the ultraviolet rays. That is to say, a specimen may be excited by irradiating it with X-rays or visible light rays. Also in such a case, the above mentioned relationship expressed by the equation (13) may be equally applied. As explained above, in the X-ray microscope according to the invention, a specimen is irradiated with the X-rays and exciting radiation rays such as ultraviolet rays, while the condition defined by the equation (13) is satisfied, so that the transmitted X-ray microscopic image of the specimen can be observed with a high contrast. The inventors have further conducted extensive study for an amount or a photon flux of the ultraviolet rays and have derived a necessary condition for observing the transmitted X-ray image having an excellent contrast. Now this will be explained in detail with reference to the sixth embodiment shown in FIG. 18. FIG. 22 is a block diagram showing the signal processing circuit of the present embodiment and this signal processing circuit is similar to that depicted in FIG. 14, so that similar portions are denoted by the same reference numerals used in FIG. 14. That is to say, the polarizer 65 is rotated in accordance with the polarizer driving signal generated by the polarizer driving circuit 80. In other words, the polarizer driving circuit 80 is controlled by the command signal supplied from the host computer 71 with reference to previously prepared intelligent data base 88 for molecules or atoms under inspection. In this manner, the photon flux of the exciting ultraviolet rays can be adjusted to an optimum value for observing the transmitted X-ray image having a good image quality. As explained above, the polarizer 65 also serves to cut off the irradiation with the ultraviolet rays. The intelligent data base 88 includes an exciting cross-section .sigma..sub.UV of molecule or atom under observation due to the ultraviolet rays, .sigma..sub.x a cross-section of the X-rays for exciting the inner-shell electron of molecule or atom under observation into the ultraviolet-excitation-generated outer-shell hole, a lifetime .tau. of molecule or atom excited by the UV irradiation, and a tone resolving power M of the X-ray image. These data have been prepared for respective molecules or atoms to be observed. Now a principle for determining an optimum amount, i.e. photon flux of the ultraviolet rays will be explained in detail by considering the absorption of the ultraviolet rays and a basic process of relaxation. In the following explanation, the notations mentioned above are also used, and further the following factors are defined. It should be noted that the transition in .omega. can be simply explained to be corresponding to the reverse transition shown in FIG. 5D. In this analysis, the equations (1) to (8) are applicable. In the equation (8), .sigma..sub.X is hardly influenced by chemical environment of the outer-shell electron, so that the following order estimation may be obtained (refer to Physical Review A, Vol. 43, 1991, J. H. Klems). EQU .sigma..sub.X =.pi./(2E.sup.2).multidot.(nc).sup.2 .omega. wherein, n=h/2.pi. (15) Therefore, the contrast of the transmitted X-ray image may be roughly estimated from the equations (8) and (9). As stated above, generally the transmitted X-ray microscopic image is obtained by using the two-dimensional MCP or solid state image sensor to derive the bivalent image signal and the bivalent image signal is displayed on the monitor such as CRT. When the image signal is quantized in M tones, it is required to distinguish at least a transmittance of 1 and a transmittance of 1-1/M by increasing the photon flux I.sub.0 in order to realize a minimum condition for judging the existence of molecule or atom under observation. That is to say, from the equation (8), the following condition should be satisfied. EQU 1-1/M>(1+I.sub.0 .sigma..sub.UV .tau.).sup.-.sigma. X/.sup..sigma. UV (16) The tone resolving power M depends on the function of the A/D converter, and if the digital image signal is of four bits, M becomes 16 tones and if eight bits, M=256, so that 1/M is sufficiently smaller than unity (1/M.ltoreq.1). Therefore, in accordance with Maclaurin expansion formula, the equation (15) may be rewritten as follows. EQU [exp{.sigma..sub.UV /(.sigma..sub.X.sup.M)}-1]/(.sigma..sub.UV .tau.)<I.sub.0 (17) Therefore, the existence of molecule or atom under inspection contained in the specimen can be judged by performing the image pick-up with the photon flux I.sub.0 defined by the above equation (17), while the tone resolving power of the image sensing system can be utilized optimally. In this case, a sufficiently large amount of the ultraviolet rays are made incident upon the specimen, and therefore the transmitted X-ray microscopic image can be observed with a good contrast. As stated above, the X-ray photographic film may be used instead of the opto-electronic image pick-up system including the detector 57, phosphor 72, TV camera system 74 and A/D converters 75-1, 75-2, and also in this case the above condition defined by the equation (17) may be equally applied by determining the resolving power M in accordance with the photographic film. Now the effectiveness of the above equation (17) will be confirmed by taking a graphite as the specimen 58. The left hand term of the equation (17) will be calculated. First of all, .sigma..sub.x is calculated from the equation (15) by using the fluorescence yield .omega. described in J. Phys. Chem. Ref. Data, Vol. 8, No. 2, 1979, pp. 307-312, M. O. Krause. From this reference, .omega. of carbon element constituting the graphite is given as .omega.=3.5.times.10.sup.-3. Further as the transition energy E, a value of 227 eV of carbon K.alpha. X-rays is adopted. Then, .sigma..sub.X is calculated in accordance with the equation (15) to obtain .sigma..sub.X =2.8.times.10.sup.-17. Next, the excitation cross-section of outer-shell electron .sigma..sub.UV will be calculated. This value can be calculated from general optical constants. That is to say, .sigma..sub.UV may be expressed by the following equation (18) wherein .lambda..sub.UV denotes the wavelength of the exciting ultraviolet rays, k is an imaginary part of a refractive index and N is the number of atoms per unit volume. EQU .sigma..sub.UV =4.pi.k/(N.lambda..sub.UV) (18) In Handbook of Optical constants of Solids, Academic Press, 1985, E. D. Palik reported that the imaginary part of refractive index k is equal to 2.65 for the wavelength .lambda..sub.UV =266 nm. Further, N is generally known to be equal to 1.15.times.10.sup.23 /cm.sup.2. By applying the above values to the equation (18), the cross-section .sigma..sub.UV =1.1.times.10.sup.-17 is obtained. Next, values of .tau. and M will be considered. In general, the excitation lifetime .tau. of the outer-shell electron becomes several nano seconds from data of molecular/atomic fluorescence time. However, the excitation lifetime is shortened by the vibration relaxation process and others, so that it is assumed that .tau. is 1 nano second. In recent image processing apparatuses, the image signal is treated as a digital signal of eight bits, so that the tone resolving power M is 2.sup.8 =256. Now the equation (17) is calculated by using the above mentioned values for respective parameters to obtain the following condition. EQU I.sub.0 >1.4.times.10.sup.23 photons/cm.sup.2 /sec (19) Now it is considered what radiation source could satisfy the above mentioned condition defined by the equation (19). Fourth order harmonics of the Nd:YAG laser output has been known as the radiation source producing a high output power at a wavelength of 266 nm. A pulse width of this Nd:YAG laser is at longest 10 nano seconds, so that its energy per a single pulse may be calculated as follows, while a beam size of the laser beam is assumed to be 1 cm.sup.2. EQU (1.4.times.10.sup.23 photons/cm.sup.2 /sec).times.(1 cm.sup.2).times.(10.times.10.sup.-9 sec).times.(5.times.1.6.times.10.sup.-19 J)=100 mJ/pulse (20) From the above consideration, it is confirmed that a commercially available Nd:YAG laser may be advantageously utilized as the radiation source and its fundamental wave (1064 nm) is converted into the fourth harmonics by means of two non-linear optical crystals. In this manner, the exciting ultraviolet rays having the photon flux which satisfies the above condition can be obtained and the existence of the graphite can be recognized or judged by the ultraviolet excitation type X-ray microscopic method. In the above explanation, the graphite specimen is considered, but the above explained method of determining the photon flux may be equally applied to any other specimens. Also in these cases, the above mentioned effectiveness may be attained. FIG. 23 is a schematic view illustrating a eighth embodiment of the X-ray microscope according to the invention. In the present embodiment, the X-ray microscope is constructed as a scanning type X-ray microscope. That is to say, the X-rays are projected onto a specimen as a fine spot and the specimen and X-ray beam are moved relative to each other in a plane perpendicular to the X-ray optical axis. The X-rays emitted by the target 53 are made incident upon the condenser lens 54 formed by the Schwarzschild optical element via the ultraviolet cut-off filter 56 and then the X-rays are focused onto the specimen 58 as a very fine beam spot. The ultraviolet cut-off filter 56 serves to prevent undesired ultraviolet rays from being made incident upon the specimen 58. The specimen 58 is supported by a stage 91 which is arranged movably in mutually orthogonal directions X and Y directions in a plane perpendicular to the X-ray optical axis by means of a stage driver 92. X-rays transmitted through the specimen 58 are detected by an X-ray detector 93. In the scanning type X-ray microscope, a signal generated from a fine point in the specimen 58 which is irradiated with the X-rays is detected by the detector 93 and this detection is repeated for successive points on the specimen by moving the specimen with respect to the X-ray beam spot. The transmitted X-ray image may be obtained by composing a number of signals obtained from the successively scanned points. Therefore, in the present embodiment, the detector 91 may be formed by any type of X-ray detectors instead of the image sensor type detectors 57 used in the previous embodiments. The exciting ultraviolet rays are also projected by means of the condenser lens 82 as a fine spot onto the specimen 58 from the ultraviolet optical axis which is inclined with respect to the X-ray optical axis. FIG. 24 is a block diagram illustrating the signal processing circuit of the present embodiment. The signal produced by the detector 93 is supplied to a gate circuit 94 which is triggered by a trigger signal supplied from the host computer 71 such that a timing at which the output signal form the detector 93 is passed through the gate circuit is synchronized with the movement of the stage 91 holding the specimen 58. In this manner, the output signals produced by the detector 93 are successively supplied to the A/D converter 75-1, 75-2 to produce signal image signals which are then stored in the frame memory 76-1, 76-2. The signal processing circuit further comprises a stage driving circuit 95 for generating the stage driving signal in accordance with commands supplied from the host computer 71. Next, a range for obtaining the transmitted X-ray microscopic image by using the ultraviolet excitation type X-ray microscope will be considered. FIG. 25 is a graph showing an ionization cross-section of atoms having amotic numbers up to 12 at the absorption edge of K-shell and an excitation cross-section for exciting the outer-shell electrons with the irradiation of ultraviolet rays and exciting the K electron into the excitation-generated hole. The ionization cross-section is calculated from the following equation (21) by using atomic scattering factors measured by Henke and the excitation cross-section is calculated from the equation (15) by using the fluorescence yields reported by krause: EQU .sigma.=2.lambda.r.sub.e f (21) wherein .sigma. is the excitation cross-section with the irradiation of ultraviolet, .lambda. is a wavelength of the X-rays, r.sub.e represents a classical electron radius, and f is an imaginary part of atomic scattering factor. From FIG. 25, it is apparent that the excitation cross-section of the X-rays with the ultraviolet irradiation is greater than that without the ultraviolet irradiation by one to two figures. Therefore, it is recognized that elements shown in FIG. 25, i.e. B, Be, C, N, O, F, Ne, Na and Mg have very high absorption coefficients, so that when the specimen contains these elements, it is possible to observe the absorption image by using a smaller amount of X-rays than that for observing the absorption image due to the absorption by the ionization by 10 to 100 times. Further, from the equation (8) the transmittance for the X-rays can be adjusted at will by controlling an amount of the exciting ultraviolet rays. In the above explanation, there is described the case in which the electron in the 1s orbit is excited into the 2p orbit for the atoms having the atomic number up to 12. For atoms having atomic numbers from 13 to 30, the electrons in the 3p orbit is excited to produce a hole and the electron in the 1s orbit is excited into the hole in the 3p orbit. In this case, the fluorescence yield .omega. becomes smaller by one figure than that in a case of exciting the electron from the 1s orbit into the 2p orbit (refer to the above listed Physical Review A, Vo. 33, No. 4, 1986), because a ratio of the fluorescence yield of fluorescent X-rays K.beta./K.alpha. is about 0.1-0.2. However, the excitation yield is still larger by several to ten times than that in a case of ionizing the inner-shell electron. From the above, it is apparent that by using the ultraviolet excitation type X-ray microscope according to the invention, it is possible to observe selectively not only C, but also N, O, Ca, K, MG, S, P and Na contained in biological specimens. Furthermore, when a silicon specimen used as industrial material is observed, impurities such as C and O can be selectively observed by suitably selecting the wavelengths of the X-rays and ultraviolet rays. This is particularly effective for observing elements having atomic numbers up to 30. In the above explanation, the ultraviolet rays are used as the exciting radiation, but according to the invention, the X-rays, visible light rays and other radiation rays may be utilized for exciting the specimen. The inventors of the present application have further investigated to derive a condition for timings of the irradiation with the X-rays and a time period of irradiation of the ultraviolet rays in order to observe the transmitted X-ray microscopic image having a high contrast. Further, the inventors have found a desired photon flux of the ultraviolet rays in case of satisfying the above condition. According to the invention, after an initiation of the exciting radiation irradiation, the irradiation with the X-rays is started within a time period of (T+.tau.), wherein T is a time period during which the specimen is irradiated with the exciting radiation and .tau. is a lifetime of molecule or atom under observation in an excited state by the exciting radiation. The inventors have further found that the irradiation time of the exciting radiation is preferably set to 3.tau.. FIG. 26 is a schematic view showing a ninth embodiment of the X-ray microscope according to the invention. In the present embodiment, the X-ray radiation source is formed by a synchrotron radiation source (SOR) 101 which emits a continuous spectrum having a wide band from visible light to X-rays in a pulsatory manner. The radiation beam emitted by the SOR 101 is made incident via an ultraviolet cut-off filter 102 upon a monochrometer 103 formed by a diffraction grating. X-rays having a given wavelength emanating from the monochrometer 103 is made incident upon a MCP 104 having a central aperture formed therein, through which the X-rays are made incident upon the condenser lens 54 formed by the Wolter optical element. The MCP 104 generates a signal which is synchronized with the pulsatory emission of the SOR 101. As will be explained later, this signal is supplied to the host computer. The X-rays passing through the MCP 104 is made incident upon the specimen 58 by means of the condenser lens 54 and X-rays transmitted through the specimen are made incident upon the detector 57 by means of the objective lens 55 formed by the Schwarzshcild optical element. There are further arranged outside the vacuum chamber 62 UV laser 81 emitting ultraviolet rays and condenser lens 82 for focusing the ultraviolet rays onto the specimen 58 by means of the window 62b formed in the wall of the vacuum chamber 62. In the present embodiment, the ultraviolet rays are made incident upon the specimen 58 from a direction inclined with respect to the X-ray optical axis, while the specimen is arranged perpendicularly to the X-ray axis. It should be noted that the outlet of the SOR 101 is directly coupled with the vacuum chamber 62 as illustrated in FIG. 26. FIG. 27 is a block diagram showing the signal processing circuit of the present embodiment. This signal processing circuit is quite similar to that shown in FIG. 12 and only a difference will be explained. In the present embodiment, a part of the X-rays emanating from the monochrometer 103 is detected by the MCP 104 and the output signal from the MCP is supplied to the host computer 71. In response to this output signal, the host computer 71 supplies the Q switch signal via the delay circuit 67 to the ultraviolet laser 81 at a suitable timing. The host computer 71 further generates the trigger signals for the TV camera system 74, and data selection circuit 78 in response to the output signal of the MCP 104. Now the operation of the X-ray microscope of the present embodiment will be explained also with reference to FIGS. 28A and 28B representing the output pulses from the MCP 104 and Q switch signal to the UV laser 81, respectively. In the present embodiment, the SOR source 101 emits the pulsatory radiation beam so that the pulsatory X-rays having the given wavelength selected by the monochrometer 103 are made incident upon the specimen 58, and therefore it is not necessary to control the emission timing of the SOR source 101 by means of the host computer 71. In the signal processing circuit of the present embodiment, the output pulses generated by the MCP 104 are counted by the host computer 71 and the Q switch signal is generated by the delay circuit 67 when the predetermined number of pulses has counted. For instance, after a given timing t.sub.3, when three pulses have been counted (t.sub.0), the Q switch signal is supplied to the UV laser 81 as shown in FIG. 28B to emit the ultraviolet rays. The host computer 71 generates the trigger signals to the TV camera system 74, gate circuit 94, and frame memories 76-1, 76-1 in synchronism with the emission of the ultraviolet rays, and the first and second digital image signals A and B are stored in the frame memories 76-1 and 76-2, respectively with and without the irradiation with the ultraviolet rays. As shown in FIGS. 28A and 28B, a time period during which the specimen is irradiated with the ultraviolet rays is longer than a time duration of a single X-ray pulse, so that during the irradiation with the ultraviolet rays, the specimen is repeatedly irradiated with the X-ray pulses. In order to avoid an undesired superimposition of transmitted X-ray images, the image signal obtained by only one X-ray pulse is stored in the frame memory 76-1. To this end, in the present embodiment, the gate circuit 94 is arranged between the TV camera system 74 and the A/D converters 75-1, 75-2. Now a principle for determining optimum time period for the irradiation with the ultraviolet rays and optimum timing for the irradiation with the X-rays will be explained with reference to the ultraviolet absorption and basic process of relaxation. As stated above, the ratio .alpha. of molecule or atom excited with the ultraviolet rays is defined by the equation (3). In this equation (3), .alpha. becomes larger, when the irradiation time is longer, while the photon flux of the ultraviolet rays is assumed to be constant. In the ultraviolet excitation type X-ray microscope, when the .alpha. becomes larger, the absorption coefficient becomes larger and the contrast of the transmitted X-ray image is increased. In the equation (3), the value of .alpha. depends on the term C=exp[-(I.sub.0 .sigma..sub.UV +1/.tau.)t]. In order to effect the excitation, the value of C should be as small as possible. When T is set to be equal to 3.tau. (T=3.tau.), C becomes substantially smaller than 0.05. That is to say, when the irradiation time T of the ultraviolet rays is set to be equal to or shorter than 3.tau., the effectiveness of the excitation can be enhanced. In this manner, by setting the irradiation time of the ultraviolet rays in accordance with the lifetime .tau. of molecule or atom excited with the ultraviolet rays, the outer-shell electron can be effectively excited within a short time. Contrary to this, in case of the reverse transition, more than 95% of excited molecules or atoms are returned into the ground state at a time after the period of 3.tau. has elapsed from a completion of the irradiation with the ultraviolet rays. From the above consideration, according to the invention, in order to observe the transmitted X-ray microscopic image having a good contrast, the X-ray pulse having the duration not longer than (T+3.tau.) is projected onto the specimen within a time period of not longer than (T+3.tau.) after the initiation of the irradiation with the ultraviolet rays. In the present embodiment, the timing of the irradiation of the ultraviolet rays is determined with reference to the timing of the irradiation with the X-rays, so that both the X-rays and ultraviolet rays are made incident upon the specimen substantially simultaneously. Therefore, the above condition is satisfied. Now the ultraviolet radiation source will be considered for observing biological proteins by means of the X-ray microscope. In this case, the absorption of carbon is mainly observed. The previously listed J. H. Klems reference has reported that carbon reveals a strong absorption band in a wavelength of 200 to 300 nm and a lifetime of excited state is nearly equal to 3 nano seconds. Therefore, it is very suitable to utilize harmonics of output radiation emitted by the Nd:YAG laser having the pulse duration of 6 to 10 nano seconds. In case of generating an excited condition having a shorter lifetime such as several hundreds pico seconds due to the relaxation of protein molecules, harmonics of output radiation emitted from Ti sapphire laser having a short pulse duration and SOR source may be advantageously used. When the protein molecules having the lifetime of 3 nano seconds is irradiated with the harmonics of the Nd:YAG laser output radiation under the above condition, after the irradiation of the ultraviolet rays has been initiated, the irradiation with the X-rays should be started within 20 nano seconds in order to observe the transmitted X-ray microscopic image having a good contrast. In the present embodiment, the timings of the irradiation with the X-rays and ultraviolet rays are controlled by the host computer 71, but according to the invention, the following simple method can be also adopted. The output pulses S.sub.1 are extracted by a gate circuit 105 and the extracted pulses S.sub.2 are supplied to an one-shot multivibrator 106. An output signal S.sub.3 of the multivibrator 106 is supplied to a pulse generator 107 which produces an output signal S.sub.4 in response to a trailing edge of the signal S.sub.3. The output signal S.sub.3 is supplied to a switch 108 to generate the Q switch signal and the thus generated Q switch signal is supplied to the ultraviolet laser. A time constant of the one-shot multivibrator 106 is adjustable from the external, so that the timing at which the pulse signal S.sub.4 is generated by the pulse generator 107 can be adjusted. The output pulse S.sub.1 from the MCP 104, output pulse S.sub.2 from the gate circuit 105 and output pulse S.sub.4 from the pulse generator 107 are displayed on an oscilloscope and the time constant of the one-shot multivibrator 106 is adjusted by watching these pulses. When the switch 108 is made on after confirming a fact that the pulses S.sub.1 and S.sub.4 become a suitable timing relation, the irradiation with the X-rays and ultraviolet rays can be initiated. In practice, there is induced an electric delay due to electric cables, so that it is preferable to adjust the time constant by watching the transmitted X-ray microscopic image displayed on the CRT. The above analysis may be equally applied to other substances, molecules or atoms than the proteins in the biological substances, and also in these cases, the above explained advantages can be equally attained. FIG. 31 is a schematic view depicting a tenth embodiment of the X-ray microscope according to the invention. The construction of the optical system of this embodiment is quite similar to the fourth embodiment shown in FIG. 16. In the present embodiment, a glass wedge 111 is inserted between the UV laser 81 and the condenser lens 82 for adjusting an amount of the ultraviolet rays. The specimen 58 is inclined by 45 degrees with respect to the X-ray optical axis and the ultraviolet rays are made incident upon the specimen perpendicularly to the X-ray optical axis. As explained above, this construction is suitable for a case in which the condenser lens 54, specimen 58 and objective lens 55 are arranged on the X-ray optical axis close to each other. FIG. 32 is a block diagram showing the signal processing circuit of the present embodiment. In the present embodiment, there is provided a timing circuit 112 for generating the Q switch signal for the ultraviolet laser 81 in accordance with commands supplied from the host computer 71. The timing circuit 112 also serves as the gate circuit for the Q switch signal. That is to say, the timing circuit 112 is controlled by the commands supplied from the host computer 71 and adjusts the output timings of the Q switch signal for the Nd:YAG laser 51 and Q switch for the ultraviolet laser 81 such that the X-rays are made incident upon the specimen 58 within the time period of (T+3.tau.) from the initiation of the irradiation with the ultraviolet rays. According to further aspect of the present invention, the X-ray microscope can selectively observe a particular kind of molecule or atom contained in a specimen by changing a wavelength of exciting radiation rays. Now this will be explained in detail. FIG. 33 is a schematic view showing an eleventh embodiment of the X-ray microscope according to the invention, in which a wavelength of exciting ultraviolet rays can be changed in accordance with a substance under observation. The whole construction of the optical system of the present embodiment is similar to that of the sixth embodiment illustrated in FIG. 18. That is to say, in the present embodiment, the X-rays and exciting ultraviolet rays are generated by using the single Nd:YAG laser 51. The laser beam emitted by the Nd:YAG laser 51 is made incident upon the target 53 by means of the condenser lens 52 to emit X-rays having a given wavelength. Then, the X-rays are made incident upon the specimen 58 by means of the condenser lens 54 via a pin hole plate 115. The X-rays transmitted through the specimen 58 are detected by the detector 57 by means of the objective lens 55 and ultraviolet cut-off filter 56. The specimen 58 is arranged to be inclined with respect to the X-ray optical axis by 45 degrees. A part of the laser beam emitted from the Nd:YAG laser 51 is reflected by the half mirror 64 and the thus divided laser beam is made incident upon a KDP crystal 116 via a shutter 117. The KDP crystal 116 functions to convert incident radiation into its third harmonics, so that the laser beam emitted by the Nd:YAG laser 51 is converted into ultraviolet rays. The thus converted ultraviolet rays are made incident upon an optical parametric oscillator (OPO) 118 for changing or adjusting a wavelength of ultraviolet rays. Then, the ultraviolet rays having the wavelength adjusted by the OPO 118 are made incident upon a second harmonic generator (SHG) 119 and are converted into second harmonic. Then, the thus obtained ultraviolet rays are projected onto the specimen 58 by means of condenser lens 82, glass wedge 111 and UV transmissive window 62b formed in the wall of the vacuum chamber 62. As explained above, in the present embodiment, the X-ray radiation source is formed by the laser plasma radiation source emitting the white light, and the X-ray condenser lens 54 is formed by the Fresnel zone plate. The Fresnel zone plate has a wavelength dispersion, so that X-rays having different wavelengths are collected at different points, so that by arranging the pin hole plate 115 in front of the specimen 58 the specimen can be irradiated with the X-rays having a given wavelength. The objective lens 55 is also formed by the zone plate due to the following reason. In the present embodiment, in order to excite the specimen under the optimum condition, the wavelength of the ultraviolet rays is changed, so that when use is made of the objective lens of Schwarzschild type, an amount of the X-rays arriving at the detector 57 is reduced if the change in the wavelength of the X-rays exceeds a certain value, because the reflectance of the Schwarzschild optical element is decreased abruptly when the wavelength of the X-rays is shifted from the designed reference wavelength due to the variation of the wavelength of the ultraviolet rays. The zone plate has a property that the focal length is changed for the variation in the wavelength (chromatic aberration), so that when the wavelength of the X-rays emanating from the specimen is shifted to a certain amount due to the change in the wavelength of the exciting ultraviolet rays in accordance with the substances to be observed, the position of the zone plate objective lens 55 is finely adjusted along the X-ray optical axis as represented by a double headed arrow by means of a suitable mechanism not shown in FIG. 33 such that the focusing condition of the X-ray image formed on the detector 57 is remained unchanged. In this case, the position of the zone plate condenser lens 54 may be finely adjusted in conjunction with the movement of the objective lens 55, if necessary. FIG. 34 is a schematic view illustrating the detailed construction of the optical parametric oscillator (OPO) 118. The OPO comprises half wavelength plate 121, polarizer 122, beam expander 123, 124, BBO crystal 126 and BBO resonance mirrors 125, 127. The OPO 118 itself is known and is commercially available from, for example BMI company (France). The optical parametric oscillation is a non-linear optical process for generating radiation whose wavelength can be tuned over a very wide range. The wavelength tuning is performed continuously by controlling or adjusting a temperature of the BBO crystal 126 and/or an angle of the BBO crystal with respect to the optical axis. When the third harmonics of the Nd:YAG laser-beam (353 nm) is made incident upon the OPO 118, it is possible to generate radiation whose wavelength varies over a range from 400 to 2600 nm including the UV region. Further, by arranging the SHG 119 behind the OPO, the wavelength tuning range can be extended toward the UV region up to 200 nm. The glass wedge 111 functions to adjust an amount, i.e. a photon flux of the ultraviolet rays to be made incident upon the specimen 58 by changing an optical path length, i.e. a thickness of glass by moving it in a direction perpendicular to the optical axis as illustrated by a double-headed arrow with the aid of a suitable wedge driver 120. The wedge 111 is made of a glass material such as BK7 glass having a sufficiently high absorption for the ultraviolet rays. If a size of an area on the specimen which is irradiated with the ultraviolet rays does not matter, an amount of the ultraviolet rays may be adjusted by moving the condenser lens 82 along the optical axis to change a focusing condition. In such a case, the wedge 111 is dispensed with. FIG. 35 is a block diagram depicting the signal processing circuit of the present embodiment. In the present embodiment, the host computer 71 generates not only the Q switch signal for driving the Nd:YAG laser 51, but also OPO control signal for controlling a wavelength of the ultraviolet rays, photon flux control command for controlling an amount of the ultraviolet rays, and a shutter control command for driving the shutter 117. The photon flux control command is supplied to a wedge driving circuit 128 to produce a wedge control signal, and this wedge control signal is supplied to the wedge driver 120. The remaining construction of the signal processing circuit of the present embodiment is substantially identical with those of the signal processing circuits of the previous embodiments. Now the operation of the present embodiment will be explained by taking an example for observing carbon contained in a particular substance, i.e. protein constituting a biological specimen together with other substances also containing carbon. Prior to the observation, a carbon containing substance under observation is selected from the following table 2. This may be carried out by entering a substance name or its code into the host computer 71 from a suitable means such as keyboard. Then, the host computer 71 selects a desired wavelength of the ultraviolet rays to be made incident upon the specimen 58 with reference to a look-up table previously stored in the host computer. For instance, if tryptophane is to be observed, a wavelength of the ultraviolet rays is set to a value within a range from 205 to 230 nm, and the host computer 71 supplies the OPO control signal corresponding to the thus selected wavelength for controlling the temperature and/or an angle of the BBO crystal 126 in the OPO 118. In addition to this automatic wavelength selection, there is provided a manual wavelength selection for observing substances whose desired wavelengths are not known. After the completion of the selection of the wavelength of the ultraviolet rays, the host computer 71 supplies the Q switch signal to the Nd:YAG laser 51 to emit the laser beam. The laser beam is made incident upon the target 53 to emit the X-rays. At the same time the host computer 71 supplies the trigger signals to the TV camera system 74 and frame memories 76-1, 76-2 in synchronism with the generation of the X-rays, and further supplies the shutter driving signal to open the shutter 117. Therefore, the laser beam divided by the half mirror 64 passes through the shutter 117. Further the host computer 71 supplies the command to the wedge driving circuit 128 and this circuit generates the wedge control signal for controlling an amount of the ultraviolet rays passing therethrough. In this manner, the specimen 58 is irradiated with the X-rays and ultraviolet rays having the desired wavelength and in the first frame 76-1 there is stored the first digital image signal A which is obtained by irradiating the specimen 58 with both the X-rays and exciting ultraviolet rays. Next the host computer 71 changes a state of the shutter driving signal to close the shutter 117, so that the irradiation with the ultraviolet rays is stopped. In this manner, the second digital image signal B is stored in the second frame memory 76-2. As stated above, the second digital image signal is obtained without the irradiation with the ultraviolet rays, so that it represents the image data of elements other than carbon contained in the carbon containing substances under observation. That is to say, the second image signal may be considered to be noise contained in the first digital image signal. Therefore, by deriving a difference between the first and second digital image signals (A-B), it is possible to obtain the digital image signal representing the distribution of carbon in the desired carbon containing substance under the observation. Also in this embodiment, the sequence of the entry of the first and second digital image signals A and B may be reversed. This is particularly preferable in a case in which the specimen 58 might be strongly influenced by the irradiation with the ultraviolet rays. Further, it is also possible to provide an ultraviolet ray source such as Ti-supphire laser and dye laser separately form the X-ray source. Moreover, the output radiation from the SOR source may be used for generating the ultraviolet rays by means of the monochrometer. FIG. 36 is a schematic view showing a twelfth embodiment of the X-ray microscope according to the invention. The X-ray microscope of the present invention is constructed as the scanning type similar to the eighth embodiment illustrated in FIG. 23. In this embodiment, the X-rays are made incident upon the specimen 58 as a fine beam spot via the pin hole plate 115, and the specimen and beam spot are moved relative to each other to perform the scanning. To this end, the specimen 58 is supported on the stage 91 and the stage is moved perpendicularly to the X-ray optical axis in a two-dimensional manner by means of the stage driver 92 in accordance with the stage driving signal supplied from the host computer. The X-ray detector 93 is formed by any type detector instead of the image sensing type detector. In the present embodiment, the ultraviolet rays may be selectively made incident upon the specimen 58 from its front and rear surfaces, so that it is no more necessary to turn over the specimen on the stage 91. That it to say, there are provided singable mirror 66-1 and mirror driver 131. When the singable mirror 66-1 is driven into a position shown by a solid line in FIG. 36, the ultraviolet rays emanating from the SHG 119 are reflected by the mirror 66-1 and are made incident upon the front surface of the specimen 58 by means of first glass wedge 111-1, first condenser lens 82-1 and first UV transmissive window 62b-1. The position of the first wedge 111-1 is controlled by a first wedge driver 120-1 to adjust an amount of the ultraviolet rays to be made incident upon the specimen. When the singable mirror 66-1 is driven into a position depicted by a broken line, the ultraviolet rays are reflected by a stationary mirror 66-2 and are then made incident upon the rear surface of the specimen 58 by means of second glass wedge 111-2, second condenser lens 82-2 and second UV transmissive window 62b-2. The position of the second wedge 111-2 can be changed by a second wedge driver 120-2 to adjust an amount of the ultraviolet rays. Further, the X-ray objective lens 55 is formed by the zone plate and a position of the zone plate is changed by a zone plate driver 132 along the X-ray optical axis such that the X-rays are focused on the front or rear surface of the specimen 58. That is to say, when the ultraviolet rays are made incident upon the front surface of the specimen 58, the zone plate objective lens 55 is moved into such a position that the X-rays are focused on the front surface of the specimen, and when the ultraviolet rays are made incident upon the rear surface of the specimen 58, the zone plate objective lens is moved into such a position that the X-rays are focused on the rear surface of the specimen. FIG. 37 is a block diagram showing the signal processing circuit of the present embodiment. The host computer 71 generates commands for controlling the Nd:YAG laser 51, stage 91, shutter 117, OPO 118, first and second wedges 111-1, 111-2 and zone plate condenser lens 54. There are arranged stage driving circuit 95 for generating the stage driving signal, wedge driving circuit 132 for generating the first and second wedge driving signals, and zone plate driving circuit 133 for producing the zone plate driving signal. When the front surface of the specimen 58 is observed, at first the X-rays are focused on to the front surface of the specimen, and at the same time the ultraviolet rays having the wavelength adjusted by the OPO 118 are made incident upon the front surface of the specimen 58 by positioning the singable mirror 66-1 into the position shown by the solid line in FIG. 36. Also in this case, the first wedge 111-1 is moved such that an amount of the ultraviolet rays is adjusted to a desired value. Then, the stage 91 supporting the specimen 58 is moved by the stage driver 92 to scan the front surface of the specimen. In this manner, a first digital image signal A with the irradiation with the ultraviolet rays is stored in the first frame memory 76-1. After that, the shutter 117 is closed to stop the irradiation with the ultraviolet rays and the stage 91 is moved again to scan the front surface of the specimen to store a second digital image signal B without the irradiation with the ultraviolet rays is stored in the second frame memory 76-2. By deriving the differential signal A-B, it is possible to obtain the image signal representing the X-ray microscopic image of carbon contained in the desired substance in the specimen 58. Next, the singable mirror 66-1 is moved into the position shown by the broken line in FIG. 36 and the ultraviolet rays are made incident upon the rear surface of the specimen 58. At the same time, the zone plate objective lens 55 is moved such that the X-rays are focused on the rear surface of the specimen. Then, the shutter 117 is opened and the stage 91 is moved to scan the rear surface of the specimen 58. During this scanning, a first digital image signal A' is stored in the first memory 76-1. Next, the shutter 117 is closed and the scanning is performed by moving the stage 91 and a second digital image signal B' is stored in the second frame memory 76-2. By deriving a differential signal A'-B, it is possible to obtain an image signal representing the transmitted X-ray image of carbon of the desired substance in the specimen. In the present embodiment, in addition to the advantages attained by the eleventh embodiment depicted in FIG. 33, there is obtained an advantage that the front and rear surfaces of the specimen can be observed without turning over the specimen on the stage 91. In this case, upon observing the rear surface of the specimen, the pin hole plate 115 may be removed from the front surface of the specimen and a separate pin hole plate is arranged on the rear surface. However, an aperture of the pin hole plate 115 is sufficiently large such that the effective X-rays are not shielded by the pin hole plate even when the rear surface of the specimen is observed, it is no more necessary to remove the pin hole and to arrange another pin hole plate on the rear surface of the specimen. The present invention also proposes the secondary electron spectrometer, in which an observed element can be precisely judged and a particular element contained in a particular substance can be selectively observed without the influence of the same element contained in other substances. FIG. 38 is a schematic view showing an embodiment of the secondary electron spectrometer according to the invention. A laser beam emitted by YAG laser 151 is converged by a condenser lens 152 and then is made incident upon a polarizer 173 via a half mirror 172. The polarizer 173 is arranged rotatably so that an amount of the laser light passing through the polarizer can be adjusted. The laser beam emanating from the the polarizer 173 is made incident upon a target 155 arranged within a vacuum chamber 153 via a window 154 formed in a wall of the vacuum chamber. Then, a part of the target 155 is brought into a plasma state to emit soft X-rays. The X-rays emitted by the target 155 are made incident upon a concave diffraction grating 157 arranged within a vacuum chamber 156 which is communicated with the vacuum chamber 153. Therefore, the soft X-rays are dispersed by the concave grating 157 and a part of the soft X-rays having a given wavelength are introduced into a vacuum chamber 158 communicated with the vacuum chamber 156. Within the vacuum chamber 158, there are arranged slit 159, X-ray optical element 160 such as Wolter optical element, holder 162 for holding a specimen 161, electron monochrometer 163 and microchannel plate (MCP) 164. Between the vacuum chambers 156 and 158, there is provided bellows and the vacuum chamber 158 is arranged movably along a Rowland circle R of the concave diffraction grating 157. FIG. 39 is a cross-section illustrating the electron monochrometer 163. The electron monochrometer 163 comprises inlet and outlet slits 181 and 182, and cylindrical electrodes 183 and 184 arranged between the inlet and outlet slits. The MCP 164 is arranged in opposition to the outlet slit 182. The assembly of the electron monochrometer 163 and MCP 164 is arranged on a supporting plate 185 as shown in FIG. 38. The specimen holder 162 is also arranged on the supporting plate 185 movably in a plane perpendicular to the X-ray optical axis. Between the vacuum chambers 156 and 158, there is arranged a lid 170 for selectively closing the communication path between these vacuum chambers. To the specimen vacuum chamber 158 is connected a gas injector 171 for introducing a nitrogen gas into the specimen vacuum chamber 158 prior to the observation. It should be noted that the vacuum chambers 153, 156 and 158 are evacuated up to a pressure of 10.sup.-4 to 10.sup.-6 Torr. A part of the laser beam emitted from the YAG laser 151 is divided by the half mirror 172 and the thus divided laser beam is made incident upon a non-linear crystal 174 and is converted into third harmonics having a wavelength in the ultraviolet region. The ultraviolet rays emanating from the non-linear crystal 174 are then made incident upon an optical parametric oscillator (OPO) 175 to adjust the wavelength of the ultraviolet rays. The ultraviolet rays enamating from the OPO 175 are made incident upon a second harmonic generator (SHG) 177 via a mirror 176 and are converted into a second harmonic. The thus obtained ultraviolet rays having a desired wavelength are made incident upon the specimen 161 by means of condenser lens 178, glass wedge 179 and UV transmissive window 180 formed in a wall of the specimen vacuum chamber 158. The construction of the OPO 175 is identical with that shown in FIG. 34. The glass wedge 179 has the same function as the glass wedges of the previous embodiments and an amount of the ultraviolet rays to be made incident upon the specimen can be adjusted by moving the wedge in a direction perpendicular to the optical axis. Now the operation of the secondary electron spectrometer of the present embodiment will be explained also with reference to diagrams shown in FIGS. 41A to 41F. These diagrams denote transitions of electrons when a carbon atom emits Auger electrons. In the known secondary spectrometer, the Auger electron is emitted by the direct irradiation with the X-rays. In the present embodiment, at first an electron in the 2p orbit of atom under the ground state is ionized or excited by the irradiation of the ultraviolet rays as shown in FIG. 41A. Therefore, in the 2p orbit, there is remained a hole as illustrated in FIG. 41B. Next, upon the irradiation with the X-rays as shown in FIG. 41C, an electron is excited from the 1s orbit into the hole in the 2p orbit as depicted in FIG. 41D. This state is very unstable, so that the electron in the 2p orbit is transferred into the hole in the 1s orbit as shown in FIG. 41E. During this transition, an electron in the 2p orbit is emitted therefrom and finally an electron state illustrated in FIG. 41F is obtained. In the secondary electron spectroscope, the electron emitted by the secondary emission shown in FIG. 41E is detected. According to the invention, carbon elements contained in a given protein in the specimen can be selectively observed by suitably selecting the wavelength of the ultraviolet rays in accordance with the table 2. That is to say, also in this embodiment, the wavelength of the ultraviolet rays is adjusted by controlling the OPO 175 like as the embodiments shown in FIGS. 33 and 36. When carbon in the triptophane is to be observed, the wavelength of the ultraviolet rays is set to a value within a range of 205 to 230 nm. An amount of the ultraviolet rays is adjusted by moving the glass wedge 179. Further, an amount of the X-rays to be made incident upon the specimen 161 is adjusted by rotating the polarizer 173 such that the inner-shell electron could not be excited or ionized into the outer-shell hole solely by the irradiation with the X-rays, but when carbon is excited with the ultraviolet rays, the transition of the inner-shell electron into the outer-shell hole is performed with the X-rays. Therefore, carbon elements contained in other substances than the UV excited substance are not excited or ionized by the X-rays. In this manner, only carbon in the desired substance can be observed without being affected by carbon contained in other substances. The specimen vacuum chamber 158 is moved relative to the vacuum chamber 156 along the Rowland circle R, so that the wavelength of the X-rays introduced into the specimen vacuum chamber is selected and the X-rays having the thus selected wavelength are made incident upon the specimen 161 by means of the X-ray optical system 160. When it is required to change the wavelength of the X-rays in accordance with a substance to be observed, the concave diffraction grating 157 is adjusted. The secondary electrons emitted from the specimen 161 by the irradiation with ultraviolet rays and X-rays are detected by the MCP 164, while the voltage applied across the cylindrical electrodes 183, 184 is continuously changed to scan the energy of the secondary electrons. Under a certain voltage condition, secondary electrons having a predetermined kinetic energy are deflected to follow a circular locus between the electrodes 183, 184 and can exit from the outlet slit 182. In this manner, only the secondary electrons having the predetermined kinetic energy can be selectively detected, and thus by changing the voltage across the electrodes 183, 184, it is possible to scan the kinetic energy of the secondary electrons. As explained above, according to the invention, by using both the X-rays and ultraviolet rays, it is possible to emit the secondary electrons only from carbon contained in a particular substance (protein). In the present embodiment, the power spectrum of the secondary electron is measured, while the specimen vacuum chamber 158 is filled with the He gas from the gas injector 171. Then, a measured energy value is compared with a known energy of the secondary resonance line of the He gas to derive a difference therebetween. Finally, this difference is subtracted from the measured energy value of the secondary electron emitted by the specimen to derive a calculated or corrected value. FIG. 40 shows the energy spectrum of the resonance lines due to the autoionization resonance of the He gas by the electron impact. The energy values of these resonance lines are known, and particularly when the He gas is subjected to the electron impact, signals due to ionization other than the resonance lines are very small and the autoionization resonance lines appear only in a region below 40 eV. Therefore, the background noise in the analysis of the secondary electron of the specimen can be decreased. As stated above, by deriving the difference between the known energy value of the secondary electron of the He gas and the actually measured energy value, it is possible to know a fluctuation in the energy value due to the undesired electromagnetic field within the electron monochorometer 163. Therefore, this fluctuation is subtracted from the actually measured value, an absolute value of the energy of the secondary electron emitted from the specimen can be determined accurately. In the present embodiment, the specimen vacuum chamber is filled with the He gas, but according to the invention any other gas may be used in accordance with a particle beam to be made incident upon the specimen. For instance, a photon beam such as X-rays is used, Auger electrons are emitted rather than the auto-ionization resonance lines, and therefore a Kr gas having the known energy spectrum of the MNN Auger resonance lines may be advantageously used for correcting the measured energy values. Further, the electron monochrometer may be formed by any other type than the coaxial cylindrical electrostatic type shown in FIG. 39. For instance, use may be made of an electrostatic type electron monochrometer such as electrostatic type parallel plane electron monochrometer, electrostatic type semispherical electron monochrometer, electrostatic type cylindrical mirror electron monochrometer, or electrostatic electric field blocking type electron monochrometer, in which the charged particles are deflected by the electric field. Alternatively it is possible to use a magnetic field type electron monochrometer when a kinetic energy of the charged particles is large. Moreover, instead of the multichannel plate 164, use may be made of an electron multiplier. As explained above, in the present embodiment, the specimen is irradiated with the X-rays as well as the ultraviolet rays to emit the secondary electrons and the thus emitted secondary electrons are detected by means of the electron monochrometer, so that by adjusting the wavelength of the ultraviolet rays in accordance with a particular substance in the specimen, particular element contained in the relevant substance can be selectively observed without being affected by the same element contained in other substances in the specimen. |
abstract | The coated nanotube surface signal probe constructed from a nanotube, a holder which holds the nanotube, a coating film fastening a base end portion of the nanotube to a surface of the holder by way of adhering the base end portion on the surface of holder in a range of a base end portion length with an electric contact state and covering a specified region including the base end portion with the coating film maintaining the electric contact state between the nanotube and the holder, a tip end portion of the nanotube being caused to protrude from the holder; and the tip end portion is used as a probe needle so as to scan surface signals. The coated nanotube surface signal probe can be used as a probe in AFM (Atomic Force Microscope), STM (Scanning Tunneling Microscope) other SPM (Scanning Probe Microscope). |
|
claims | 1. An exposure apparatus for exposing a substrate to a pattern, said apparatus comprising:a chamber in which a vacuum ambience is to be formed;a stage disposed in said chamber and configured to hold the substrate and to move;a static-pressure bearing disposed in said chamber and configured to guide movement of said stage;a supply-system piping configured to supply a gas to said static-pressure bearing from an outside of said chamber;an exhaust-system piping configured to discharge a gas, supplied to said static-pressure bearing from said supply-system piping, to an outside of said chamber to prevent the gas from leaking into said chamber; andA check valve configured to allow a gas to flow from an inside of said chamber to an outside of said chamber. 2. An apparatus according to claim 1, wherein said apparatus is configured to expose the substrate to one of an X-ray beam, EUV light and an electron beam. 3. An apparatus according to claim 1, wherein said static-pressure bearing is configured to be supplied with one of a nitrogen gas, a helium gas and an inactive gas. 4. A method of manufacturing a device, said method comprising steps of:exposing a substrate to a pattern using an exposure apparatus as defined in claim 1;developing the exposed substrate; andprocessing the developed substrate to manufacture the device. 5. An apparatus according to claim 1, wherein said check valve is configured to open when pressure of an ambience inside said chamber is higher than pressure of an ambience outside said chamber. 6. An apparatus according to claim 1, wherein said check valve is configured to open when pressure of an ambience inside said chamber is higher than pressure of an ambience outside said chamber by a predetermined amount. |
|
046630937 | summary | BACKGROUND OF THE INVENTION 1. Field of the Invention and Contract Statement This invention relates to a process for preparing gel spheres of nuclear fuel and to apparatus for conducting such process. 2. Division of Background and Prior Art A sol gel process for the production of (U, Pu)O.sub.2 nuclear fuel microspheres is disclosed in U.S. Pat. No. 4,397,778. Because of the higher gelation temperature (about 85.degree. C.) required in such process, silicone oil is used as a gelation medium instead of trichloroethylene (TCE) which is used in conventional internal gelation processes for the preparation of UO.sub.3 spheres. The use of silicone oil, which has higher viscosity and higher surface tension, has caused some difficulties particularly in a continuous mode of operation of the process. Recently, improvements to the process have been disclosed that overcome such difficulties. One of the improvements is a method by which the resistance of the surface tension and viscosity of the silicone oil are overcome by transporting the liquid droplets of a sol or broth into the silicone oil gelation medium by way of a jet stream of silicone oil. Another improvement uses a draft tube and vibrated screen for disengaging the gelled and aged spheres from silicone oil and then transporting the disengaged spheres into a trichloroethylene wash column where the silicone oil is washed from the outer surfaces of such spheres. The application of such improvements to the process has resulted in a continuous internal gelation process for producing nuclear fuel microspheres of predictable size and quality. However, the process is now more complex and more difficult to control and maintain, especially since remote operation is required. It is desirable to have a more simplified process with fewer steps, fewer equipment pieces to control, and one that is more amenable to scale-up and remote operation and maintenance. U.S. Pat. No. 4,124,535 discloses a method of forming gelled spheres by suspending droplets of a slurry in a suspending liquid, such as, perchloroethylene. The slurry includes slurries made from metal oxides, but radioactive materials are not mentioned. U.S. Pat. No. 3,321,560 discloses a method of making uranium dioxide spheres by suspension of a uranium dioxide slurry in trichloroethylene. There is no disclosure of dropping uranium spheres into a moving stream of hot solvent. U.S. Pat. No. 2,820,984 teaches the production of regenerated cellulose pellets. As the drops or globules of regenerated cellulose are formed, they are first dropped into a coagulating bath wherein they are subjected to a preliminary limited regenerating action during which time a thin skin is caused to form on the drops. The bath is caused to flow without turbulence and eddy currents and serves to move the pellets out of the dropping zone. SUMMARY OF THE INVENTION An object of the invention is to provide a simple, continuous process for preparing gel spheres of nuclear fuel, such as, (UPu)O.sub.x. Another object of the invention is to provide apparatus for conducting such process. Other objects and advantages of the invention are set out herein or are obvious herefrom to one ordinarily skilled in the art. The objects and advantages of this invention are achieved by the process and apparatus of the invention. To achieve the foregoing and other objects in accordance with the purpose of the invention, as embodied and broadly described herein. The invention involves an internal gelation process for the continuous preparation of nuclear fuels. The process includes moving a volume of hot perchloroethylene through a trough. Droplets of a nuclear fuel solution are directed into the moving volume of hot perchloroethylene. The droplets of nuclear fuel solution gel to form gel spheres while the droplets are floating on the surface of the moving volume of perchloroethylene. The resultant gel spheres drop into a vertical column of perchloroethylene, wherein the gel spheres of nuclear fuel age while floating as a bed at the top of vertical column. The aged gel spheres of nuclear fuel are separated from the perchloroethylene. Preferably the hot perchloroethylene solution of the first step has temperature between about 75.degree. and about 90.degree. C., and most preferably it has a temperature of about 85.degree. C. Preferably the nuclear fuel solution used in the second step is a U-Pu solution. The vertical column of step (c) is preferably an ager. Preferably the aged gel spheres from step (d) are transported on a moving screen, the entrained perchloroethylene draining off of the aged gel spheres, the drained gel spheres are washed in an aqueous wash column, the wash water is drained from the gel spheres and the aged gel spheres are dried. Also preferably the wash water contains ammonium hydroxide. The process of the invention can be used for both the production of virgin UO.sub.2 fuels and the refabrication of (UPu)O.sub.2 fuels recycled in fuel reprocessing systems. The invention also includes the aged gel spheres of nuclear fuel prepared by the invention process. The invention includes apparatus for continuously preparing aged gel spheres of nuclear fuel. The apparatus includes the vessel and means adapted to contain a flow of hot perchloroethylene therethrough, and means for injecting hot perchloroethylene into one end of a trough-shaped vessel, thereby causing the flow of hot perchloroethylene through the trough-shaped vessel. There is means for forming droplets of nuclear fuel solution and directing the droplets of nuclear fuel into the flow of hot perchloroethylene in the vessel means. The droplets of nuclear fuel rise to the surface of the flow of hot perchloroethylene and gel to form gel spheres of nuclear fuel floating on top of the flow of hot perchloroethylene. There is also column means containing perchloroethylene and attached to the other end of the vessel means so that the interface opening therebetween coincides with the top of the hot perchloroethylene in the vessel means. The gel spheres of nuclear fuel floating on the top of the hot perchloroethylene at the attachment interface are formed into the column means by the build up of floating gel spheres in the vessel means. The top of the perchloroethylene in the column means is below the attachment interface. The gel spheres entering the column means fall onto the top of the perchloroethylene therein. The gel spheres floating on the perchloroethylene in the column means age as they move to the bottom of the column means. Some perchloroethylene from the vessel means come over the attachment means into the column means. Further, there is means for removing the aged gel spheres from the bottom of the column means and separating such removed, aged gel spheres from entrained perchloroethylene. Preferably the vessel means is a trough-shaped vessel. Preferably the injection means includes a horizontal pipe located in the one end of the vessel means located below the top surface of the hot perchloroethylene in the vessel means, the hot perchloroethylene entering the vessel means through the pipe. Also, preferably another horizontal pipe is located in the one end of the vessel means above the horizontal pipe so that the bottom of the rim of the horizontal pipe positioned just above the top surface of the hot perchloroethylene, thereby, when too much hot perchloroethylene is fed into the vessel means, such excess hot perchloroethylene flows out another horizontal pipe so as to maintain the desired level of hot perchloroethylene in the vessel means. Preferably a first vertical baffle is located in the vessel means, the first vertical baffle extending below the top surface of the hot perchlorethylene and the bottom edge of the first baffle being above the bottom surface of the vessel means, and a second vertical baffle is located on the side of the first vertical baffle away from the entrance pipe, the top edge of the second vertical baffle being positioned a minimal distance below the top surface of the hot perchloroethylene in the vessel means, thereby preventing movement of the nuclear fuel droplets toward the entrance pipe or overflow pipe and helping to provide a nonturbulent flow of perchloroethylene in the downstream portion of the vessel means. The column means preferably contain a slow-moving, vertically-oriented ager. |
description | This application is a U.S. National Phase of International Application No. PCT/EP2011/052245, filed Feb. 15, 2011, designating the U.S., and published in French as WO 2011/101358 on Aug. 25, 2011 which claims the benefit of French Patent Application No. 10 51132 filed Feb. 17, 2010. The invention relates to a method for treating a nitric aqueous solution comprising at least one radionuclide and possibly ruthenium before calcination and vitrification. Such a method notably finds its application in the reprocessing of radioactive active liquid effluents, which may be loaded with ruthenium, which effluents are intended to be conditioned by vitrification. In the field of the nuclear industry, different types of radioactive aqueous liquid effluents are produced during reprocessing, such as: aqueous effluents comprising fission products resulting from operations for separating fission products of uranium and of plutonium in the reprocessing of used fuel; aqueous effluents comprising dissolution fines and insolubles stemming from shearing and dissolution operations, for example of structural elements and metal sheaths; aqueous effluents resulting from operations for rinsing the evaporators. These aqueous effluents, because of their high radiological activity, cannot be discharged into the environment and are therefore intended to be conditioned so that the radioactive elements and the radioactivity issued from the latter are trapped. To do this, one of the standard solutions for conditioning this type of effluents, since the early 70s, is vitrification which consists of solidifying said effluents in a glassy matrix, the resulting product thereby forming an ultimate waste suitably conditioned for long-term storage. In order to facilitate vitrification, the aqueous effluents containing radionuclides are led to being preconcentrated before vitrification as such, so as to remove the water present in these effluents and also denitrate them. This preconcentration step may be carried out according to one of the following embodiments: by distillation of the nitric acid conventionally contained in these aqueous effluents; by making an azeotrope with the glycerin followed by distillation; by burning the effluents in the presence of a liquid fuel; or by calcining said effluents, the latter route being the one presently used in French vitrification operations. Calcination of liquid effluents comprising radionuclides is achieved conventionally in a calcination reactor, which may for example be an aerosol reactor, a reactor of the rotary drum calciner type or further a reactor with a fluidized bed. Upon applying the calcination operation, two important problems may occur. Firstly, certain nitrates, resulting from the action of nitric acid on the chemical elements present in the effluents, as this is the case for sodium nitrate, have a very low melting point which, at the end of the calcination operation, generates a viscous and tacky calcine which may generate clogging of the reactor in which the calcination is carried out. Adding glucose to the effluents, as mentioned in document U.S. Pat. No. 4,943,395, may contribute to promoting denitration and thereby limit partly the aforementioned clogging problem. In order to completely prevent this clogging problem, it may be also necessary to add to the effluents, inorganic adjuvants, such as aluminum nitrate or iron nitrate, which, in order to be effective have to be incorporated at high contents, which may range up to 50% by mass in the final composition of the calcine. Secondly, the ruthenium potentially present in the radioactive aqueous liquid effluents may be led under the conditions for applying calcinations, to be oxidized into volatile species and to thereby escape into the environment. In order to overcome this problem, certain authors have proposed adding to the solution a reducing agent, in particular glucose, as proposed in the aforementioned document. As regards calcination of the effluents before vitrification, the following drawbacks emerge from the embodiments of the prior art: impossibility for the glucose used as reducing agent, of completely and concomitantly settling the problems related to oxidation of ruthenium and to the viscous and tacky aspect of the calcine at the end of the operation; dilution of the radioactive elements to be conditioned, by adding inorganic adjuvants, which have the consequence of increasing the number of produced glass packets and therefore reducing the throughput of the vitrification lines and increasing the cost of storage. The authors of the present invention thus propose to develop a novel method for treating radioactive nitric aqueous effluents possibly comprising ruthenium, so that during the subsequent calcination, the problems related to the tackiness of the calcine and to the possible formation of volatile ruthenium oxide are solved, without it being necessary to resort to the use of inorganic adjuvants as mentioned above. The authors of the present invention have surprisingly discovered that by adding to the aforementioned liquid effluents a particular organic adjuvant, it is possible to efficiently solve the whole of the aforementioned problems. Thus, the invention according to a first object, relates to a method for treating a nitric aqueous solution comprising at least one radionuclide and possibly ruthenium, comprising a step for adding to said solution a compound selected from lignins, lignocelluloses, optionally as salts and mixtures thereof. By using a compound as mentioned above, one thus has access to a solution which, once calcined, generates a calcine having an excellent denitration level and a better ruthenium level than in the case when glucose was used for treating the solution, and this without it being necessary to add inorganic adjuvants, such as this is the case of certain embodiments of the prior art. Finally, the thereby treated solutions lead to a non-tacky calcine. Furthermore, the use of lignin and of its derivatives (such as lignosulfonate compounds and lignocellulose compounds) further has the following advantages: these compounds are abundantly available and at a low costs, because they are by-products of the paper-making industry or further are marketed as a dispersant or retardants for cements; they thermally cross-link in an acid medium in order to form a very hard product which is involved in the consistency of the calcine and contributes to making the calcine compact and non-tacky. In the foregoing and in the following, it is specified that, by lignin, is conventionally meant a condensation product comprising units resulting from the polymerization and/or condensation of at least one of the compounds of the following formulae (I), (II) and (III): these compounds being also known under the name of cumaryl alcohol, coniferyl alcohol and sinapyl alcohol, respectively. The lignin includes units originating from the opening of the double bond borne by the aforementioned compounds, at least one of the carbon atoms of this double bond being able to allow binding with another compound via a carbon atom of a double bond or via an atom borne by the phenyl ring (oxygen or carbon) or further being able to be oxidized in order to provide an —OH function which itself may then recombine with another group. It is thereby possible to find again in the lignins the following simple units: the OH functions may also be involved in the binding with other units, or further more complex units originating from the recombination of certain groups after opening the double bond such as the following unit: the braces appearing on the aforementioned units indicating the location through which binding is accomplished with other units. In the foregoing and in the following, by lignocellulose is conventionally meant the combination of the following constituents: a lignin as defined above; a cellulose, which is a linear chain resulting from the condensation of D-glucose; and optionally a hemicellulose, which may consist of a linear or branched chain resulting from the combination of different types of sugars with 5 carbon atoms (such as xylose and arbinose) and of sugars with 6 carbon atoms (such as glucose, galactose and mannose). In the foregoing and in the following, by radionuclide is conventionally meant a radioactive element, which may for example be a fission element originating from nuclear fuels. Whether this be for lignins or for lignocelluloses, these compounds may exist as salts, such as for example lignosulfonates. Lignins and lignocelluloses, optionally as salts, may be used in combination with organic or optionally inorganic additives, the resulting mixture preferably has to have a lignin or lignocellulose content of more than 70% by mass based on the mass of the mixture. As mentioned above, the thereby treated solution is intended to be subject to calcination, so as to remove the water present in the latter and also denitrate said solution. Thus, the invention relates to: a method for calcination of a nitric aqueous solution comprising at least one radionuclide and possibly ruthenium successively comprising: a) a step for applying the treatment method as defined above; b) a step for calcining the solution obtained in step a); and a method for vitrification of a nitric aqueous solution comprising at least one radionuclide and possibly ruthenium successively comprising: c) a step for applying the calcination method as defined above; d) a step for putting the calcine obtained in step c) in contact with a glass frit; e) a step for heating the mixture obtained in step d) to an effective temperature in order to obtain melting of the latter; f) a step for cooling the product obtained in step e) by means of which a glass is obtained. The calcination step b) mentioned above conventionally consists of suppressing the water present in the solution, this calcination step may be applied by heating to a temperature ranging from 200 to 650° C. This calcination step may be carried out in a rotary oven heated by electric resistors. With view to vitrification, the calcine is then put into contact with a glass frit which may comprise SiO2 and optionally one or several oxides selected from B2O3, Na2O, Al2O3, CaO, Fe2O3, NiO, CoO, ZrO2 and mixtures thereof. Before being cooled according to step f), the molten mixture from step e) may be placed in a container with view to storage after cooling. The cooling step f) is a step which may consist of placing the molten mixture at rest without any heating, for example for at least 24 hours, so that the mixture reaches a temperature below the glass recristallization temperature. Once it is cooled, when the glass is contained in a container, the latter may be closed with a welded lid, for example by means of an automatic plasma torch. The invention will now be described with reference to the particular embodiment discussed above as an illustration but not as a limitation. A solution resulting from the dissolution of different oxides in nitric acid was acquired from Kemesys, CD6 Le Verdalai F-13790 Peynier. The table below shows the characteristics of this solution in terms of concentrations. Simulating oxidesConcentration (in g/L)BaO3.908Na2O74.13Cr2O30.731NiO0.636Fe2O32.145MnO22.114La2O30.576Nd2O34.538Ce2O38.199ZrO210.807MoO37.503P2O54.581RuO25.266B2O38.056SO32.121 The chemical elements present in the solution were selected as being the most representative of the elements in certain solutions to be vitrified. They are moreover the equivalents of their own radioactive isotopes. Further, the resulting solution contains 7 mol/L of nitrate ions NO3−. For the subsequent discussion, this solution is called a <<model solution>>. Different tests are conducted: a test (a so-called REF test), where 10 mL of the model solution is calcined in a crucible at 400° C. for 20 minutes; a test (a so-called A test), where 450 mg of glucose (provided by Sigma Aldrich) is added to 10 mL of the model solution, the resulting solution then being calcined in a crucible at 400° C. for 20 minutes; a test (a so-called B test), where 450 mg of lignin (a purified alkaline lignin obtained from Sigma Aldrich) are added to 10 mL of the model solution, the resulting solution then being calcined in a crucible at 400° C. for 20 minutes. After cooling, it is seen that the calcines of tests A and REF adhere to the bottom of the crucible while the calcine from test B has a granular aspect and is easily extracted from the crucible. By adding lignin, it is thereby possible not to resort to the addition of mineral adjuvants as this would be the case for tests A and REF so that the calcines no longer have the observed tackiness. In order to evaluate to which extent ruthenium is retained in the calcine, the samples from tests A and B were analyzed by energy dispersion spectroscopy (known under the name of acronym of EDX). To do this, the cerium which is present in the model solution and which is not volatile was used as an internal standard. The table below indicates the molar ratios (Ru/Ce) obtained for the samples of tests REF, A and B. TestREFABMolar0.340.490.64ratio (Ru/Ce) A much greater retention of ruthenium appears for the sample from test B (the initial solution of which was treated with lignin), than for those of the samples from tests A and REF. In order to evaluate whether the produced calcine may be vitrified, the synthesis of a glass was carried out with the sample from test B, by means of a glass frit, the composition of which appears in the table below. OxideMass concentration (in %)SiO262.85B2O317.12Na2O7.50Al2O31.00CaO3.87Fe2O33.00NiO0.35CoO0.35ZrO21.25 11.25 g of glass frit with the composition mentioned above were milled with 7.75 g of calcine from test B. The resulting mixture is heated from room temperature to 500° C. directly and then from 500° C. to 1,100° C. in steps of 100° C. with a 30 minute plateau. After cooling, the obtained glass is visually homogeneous. A cut of the glass was achieved and polished so as to be then analyzed with a scanning electron microscope. A dispersion of small RuO2 particles is observed. The glass is homogeneous in composition. It should also be noted that insofar that the calcine used was obtained without any mineral adjuvants (of the aluminium or iron nitrate type, which may conventionally enter the final composition of the calcine in an amount up to 50% by mass), the incorporation level of simulated radionuclides is twice what it would be with a calcine applying such adjuvants. |
|
description | This is a continuation of application Ser. No. 13/059,532, filed Feb. 17, 2011, which is incorporated herein by reference. The present invention relates to an installation method of equipment such as a vertical pump used at, for example, an atomic power plant, an anchor member supporting mechanism and an anchor bolt unit used when the installation method of equipment is executed. Conventionally, a bottomed cylindrical container made of a steel plate called as a pit can is used for a placement of, for example, a large vertical pump in an atomic power plant and so on. The vertical pump and so on is fixed by foundation bolts under a state that a lower side of a pump main body is inserted into the pit can embedded in base concrete. Besides, an installation method and so on to efficiently install the pit can are proposed. Namely, anchor bolts for equipment installation such as the vertical pump are attached at a periphery of the pit can in advance at a manufacturing factory in this installation method. Further, a coupling member is attached at a bottom portion of the pit can. After that, a pit can module is formed by attaching reinforcing steels for reinforcement at the periphery of the pit can in this installation method. Further, the pit can module is mounted on a member to be coupled placed on a base of an installation field of the vertical pump and so on via the coupling member in this installation method. After that, an installation of the pit can main body is completed by depositing concrete. Reference 1: JP-A 2004-309406 (KOKAI) Reference 2: JP-A 2002-147392 (KOKAI) However, in the above-stated installation method, the anchor bolds for equipment installation are attached at the periphery of the pit can main body when the pit can module is manufactured. After that, the installation method further goes through a working process in which the reinforcing steels for reinforcement are attached at the periphery of the pit can main body. Accordingly, a relatively difficult work to place the reinforcing steels at the periphery of the pit can while avoiding interference with the anchor bolts on component layout is required in this installation method. It is therefore required to improve working efficiency as for the installation work of equipment including the installation of the pit can. The present invention is made to solve the above-stated problems and an object thereof is to provide an installation method of equipment, an anchor member supporting mechanism, and an anchor bolt unit capable of enhancing installation work efficiency of the equipment. To attain the above-stated object, an installation method of equipment according to an aspect of the present invention includes: disposing a first frame at a position different from an installation location of the equipment; disposing reinforcing steels to reinforce the first frame and a pit container in a bottomed cylindrical state to be placed on the first frame from a periphery thereof; placing the pit container on the first frame positioning inside the reinforcing steels; attaching an anchor member supporting mechanism to the pit container, the anchor member supporting mechanism including a supporting member to support an anchor member in a ring state at an outer peripheral side of the pit container, and a reinforcing member in a ring state having a center hole and in which a part of each reinforcing steel is penetrated from a gap formed between the center hole and an outer peripheral portion of the pit container while reinforcing the supporting member from the outer peripheral side of the pit container; placing a second frame on a base to be the installation location of the equipment; placing a pit container unit on the second frame via the first frame, the pit container unit being formed by integrating the first frame, the reinforcing steels, the pit container and the anchor member supporting mechanism; embedding a portion at a lower side than the anchor member supporting mechanism of the pit container unit, together with the second frame, by primary concrete; disposing an anchor bolt unit in which respective bottom sides of plural foundation bolts for equipment installation are respectively fixed to the anchor member on the anchor member supporting mechanism after the embedding by the primary concrete; correcting a relative positional relationship of the respective foundation bolts relative to the pit container by using a template member having plural positioning holes into which the plural foundation bolts on the anchor bolt unit can be individually inserted from upper end sides thereof; embedding the pit container unit and the anchor bolt unit by secondary concrete except the template member under a state in which the positional relationship is corrected, an opening portion at upward of the pit container, and upper end sides of the plural foundation bolts; and carrying the equipment into the pit container after the template member is removed and fixing the carried equipment through the respective foundation bolts of which bottom sides are embedded. In this installation method, the pit container unit in which the first frame, the pit container, the reinforcing steels reinforcing the above from a periphery, and the anchor member supporting mechanism are integrated is manufactured in advance, and the pit container unit is placed on a base to be the installation location of the equipment via the first and second frames. Further, in the installation method, the anchor bolt unit is disposed on the anchor member supporting mechanism after the portion at the lower side than the anchor member supporting mechanism of the pit container unit is embedded by primary concrete. Next, in this installation method, the relative positional relationship of the respective foundation bolts on the anchor bolt unit relative to the pit container is corrected by using the template member. Further, in this installation method, secondary concrete is deposited under the state in which the positional relationship of the respective foundation bolts is corrected. After that, in this installation method, the equipment is carried into the pit container, and an installation of the equipment such as a vertical pump is completed by fixing the carried equipment through the respective foundation bolts of which bottom sides are embedded. Namely, the installation method of equipment according to this aspect is the one in which the reinforcing steels to reinforce the first frame and the pit container from the periphery thereof are disposed before the anchor bolt unit in which the plural foundation bolts are fixed is disposed at the pit container side. Accordingly, it is possible to perform the disposition work of the reinforcing steels around the pit container relatively easily without concerning the interference with the foundation bolts and so on, on the component layout according to the installation method. Besides, according to the installation method, it is possible to dispose the anchor bolt unit on the anchor member supporting mechanism under a state in which a part of each reinforcing steel is penetrated from a gap formed between the center hole of the ring state reinforcing member included by the anchor member supporting mechanism and the outer peripheral portion of the pit container (namely, under a state in which the positions of the reinforcing steels are controlled). According to the installation method, it is possible to improve the workability at the component disposition time. Besides, the supporting member of the anchor member supporting mechanism may be made up of, for example, plural plate state members respectively protruding in a radial pattern from an outer peripheral portion of the pit container. Besides, the reinforcing member in the ring state may be made up to integrally support the plural plate state members from a bottom side. Further, the anchor bolt unit is made up by respectively welding the respective bottom sides of the plural foundation bolts at predetermined positions on the anchor member respectively corresponding to positions of plural installation holes bored at a casing of the equipment in advance and positions of the plural positioning holes on the template member and so on. As stated above, it is possible to further improve the workability at the equipment installation time by applying the anchor bolt unit in which the plural foundation bolts and the anchor member are integrated in advance. According to the present invention, it is possible to provide the installation method of equipment, the anchor member supporting mechanism, and the anchor bolt unit capable of enhancing the efficiency of the installation work of equipment. Hereinafter, embodiments of the present invention are described based on the drawings. Here, FIG. 1 is a partial sectional view schematically illustrating a state in which an ECCS (Emergency Core Cooling System) pump 71 is installed by an installation method of equipment according to an embodiment of the present invention. Note that a peripheral structure at an embedded portion of the ECCS pump 71 is not illustrated in FIG. 1. As illustrated in FIG. 1, the ECCS pump 71 is one of equipments placed in an atomic power plant and so on. The ECCS pump 71 is a vertical pump to supply cooling water to a reactor core in an emergency. The ECCS pump 71 includes a base part 74 and a lower casing 75 constituting a casing portion (a casing of a pump main body called also as a barrel), a water inlet part 72 where the water is entered, and a water outlet part 73 to be a discharge side of water, and so on. The ECCS pump 71 is installed under a state in which the lower casing 75 is accommodated in a later-described pit can 20a of a pit can unit 20 embedded inside secondary concrete (concrete body) 61. In detail, plural installation holes 74a for equipment installation are bored in advance at the base part 74. The ECCS pump 71 is installed on the secondary concrete 61 under a state in which respective foundation bolts 54 of which bottom sides are embedded are inserted into these installation holes 74a, and engaged by nuts 54a. Next, the installation method of equipment of the present embodiment is described by using flowcharts illustrated in FIG. 2 to FIG. 4, and views mechanically illustrating respective working processes of FIG. 1 and FIG. 5 to FIG. 24. Here, the working processes illustrated by dotted lines in FIG. 2 to FIG. 4 represent construction side works (works relating to construction application), on the other hand, the working processes illustrated by solid lines represent mechanical side works (works relating to attachment of various components) other than the construction side works. At first, as illustrated in FIG. 2 and FIG. 5, a surface plate (ground assembling surface plate) 15 is placed on a G.L (Ground Line) 12 by the construction side work at the other location (manufacturing factory and so on) different from a final installation location of the ECCS pump 71. Besides, temporary receiving structures 14 are provided on the surface plate 15. Further, a scaffold (ground assembling scaffold) 16 is provided on the G.L 12 (S [step] 1). Next, as illustrated in FIG. 2 and FIG. 6, an upper side frame (first frame) 17 made of, for example, steel to place the pit can 20a on the surface plate 15 via the temporary frame 14 is mounted and a level adjustment in a height direction is performed (S2). Further, as illustrated in FIG. 2 and FIG. 7, vertical reinforcing bars 18 to be reinforcing steels to reinforce the upper side frame 17 and the pit can 20a from a periphery thereof are disposed (inserted and temporary disposed) by the construction side work so that an upper side thereof becomes a posture standing in a vertical direction (S3) before the pit can 20a is placed (temporary set) on the upper side frame 17. Next, as illustrated in FIG. 2 and FIG. 8, a temporary placing (temporary setting) of the pit can 20a to which suspended pieces (suspended clasps) 46 are attached is performed by suspending on the upper side frame 17 by an equipment side work (S4). Here, the pit can 20a is constituted by a bottomed cylindrical pit container made of, for example, a steel plate having a space capable of accommodating the lower casing 75 of the ECCS pump 71 from an upper side as illustrated in FIG. 1 and FIG. 8. Subsequently, as illustrated in FIG. 2 and FIG. 9A to FIG. 9C, an anchor plate supporting mechanism (anchor member supporting mechanism) 21 including an anchor plate supporting member (called also as an anchor plate receiving beam) 22 functioning as a supporting member and a ring state dummy anchor plate 22a functioning as a reinforcing member are attached to a pit can 20a side (S5). Here, the anchor plate supporting member 22 is constituted by plural plate state (or block state) members respectively protruding from an outer peripheral portion of the pit can 20a in a radial pattern. The anchor plate supporting member 22 supports a later-described ring state anchor plate 58 (refer to FIG. 20) at an outer peripheral side of the pit can 20a. Here, the attachment of the anchor plate supporting member 22 is described in more detail. As illustrated in FIG. 9A and FIG. 9B, marking positions of an angle member 23 attached to the pit can 20a are checked. For example, 12 pieces of anchor plate supporting members 22 are welded at the marking positions (positions spaced with intervals of, for example, every 30 degrees in a circumferential direction of the angle member 23) of the angle member 23. At this time, it should be noted not to directly weld the anchor plate supporting member 22 and the pit can 20a. Besides, suspended pieces (suspended clasps) 24 are attached to the upper side frame 17 as illustrated in FIG. 9B. As illustrated in FIG. 9A to FIG. 9C, the ring state dummy anchor plate 22a has a center hole 22b formed with, for example, an inside diameter of P1. The dummy anchor plate 22a reinforces the anchor plate supporting member 22 from an outer peripheral side of the pit can 20a. In the dummy anchor plate 22a, a part (a tip portion) of each vertical reinforcing bar 18 is penetrated from a gap formed between the center hole 22b and the outer peripheral portion of the pit can 20a as illustrated in FIG. 9A. Next, as illustrated in FIG. 2, FIG. 10A and FIG. 10B, a ground assembling of reinforcing steels for reinforcement around the pit can 20a is performed. Namely, circumferential reinforcing bars 26, hairpin reinforcements 27, and setup reinforcements 25 are placed (S6). The circumferential reinforcing bar 26 is a reinforcing steel to reinforce an outer peripheral side of the vertical reinforcing bar 18. The hairpin reinforcement 27 is a reinforcing steel to reinforce between an inner side of the vertical reinforcing bar 18 and the lower side of the pit can 20a. The setup reinforcement 25 is a reinforcing steel to reinforce between the inner side of the vertical reinforcing bar 18 and the anchor plate supporting member 22, and between the inner side of the vertical reinforcing bar 18 and the hairpin reinforcement 27. When the reinforcing steels for reinforcement as stated above are placed, the ground assembling of the reinforcing bars around the pit can 20a is performed so that a separation distance P2 falls within a tolerance range in consideration of the inside diameter P1 of the dummy anchor plate 22a as illustrated in FIG. 10A and FIG. 10B, and thereby, the vertical reinforcing bars 18 are permanently placed. The separation distance P2 is a distance between the outer peripheral portion of the pit can 20a and the inner side portion of the vertical reinforcing bar 18. The pit can unit (pit container unit) 20 in which the upper side frame 17, the pit can 20a, the anchor plate supporting mechanism 21, and the reinforcing steels (the vertical reinforcing bars 18, the circumferential reinforcing bars 26, the hairpin reinforcements 27, and the setup reinforcements 25) around the pit can 20a including the vertical reinforcing bars 18 are unitized (prefabricated), is thereby constituted. Here, in the installation method of equipment of the present embodiment, the reinforcing steels (the vertical reinforcing bars 18, the circumferential reinforcing bar 26, and so on) around the pit can 20a are constructed as illustrated in FIG. 10A and FIG. 10B before a later-described anchor bolt unit 57 to which the foundation bolts 54 are fixed is disposed at the pit can 20a side. According to the installation method of equipment of the present embodiment, it is possible to easily perform a disposing work of the reinforcing steels around the pit can 20a without concerning interference with the foundation bolts 54 on a component layout. On the other hand, the ground is dug down until a base rock exposes at the installation location of the ECCS pump 71, and an inspection of the exposed base rock is performed as illustrated in FIG. 3 (S11). Next, concrete is deposited on the base rock after the inspection is completed while curing is performed (S12), and an MMR (MerMaid Rock) 11 being so-called an artificial base rock is formed as illustrated in FIG. 11A. Subsequently, as illustrated in FIG. 11A and FIG. 11B, a post cast plate 32 is placed on the MMR 11 via a metal anchor and so on after a marking of a placement position of a lower side frame (second frame) 30 constituted by steel and so on for placing the pit can unit 20 is performed on the MMR 11. Further, the lower side frame 30 which is already prefabricated (which is already component processed and temporary assembled) is carried in by using a crane and so on. After a positioning and a level adjustment (height adjustment) of the carried lower side frame 30 are performed, respective leg parts of the lower side frame 30 are fixed on the post cast plate 32 by welding. Besides, span seals 31 as a sealing material are attached to the respective leg parts of the lower side frame 30 as illustrated in FIG. 3 and FIG. 12. Further, lower step reinforcing members 33 are stretched across at the lower side of adjacent leg parts of the lower side frame 30 with each other to reinforce the lower side frame 30 (S13). After the lower side frame 30 is placed, bottom reinforcements (reinforcing steels for reinforcement of bottom end portion) 34 are disposed in a matrix state as illustrated in FIG. 3, FIG. 13A, and FIG. 13B. Next, units of the bottom reinforcements 34 are disposed in the matrix state are overlaid for three steps, upper step reinforcing members 35 are stretched across at upper sides of the adjacent leg parts of the lower side frame 30 with each other, to further reinforce the lower side frame 30 (S14). Next, as illustrated in FIG. 14, bottom reinforcements (reinforcing steels) 36 are disposed in a matrix state at a further upper part of the upper step reinforcing members 35. Subsequently, a work reinforcement frame 37 is placed in a vicinity of the lower side frame 30 on the bottom reinforcements 36. Next, as illustrated in FIG. 15, a work scaffold 40 is placed by using the reinforcement frame 37. After that, wires 41, 43 and a suspended balance 42 are attached to the pit can unit 20 carried from a temporary assembling location. In this state, the pit can unit 20 is suspended in (carried in) to an upper surface of the lower side frame 30, and the pit can unit 20 is placed on the lower side frame 30 via the upper side frame 17 (S21) as illustrated in FIG. 4 and FIG. 15. In detail, the upper side frame 17 is welded along with marking lines and so on marked on the lower side frames 30 in advance, and the pit can unit 20 is installed. Further, as illustrated in FIG. 15 and FIG. 16, wires (stay materials) 45 to prevent the pit can 20a from floating and falling in liquid state cement and mortar are each coupled between the suspended piece 46 at the pit can 20a side and the suspended piece 24 of the upper side frame 17. Here, it is checked if a placement position in a plane direction, a level in a height direction, and a vertical degree (and a circularity) of the pit can 20a falls within the tolerance range. After that, a portion at the lower side of the pit can unit 20 than the anchor plate supporting mechanism 21 is embedded by primary concrete 51 together with the lower side frame 30 as illustrated in FIG. 4 and FIG. 17 (the primary concrete 51 is deposited up to a primary concrete deposit virtual surface 51a illustrated in FIG. 17) (S22). As illustrated in FIG. 4 and FIG. 18, after the embedding process by the primary concrete 51 is completed, the wires 45 as the stay materials and the suspended pieces 46 of the pit can 20a are removed (S23). Next, as illustrated in FIG. 19A to FIG. 21B, the anchor bolt unit 57 to which respective bottom sides of the plural foundation bolts (called also as the anchor bolt) 54 for equipment installation are respectively fixed to the anchor plate 58 is disposed on the anchor plate supporting mechanism 21 of the pit can unit 20 as illustrated in FIG. 20 (S24). Here, in the installation method of equipment of the present embodiment, it is possible to dispose the anchor bolt unit 57 on the anchor plate supporting mechanism 21 under the state in which the tip portions of the vertical reinforcing bars 18 are penetrated from the gap formed between the center hole 22b of the ring state dummy anchor plate 22a included by the anchor plate supporting mechanism 21 and the outer peripheral portion of the pit can 20a (a state in which the positions of the reinforcing steels around the pit can 20a are controlled) as illustrated in FIG. 9A and FIG. 9B. Accordingly, the working efficiency at the component disposing time can be improved. Besides, as illustrated in FIG. 19A and FIG. 19B, in the plural foundation bolts 54 on the anchor bolt unit 57, the respective bottom sides of the foundation bolt main bodies are respectively (engaged by nuts 54b, 54c and) welded at predetermined positions on the anchor plate 58 respectively corresponding to the positions of the plural installation holes 74a bored in advance at the base part 74 of the ECCS pump 71 and positions of plural positioning holes 53a on a later-described template (template member) 53 (refer to FIG. 21A). Besides, the respective positioning holes 53a on the template 53 are bored (coaxially processed) at, for example, the same step as a boring process of the installation holes 74a on the base part 74 so as to place the foundation bolts 54 with high accuracy. Further, as illustrated in FIG. 21A and FIG. 21B, a relative positional relationship of the respective foundation bolts 54 relative to the pit can 20a is corrected by using the template 53 made of, for example, a steel plate having the plural positioning holes 53a into which the plural foundation bolts 54 on the anchor bolt unit 57 can be individually inserted from upper end sides thereof. In detail, for example, the template 53 is positioned such that, for example, positions of marks marked on the template 53 in advance match with positions of marks marked on the pit can 20a in advance. In more detail, a temporary disposition (temporary setting) of the template 53 is performed firstly as illustrated in FIG. 4, FIG. 21A, and FIG. 21B (S25). Specifically, the template 53 is fixed via the nuts 54a under the state in which the respective foundation bolts 54 are inserted into the positioning holes 53a. Further, a position (a position in height and plane directions) of the template 53 is displaced by using, for example, a liner (spacer) and so on, the positional relationship is fallen within the tolerance range, and thereafter, the anchor plate supporting member 22 on the anchor plate supporting mechanism 21 and the anchor plate 58 at a bottom portion of the anchor bolt unit 57 are spot welded. After that, the template 53 is temporary removed (S26), and top reinforcements 55 being reinforcing steels to reinforce around an upper side of the pit can unit 20 are disposed for, for example, two steps in a matrix state (S27) as illustrated in FIG. 4 and FIG. 22. After that, as illustrated in FIG. 4 and FIG. 23, the template 53 is placed again (permanent disposition) (S28), and the position of the template 53 is displaced. Accordingly, the relative positional relationship of the respective foundation bolts 54 relative to the pit can 20a is finally corrected (S29). Next, the pit can unit 20 and the anchor bolt unit 57 are embedded by secondary concrete 61 (deposit the secondary concrete 61 up to a secondary concrete deposit virtual surface 61a illustrated in FIG. 23) as illustrated in FIG. 4 and FIG. 24 except the template 53 in the state in which the positional relationship thereof is finally corrected, an opening portion at upside of the pit can 20a, and upper end sides of the respective foundation bolts 54 (S30). After the secondary concrete 61 is deposited, various measurements are performed after the template 53 is removed as illustrated in FIG. 4 and FIG. 24 (S31). For example, it is checked if concentricity of a center of the template 53 and a center of the pit can 20a falls within the tolerance range. Besides, it is checked if a height level at an upper end of the foundation bolt 54 falls within the tolerance range, and so on. Further, as illustrated in FIG. 4 and FIG. 24, the ECCS pump 71 is carried into an accommodating space of the pit can 20a after the template 53 is removed as illustrated in FIG. 1, and the carried ECCS pump 71 is fixed via the respective foundation bolts 54 of which bottom sides are embedded and the nuts 54a (S32). As stated above, in the installation method of equipment according to the present embodiment, the pit can unit 20 in which the upper side frame 17, the pit can 20a, various reinforcing steels including the vertical reinforcing bars 18 reinforcing the above from a periphery thereof, and the anchor plate supporting mechanism 21 are integrated is manufactured in advance. Further, the manufactured pit can unit 20 is placed on the MMR 11 to be the installation location of the equipment via the lower side frame 30 and the upper side frame 17. Further, the anchor bolt unit 57 is disposed on the anchor plate supporting mechanism 21 after the portion at the lower side of the pit can unit 20 than the anchor plate supporting mechanism 21 is embedded by the primary concrete 51. Next, the positional relationship of the respective foundation bolts 54 on the anchor bolt unit 57 relative to the pit can 20a is corrected by using the template 53. Further, the secondary concrete 61 is deposited under a state in which the positional relationship of the respective foundation bolts 54 is corrected. After that, the ECCS pump 71 is carried into the pit can 20a, and the installation of the ECCS pump 71 is completed by fixing the carried ECCS pump 71 through the respective foundation bolts 54 of which bottom sides are embedded. Namely, the installation method of equipment of the present embodiment is the method in which the reinforcing steels around the pit can 20a such as the vertical reinforcing bars 18 and the circumferential reinforcing bars 26 are disposed as illustrated in FIG. 10A and FIG. 10B before the anchor bolt unit 57 to which the plural foundation bolts are fixed is disposed at the pit can 20a side. According to the installation method of equipment of the present embodiment, it is possible to easily perform the disposition work of the reinforcing steels around the pit can 20a without concerning the interference with the foundation bolts 54 on the component layout. Besides, according to the installation method of equipment of the present embodiment, it is possible to dispose the anchor bolt unit 57 on the anchor plate supporting mechanism 21 under the state in which the tip portions of the vertical reinforcing bars 18 are penetrated from the gap formed between the center hole 22b of the ring state dummy anchor plate 22a included by the anchor plate supporting mechanism 21 and the outer peripheral portion of the pit can 20a (namely, under the state in which the positions of the reinforcing steels around the pit can 20a are controlled) as illustrated in FIG. 9A and FIG. 9B. Accordingly, it is possible to improve the assembling workability at the component disposition time according to the installation method of equipment of the present embodiment. In other words, the dummy anchor plate 22a enables the function to reinforce the anchor plate supporting member 22 and the function to control the positions of the reinforcing steels around the pit can 20a including the vertical reinforcing bars 18 by a single member. As stated above, the present invention is concretely described by the embodiments, but the present invention is not limited only to these embodiments, and all changes which come within the meaning and range of equivalency of the claims are therefore intended to be embraced therein. The present invention is also useful in a case when an equipment, for example, such as a sump tank is installed in addition to the installation of the vertical pump such as the ECCS pump. 17 . . . upper side frame, 18 . . . vertical reinforcing bar, 20 . . . pit can unit, 20a . . . pit can, 21 . . . anchor plate supporting mechanism, 22 . . . anchor plate supporting member, 22a . . . dummy anchor plate, 22b . . . center hole, 25 . . . setup reinforcement, 26 . . . circumferential reinforcing bar, 27 . . . hairpin reinforcement 30 . . . lower side frame, 51 . . . primary concrete, 53 . . . template, 53a . . . positioning hole, 54 . . . foundation bolt, 55 . . . top reinforcement, 57 . . . anchor bolt unit, 58 . . . anchor plate, 61 . . . secondary concrete, 71 . . . ECCS pump, 74 . . . base part, 74a . . . installation hole, 75 . . . lower casing |
|
claims | 1. A hybrid molten salt reactor (HMSR) comprising:a source of energetic neutrons, the energetic neutrons having an average energy per neutron of 14 MeV or greater;a critical molten salt reactor comprising a reactor vessel;a loop comprising a path in the reactor vessel and around the source of energetic neutrons; anda molten salt comprising a dissolved mixture of salts of fissile actinides and fertile actinides, the molten salt circulating in the loop and having a sustained exothermic nuclear reaction comprising:the fissile and fertile actinides being irradiated by the energetic neutrons when exposed to the source of energetic neutrons, the energetic neutrons inducing subcritical nuclear fission and generating daughter neutrons;the fissile actinides undergoing critical nuclear fission when circulating through the vessel of the critical molten salt reactor and generating daughter neutrons; anda portion of the fertile actinides capturing a portion of the daughter neutrons, the captured daughter neutrons inducing transmutation of the portion of fertile actinides into fissile actinides. 2. The hybrid molten salt reactor of claim 1, further including a blanket of tanks surrounding the source of energetic neutrons, the molten salt circulating through the blanket of tanks, a thickness and an arrangement of the blanket of tanks enabling an adequate fraction of the energetic neutrons to be absorbed in the molten salt to maintain a sufficient inventory of fissile actinides in the molten salt to maintain criticality of the critical molten salt reactor. 3. The hybrid molten salt reactor of claim 2, wherein the thickness and the arrangement of the blanket of tanks enables the molten salt to absorb a portion of the energetic neutrons and generated daughter neutrons to maintain a desired fissile inventory. 4. The hybrid molten salt reactor of claim 2, wherein the blanket of tanks is chemically and mechanically compatible with the molten salt, each tank having separate plumbing connections for liquid inflow and outflow, the separate plumbing connections enabling draining the tank based on gravity. 5. The hybrid molten salt reactor of claim 1, further including a controller adjusting an average power level of the source of energetic neutrons to maintain fission criticality in the critical molten salt reactor, the fission induced by the energetic neutrons and absorption of the resulting fission daughter neutrons by fertile actinides maintaining fissile actinides in the molten salt at a concentration necessary for fission criticality in the molten salt reactor. 6. The hybrid molten salt reactor of claim 5, further including neutron absorbing control rods adapted to be partially inserted into the molten salt reactor to reduce a stable operating temperature of the molten salt reactor and adapted to be fully inserted into the molten salt to completely halt and preclude fission chain reactions. 7. The hybrid molten salt reactor of claim 1, further including a fission product removal system enabling removal of one or more fission products from the molten salt. 8. The hybrid molten salt reactor of claim 1, further including a fuel system adding actinide salts to the molten salt at a rate which compensates for the loss by fission of the actinides previously dissolved in the molten salt. 9. The hybrid molten salt reactor of claim 8, further including a fission product removal system enabling removal of one or more fission products from the molten salt, wherein the fission product removal system removes the fission products at a rate enabling indefinitely maintained fission critically in the critical molten salt reactor. 10. The hybrid molten salt reactor of claim 9, wherein actinide fueling and fission product removal is sufficient to enable 100% fission energy utilization of the fertile actinides. 11. The hybrid molten salt reactor of claim 1, further including a pump system to pump the molten salt around the source of energetic neutrons and through the vessel of the critical molten salt reactor. 12. The hybrid molten salt reactor of claim 11, further including a heat exchanger receiving heat produced by the HMSR, wherein the pump system pumps the molten salt through the heat exchanger. 13. The hybrid molten salt reactor of claim 1, wherein the critical molten salt reactor includes a moderator. 14. The hybrid molten salt reactor of claim 13, wherein the moderator is lithium hydride using the deuterium isotope of hydrogen and using lithium enriched in the lithium-7 isotope. 15. The hybrid molten salt reactor of claim 13, wherein the moderator is a graphite core. 16. The hybrid molten salt reactor of claim 1, wherein the molten salt contains lithium fluoride enriched in the lithium-7 isotope and sodium fluoride. 17. The hybrid molten salt reactor of claim 1, wherein the molten salt contains fertile thorium-232 and the daughter neutrons transmute the fertile thorium-232 into fissile uranium-233. 18. The hybrid molten salt reactor of claim 1, wherein the molten salt contains fertile uranium-238 and the daughter neutrons transmute the fertile uranium-238 into fissile plutonium-239. 19. The hybrid molten salt reactor of claim 1, wherein the source of energetic neutrons is a nuclear fusion device producing the energetic neutrons from the fusion of hydrogen isotopes and the hybrid molten salt reactor breeds tritium using a molten salt recipe that includes lithium having an isotopic composition ratio, lithium-6 to lithium-7, chosen to cause tritium production. 20. The hybrid molten salt reactor of claim 1, wherein the source of energetic neutrons is a spallation device producing the energetic neutrons by impacting energetic ions on a target material. 21. The hybrid molten salt reactor of claim 1, wherein the molten salt contains a mixture of one or more actinides from spent nuclear fuel wastes of one or more nuclear fission reactors, wherein absorption of neutrons either produced as fission daughters or resulting from (n,2n) and/or (n,3n) reactions fissions fissile actinides and converts fertile actinides into fissile actinides which then fission. 22. The hybrid molten salt reactor of claim 1, wherein the molten salt contains natural mined uranium which has not been isotopically enriched, the natural mined uranium containing fertile uranium-238 and fissile uranium-235, the fission daughter neutrons transmuting the fertile uranium-238 into fissile plutonium-239 and the fissile plutonium-239 undergoing fission with the uranium-235. 23. A method for integrating a source of energetic neutrons with a critical molten salt reactor, the energetic neutrons having an average energy per neutron of 14 MeV or greater, the method comprising:circulating a molten salt containing dissolved actinide salts through a core region of the critical molten salt reactor and around the source of energetic neutrons;irradiating the circulating molten salt with energetic neutrons, the energetic neutrons transmuting fertile actinides to fissile actinides in the molten salt;heating the circulating molten salt with fission in the core region of the critical molten salt reactor; andfeedback controlling an output of the source of energetic neutrons to be a fraction of a total output power and modulating that fraction to increase or decrease a fissile inventory of the molten salt and resulting keff criticality eigenvalue. 24. The method of claim 23, wherein circulating the molten salt around the source of energetic neutrons includes circulating the molten salt through a blanket of tanks surrounding the source of energetic neutrons. 25. The method of claim 23, wherein circulating the molten salt further includes circulating the molten salt through an external heat exchanger, the external heat exchanger cooling the molten salt by transferring heat to an intermediate fluid loop. 26. The method of claim 23, wherein circulating the molten salt further includes using heat in the intermediate fluid loop for electricity production. 27. The method of claim 23, further including:compensating for the loss by fission of the actinides previously dissolved in the molten salt by adding a mixture of new actinide salts to the circulating molten salt. 28. The method of claim 27, further including:maintaining criticality by removing fission product ash from the molten salt at a given rate, the given rate limiting fission product ash inventories in the molten salt. 29. The method of claim 28, further including:eliminating actinides from a waste stream; andstabilizing actinide inventories in the molten salt. 30. The method of claim 29, wherein fission energy utilization of actinides in the molten salt is 100 percent. 31. The method of claim 28, wherein the given removal rate of fission product ash enhances transmutation within the molten salt of long-lived radioactive isotopes into short-lived or stable isotopes and minimizes long-lived radioactivity in a waste stream without interrupting criticality of the critical molten salt reactor. |
|
044217145 | claims | 1. A nozzle penetration for a nuclear pressure vessel closure head comprising: a closure head made of ferritic material having an inside cladding of austenitic material; a nozzle composed of an alloy of the Ni Cr 15 Fe type having a shoulder portion intermediate its two ends penetrating said closure head and connected thereto by frictional engagement; a compensating ring of austenitic material seated between said shoulder and a support surface of said closure head. 2. An apparatus according to claim 1 wherein the height and said different material of said compensating ring are selected such that the elongation of said nozzle and closure head materials in the area extending from said shoulder up to said friction connection as a result of thermal expansion is equalized. 3. |
summary | ||
052271226 | description | DESCRIPTION OF THE PREFERRED EMBODIMENT Outline of Contents I. Overview Description of Control Complex PA0 II. Panel Overview PA0 III. DIAS PA0 IV. DPS PA0 V. Control Room Integration PA0 VI. Panel Modularity PA0 APPENDIX (Validity Algorithm) PA0 1. Conditions that may cause a trip in less than 10 minutes. PA0 2. Conditions that may cause major equipment damage. PA0 3. Personnel/Radiation hazard. PA0 4. Critical Safety Function violation. PA0 5. Immediate Technical Specification Action Required. PA0 6. First-Out Reactor/Turbine Trip. PA0 1. Conditions that may cause a trip in greater than 10 minutes. PA0 2. Technical specification action items that are not Priority 1. PA0 3. Possible equipment damage. PA0 1. Sensor deviations. PA0 2. Equipment status deviations PA0 3. Equipment/process deviations not critical to operation. A. Alarm and Messages PA1 B. Indicator PA1 C. CRT PA1 D. Controller PA1 E. Display Formats PA1 F. Display Integration PA1 A. Discreet Indicators PA1 B. Validity Algorithm Summary PA1 C. Alarm Processing and Display PA1 A. CRT PA1 B. IPSO PA1 Pump: A hollow pump indicates that the pump has been activated by the operator ot automatic control signal. A solid pump indicates that the pump has been deactivated by the operator or automatic control signal. PA1 Valve: A hollow valve indicates that the valve is fully open and a solid valve indicates that the valve is fully closed. A valve not fully open or closed has a mixed solid/hollow shape, i.e., left side solid/right ride hollow. PA1 Valve Open and Operable--Red Color Coding. PA1 Valve Closed and Operable--Green Color Coding. PA1 Non-Instrumented Valve--Grey Color Coding (Position is Operator Inputted). PA1 Valve Not Operable--Grey Color Coding with Alarm Coding. PA1 Loss of Indication--Grey Color Coding with Alarm Coding and mixed hollow/solid shape. PA1 The critical function information provided on the 1st level display page that is associated with the critical function. PA1 Information related to success path availability and performance of the success paths that can support that critical function. PA1 High level information presented using a mimic format with the critical function/success path related information. PA1 A time trend of the most representative critical function parameter. PA1 1. RCP 1A PA1 2. RCP 1B PA1 3. RCP 2A PA1 4. RCP 2B PA1 5. RCP SealBleed PA1 6. RCS PA1 7. T.sub.hot PA1 8. T.sub.cold PA1 9. Pressurizer Pressure PA1 10. Pressurizer Level PA1 1. When validation fails and a "FAULT SELECT" sensor is selected for the "process representation". PA1 2. When the "Valid" output does not correlate to the PAMI sensor(s). PA1 1. The "process representation" is always displayed on the applicable DIAS display and/or CRT page(s) where a single "process representation" is needed as opposed to multiple sensor values. Each plant process parameter is evaluated individually to determine the type of display required and location (DIAS and CRT or CRT only). PA1 2. The "process representation" is always a "valid" value unless there is a: PA1 Both of these are explained below. PA1 3. The "process representation" is always used for alarm calculations and trending (where a single value is normally trended). This can be "valid" , "fault select" or "operator select" data, depending on the results of the algorithm calculations as described below. PA1 4. Using a menu on DIAS or the CRT, the operator may view any of the values (A,B,C,D or calculated output) without changing the "process representation". PA1 5. A "Fault Select" value will be displayed automatically as the "process representation" when the validation algorithm is unable to yield "valid" data. The "fault select" value is the output of the sensor closest to the last "valid" signal at the time validation initially failed. On DIAS (if applicable), this information will be labeled "fault select". On the CRT(s) graphic pages, this information is preceded by an asterisk(*) to indicate suspect data. The "fault select" "process representation" is automatically returned to a "valid" process representation" when the validation algorithm is able to calculate "valid" data. PA1 6. An "operator select" sensor may be selected for the "process representation" only when there is a: PA1 The "operator select" "process representation" will replace the "valid" or "fault select" "process representation". On DIAS (if applicable), this information will be labeled "operator select". On the CRT(s), this information will be preceded by an asterisk(*) on graphic displays and labelled "operator select" in the data base. The "operator select" "process representation" is automatically replaced by the calculated "valid" signal when both the "Validation Fault" and the "PAMI Fault" clear. PA1 1. Normal operation PA1 2. Heatup/cooldown. PA1 3. Cold shutdown/refueling. PA1 4. Post-trip. PA1 1. Unacknowledged Alarm--If there is an unacknowledged alarm associated with an alarm tile, the alarm tile will flash at a fast rate (i.e., 4 times/sec using a 50/50 duty cycle as depicted by the long rays in FIG. 9). This condition takes precedence over all other alarm tile states for group alarms. PA1 2. Cleared Alarm/Return to Normal (Reset Alarm)--When an alarm condition clears, the corresponding alarm tile flashes at a slow rate (i.e., 1 time/sec using a 50/50 duty cycle as depiected by the short rays in FIG. 9) until this condition has been acknowledged. This condition takes precedence over the remaining two states for grouped alarms. PA1 3. Alarm--If an alarm condition exists and alarm states 1 and 2 above do not exist, then the alarm tile is lit without flashing (as depicted by the absence of rays in FIG. 9). PA1 4. No Alarm--If there is no alarm condition associated with an annunciator tile, then the alarm tile is not lit (not depicted in FIG. 9). To indicate that the alarm tile's bulb is functioning, a lamp test feature is provided. PA1 A) First Level Display Page Set (Major Plant System/Function Groupings 142 PA1 B) Control Room Workstation 144 PA1 C) Alarm tiles 146 PA1 1) The operator selects the "Alarm List" menu option 140 (FIG. 4) followed by the "Elec." menu option 148 (FIG. 12). This accesses the categorized alarm listing of the type shown in FIG. 14 beginning with the electrical alarms. PA1 2) If the operator wishes to view alarms associated with a specific alarm, e.g., RCPIA, he selects the following menu options from page 84 (FIG. 4 and 12): PA1 A. Categorized Alarm List--The operator selects "Alarm List" followed by the tile, e.g., "RCPIA", menu option. The categorized alarm list is accessed with RCPIA alarms at the top of the page. PA1 B. Alarm Messages--The operator can use the alarm tile menu options in the same method that the control panel alarm tiles are used. The selection of an alarm tile menu option provides the alarm message and a menu with display pages that can provide supporting information about the alarm condition. PA1 1) Alarm acknowledgement via the annunciator tiles--Alarms can be acknowledged by depressing alarming/unacknowledged annunciator tiles or a CRT annunciator tile representation. This action changes the annunciator tile from a flashing condition to a solid condition when all alarm conditions associated with the tile have been acknowledged and silences any audible sound (described later) associated with the alarm condition. Alarm messages are viewed on the message window (when using the physical tile) and the workstation's CRT message line (see FIG. 16). PA1 2) Alarm acknowledgement using alarm listing pages--Alarms can be acknowledged on the categorized listing by touching alarm tile touch targets associated with the alarm tile categories (see FIG. 14). Upon touching the alarm tile's representation, all alarms associated with that tile are acknowledged. This means of alarm acknowledgement may be the most useful for acknowledging multiple alarms remote to the operator's location. PA1 1. Unacknowledged Priority 1 or 2 Alarms. PA1 2. An Alarm Reminder Tone for Priority 1 or 2 Unacknowledged or Cleared Conditions. PA1 3. Cleared Priority 1 Alarms, or Cleared Priority 2 Alarms. PA1 new/unacknowledged priority 2, 3 and operator aid features change from a fast flash rate to a steady highlighted condition, i.e., tiles and CRT alarm representations. PA1 Any cleared alarm conditions, i.e., slow flash rate, are not presented as alarm information. PA1 Any new alarm condition or cleared alarm condition coming in after the "STOP FLASH" button has been activated, is normally displayed to the operator (i.e., flashing). However, the operator may redepress the alarm "STOP FLASH" button to suppress these conditions. PA1 1) Primary Systems (example, see FIG. 19) PA1 2) Secondary Systems PA1 3) Power Conversion PA1 4) Electrical Systems PA1 5) Auxiliary Systems PA1 6) Critical Functions PA1 1) The next higher level (when applicable) display page in the hierarchy, item (c). This feature is more meaningful on a 3rd level display page since the next higher level page is a level 2 display page which is not normally on the menu. PA1 2) Display pages of systems that are connected to or support the process of the presently displayed page (h,i). PA1 3) All six first level display pages (b,c,d,e,f,g). PA1 4) The IPSO display page (a). PA1 5) The last page viewed on the monitor (j). PA1 (1) Display Page Access Using Alarm Tiles--This mechanism for display page access may be most useful for obtaining display pages associated with the workstation's process. By pressing a workstation alarm tile from display 78, such as 80 (FIG. 15), region 4 of the workstation CRT's display page menu changes to a new menu with display page options associated with the alrm tile's descriptor. For example, as shown in FIG. 23 an RCP1A alarm tile provides menu options associated with RCP 1A. The desired display page will then be a direct access menu option. PA1 (2) Accessing CRT Information from the Discrete Indicators--Each discrete indicator 82 such as shown in FIG. 7, has a CRT access touch target 158. This button provides for access to supporting information for the process parameter that is presently displayed on the discrete indicator. By touching the CRT target on the discrete indicator, region 4 of the menu options on the workstation's CRT changes to menu options containing display pages with supporting and diagnostic information associated with the process parameter. PA1 (3) Display Page Access Using a Display Page Directory --Any display page of the display page hierarchy can be accessed using the presently displayed menu. For example, if the operator is viewing the Feedwater System display page and wants to access the CVCS display page, the following sequence takes place (refer to FIGS. 22 and 4): The operator selects "by touch" the "DIRECTORY" menu option (option 1 in region 2 on FIG. 22) followed by the "PRIMARY" menu option (option b in region 3 on FIG. 22). This accesses the primary section of the display page hierarchy from the display page library (see FIG. 4). Each display page within the primary section of the display page hierarchy is a touch target on this display page, and now the operator can select the CVCS display page. Any page in the display page hierarchy can be accessed using this feature. The "DIRECTORY" menu option is followed by the desired hierarchy associated with one of the six first level display pages, menu options b,c,d,e,f or g on FIG. 22. PA1 Failure to satisfy the safety function status checks, (post-trip). PA1 Poor performance of a success path/system that is being used to support a critical function. PA1 An undesirable priority 1 deviation in a power production function (pre-trip). PA1 Unavailability of a safety system (less than minimum availability as defined by Reg. Guide. 1.47). PA1 (a) Feedwater and Condensate System Status Information (i.e., operational status, alarm status) PA1 (b) Steam Generator Levels, Dynamic Representation PA1 (c) Steam Generator Safety Valve Status PA1 (d) Atmospheric Dump Valve Status PA1 (e) Main Steam Isolation Valve Status PA1 (f) Turbine Bypass System Status PA1 (a) Plant net electric output, digital value. PA1 (b) Alarm information for deviations in important processes associated with the main turbine and turbine generator. PA1 (c) Power distribution operational and alarm status to the plant busses and site grid. PA1 (a) Circulation water system status. PA1 (b) Alarm information for critical deviations in condenser pressure conditions. PA1 Containment Isolation Actuation PA1 Safety Injection Actuation PA1 Main Steam Isolation PA1 Containment Purge Isolation PA1 High Containment Airborne Radiation PA1 High Activity Associated, with Any Release Path PA1 High Coolant Activity PA1 (a) Diesel Generator Status PA1 (b) Status of Power Distribution within the Power Plant PA1 (c) Instrument Air System Status PA1 (d) Service Water System Status PA1 (e) Component Cooling Water System Status PA1 CCW--Component Cooling Water PA1 CD--Condensate PA1 CI--Containment Isolation PA1 CS--Containment Spray PA1 CW--Circulating Water PA1 EF--Emergency Feedwater PA1 FW--Feedwater PA1 IA--Instrument Air PA1 SDC--Shutdown Cooling PA1 RCS--Reactor Coolant PA1 SI--Safety Injection PA1 SW--Service Water PA1 TB--Turbine Bypass PA1 Yes, go to step 2 PA1 No, go to step 5 PA1 If all deviation checks are satisfactory do the following: PA1 If any deviation checks are unsatisfactory, the following occurs: PA1 a. Yes, Output the "PAMI" message and if not previously present, remove the "PAMI Fault Operator Select Permissive", clear the "PAMI Fault" alarm if present, go to step 6. PA1 b. No, Perform the following: PA1 Note: A validation fault enables one Operator Select Permissive and failure of the "valid" algorithm output to deviation check satisfactorily against "PAMI" gives the other Operator Select Permissive. PA1 If there is no Operator Select permissive, output the "calculated signal", as the "process representation", go to step 9. PA1 If there is an Operator Select permissive, go to step 7. PA1 Note: This step outputs the "calculated signal" as the "process representation" when the operator has the option to select a sensor, but does not use that option. PA1 No, go to step 10 ("bad" sensor evaluations are not performed when the "process representation" is from a "fault select" sensor). PA1 Yes, Deviation check all "bad" sensors (A, B, C, D) against the "valid", or "operator select" signal by the following methods: PA1 Yes, Output the message "Out-of-Range" along with the "process representation" signal. On the CRT place an asterisk (*) preceding the "process representation". Go to step 1 and repeat the algorithm. PA1 No, go to step 1 and repeat the algorithm. PA1 a. Steps 1-5 (Determination of "Calculated Signal" and Faults) of the generic validation algorithm are modified to account for the following (steps 1-8 perform these functions): PA1 b. The (Determination of "Calculated Signal" and Faults) and the remainder of the generic validation algorithm (steps 6-10) are performed independently for each of the cold legs (1A, 1B, 2A, 2B). PA1 c. Two additional algorithms were added: PA1 A--1st narrow range sensor (safety) (465.degree.-615.degree. F.) PA1 B--2nd narrow range sensor (safety) (465.degree.-615.degree. F.) PA1 C--wide range sensor (PAMI) (50.degree.-750.degree. F.) PA1 D--wide range sensor in opposite cold leg (i.e., when discussing loop 1A, this will be the wide range sensor in loop 1B, PAMI) (50.degree.-750.degree. F.) PA1 Cold leg 1A, 1B, 2A and 2B temperature "calculated signal" will be calculated using sensors, A,B,C. A validation attempt will be made using narrow range sensors, if that is unsuccessful, the cold leg "calculated signal" will be validated using wide range sensors. In the event that validation fails using both narrow and wide range sensors, the the algorithm will select the sensor closest to the last "valid" signal as the "fault select" "calculated signal". PA1 2. "Process Representation" selection (steps 9, 10) (similar to steps 6 and 7 of the generic validation algorithm). PA1 3. PAMI Check of "operator select" sensor (step 11) (identical to step 8 of the generic validation algorithm). PA1 4. Bad Sensor Evaluation and Range Check (step 12, 13) (similar to steps 9, 10 of the generic validation algorithm. PA1 Yes, go to step 2 PA1 No, go to step 5 PA1 If both deviation checks are satisfactory, go to step 4 to see if the average is in range. PA1 If any deviation checks are unsatisfactory go to step 5. PA1 The average or selected sensor goes in-range at 96% and 4% of narrow range. PA1 The average or selected sensor goes out-of-range at 98% and 2% of narrow range. PA1 If in-range, clear the "Validation Fault" alarm, if present, disable the "Validation Fault Operator Select Permissive", and output the average or selected narrow range sensor as the "valid" "calculated signal". Go to step 6. PA1 If out-of-range, attempt the wide range validation, go to step 7. PA1 If either sensor A or B passes the deviation check, the algorithm selects the sensor (A or B) that is closest to C. This sensor is elected for further checks. The sensor that deviates the most from sensor C is flagged as a "bad" sensor, if not previously "bad" and its associated sensor deviation alarm is generated if not previously generated. Go to step 4. PA1 If both A and B do not deviation check against C, go to step 7 and attempt wide range validation. PA1 If satisfactory, do the following: PA1 If unsatisfactory, do the following: PA1 Note: To validate the single wide range sensor in a cold leg, the algorithm deviation checks it against the wide range sensor in the other cold leg of that loop (i.e., if in loop 1, 1A wide range sensor is deviation checked against the 1B wide range sensor). PA1 If the deviation check is satisfactory, select C sensor as "valid", "calculated signal and do the following". PA1 If the deviation check is unsatisfactory, validation fails, go to step 8. PA1 If the previous scan was not "fault select", a validation fault has just occurred. Do the following: PA1 If the previous scan was "fault select", validation had failed previously and the algorithm has already picked a "fault select" sensor. Continue to output the signal from the "fault select" sensor as the "calculated signal", go to step 9. PA1 Note: To simplify the discussion of the cold leg (1A,1B,2A or 2B) "process representation" inputs to the loop 1 or loop 2 algorithm, A will designate the input from leg 1A or 2A and B will designate the input from leg 1B or 2B leg T.sub.c. PA1 No, output the "process representation" from step 2 as "fault select", go to step 6. PA1 Yes, output the "process representation" from step 2 as "fault select", go to step 6. PA1 No, output the "process representation" from step 2 as "operator select", go to step 6. PA1 a. Steps 1-5 (Determination of "Calculated Signal" and Faults) of the generic validation algorithm are modified to account for the following. PA1 b. The remainder of the generic algorithm (steps 6-10) are renumbered to account for additional steps in the (Determination of "Calculated Signal" and Faults). They are almost identical with the minor modifications described with each step. PA1 P--101A--A PA1 P--101B--B PA1 P--101C--C PA1 P--101D--D PA1 P--100X--E PA1 P--100Y--F PA1 P--103--G PA1 P--104--H PA1 P--105--I PA1 P--106--J PA1 P--190A--K PA1 P--190B--L PA1 Yes, go to step 2 PA1 No, go to step 5 and attempt (0-1600 psig range validation) PA1 If all deviation checks are satisfactory, go to step 4 to see if the average is in range. PA1 If any deviation checks are unsatisfactory, the following occurs: PA1 The average goes in-range at 96% and 4% of narrow range. PA1 The average goes out-of-range at 98% and 2% of narrow range. PA1 If in-range, do the following: PA1 If out-of range, attempt the (0-1600 psig) range validation, go to step 5. PA1 Yes, go to step 6 PA1 No, go to step 9 and attempt (0-4,000 range validation) PA1 If all deviation checks are satisfactory, go to step 8 to see if the average is in range. PA1 If any deviation checks are unsatisfactory, the following occurs: PA1 The average goes in-range at 96% and 4% of the 0-1600 psig range. PA1 The average goes out-of-range at 98% and 2% of the 0-1600 psig range. PA1 If in-range, do the following: PA1 If out-of-range, attempt the 0-4000 psig range validation, go to step 9. PA1 Yes, go to step 10. PA1 No, (0-4000 psig) range validation is not possible, go to step 13. PA1 If both deviation checks are satisfactory, do the following: PA1 If either deviation check is unsatisfactory, go to step 13. PA1 Method (a) (within sum of 1/2 0-4000 psig range instrument uncertainty, plus process variation, plus instrument position constant). PA1 Method (b) (within sum of 1/2 0-4000 psig range instrument uncertainty, plus process variation). PA1 No, do the following: PA1 If the previous scan was not "fault select", a validation fault has just occurred, do the following: PA1 Note: "Out-of-range" informs the operator that the actual pressure may be higher or lower than the sensor is capable of measuring. 1. Mode and Equipment Dependance PA2 2. Subfunction Grouping PA2 3. Shape and Color Coding PA2 4. Alarms on CRT PA2 5. Determining Alarm Conditions PA2 6. Acknowledging Alarms PA2 a. "Fault Select" value or PA2 b. "Operator Select" value. PA2 a. "Validation Fault" or PA2 b. "PAMI Fault". PA2 "Alarm Tiles 150" PA2 "Primary 152" PA2 Note: A sensor is "good" if it was not declared a "bad" sensor on the previous scan or a "suspect" sensor on a previous pass. PA2 a. Clear the "Validation Fault" alarm, if previously present PA2 b. Clear the permissive that allows the operator to select a sensor after a validation fault (i.e., "Validation Fault Operator Select Permissive"), if previously present. PA2 c. Declare any "suspect" sensor "bad" and output a sensor deviation alarm on that sensor. PA2 d. Output the average as the "valid" "calculated signal". PA2 e. Go to step 4 PA2 a. The sensor with the greatest deviation from the average is flagged as "suspect", then the algorithm checks to see if this the first or second pass on this scan. PA2 Note: The "PAMI Fault Operator Select Permissive" allows the operator to select any sensor for the "process representation" when the "calculated signal" (i.e. algorithm's "valid" output) does not agree with the PAMI sensor(s). PA2 Remove the "PAMI" message PA2 Generate a "PAMI Fault" alarm PA2 Enable the "PAMI Fault Operator Select Permissive" PA2 Go to step 6. PA2 If the previous scan was not "fault select", a "validation fault" has just occurred. Do the following: PA2 If the previous scan was "fault select", validation had failed previously and already picked a "fault select" sensor. Continue to output the "fault select" sensor as the "calculated signal", go to step 6. PA2 Note: it is important that the sensor initially fault selected be retained since over time other failed sensors may erroneously appear more accurate. PA2 Deviation check "bad" sensors to be (within sum of instrument range uncertainty and expected process variation). PA2 Note: "Out-of-range" informs the operator that the actual process value may be higher or lower than the sensor is capable of measuring. In the case of process measurements with multiple ranges of sensors this check will cause the selection of sensors in a new range. PA2 Note: On the RCS panel, RCP Differential Pressure, SG Differential Pressure and Pressurizer Level Reference Leg Temperature use this generic validation algorithm directly. The T.sub.cold, T.sub.hot, Pressurizer Level and Pressurizer Pressure algorithms this generic algorithm with additional steps and minor modifications to accommodate: PA2 1. Only 3 cold leg sensors PA2 2. There are wide and narrow range temperature sensors in the same cold leg. PA2 1. An algorithm that averages the 2 cold leg "process representation" to get a loop T.sub.cold "process representation" (1A and 1B for loop 1 and 2A and 2B for loop 2) PA2 2. An algorithm that averages the 2 cold loop "process representation" to get an RCS T.sub.cold "process representation" (loop 1 and loop 2). PA2 Note; A sensor is "good" if it was not declared a "bad" sensor on the previous scan. PA2 Note: Hysteresis is needed to prevent frequent shifts at end-of-range. Out-of-range occurs at 98% and 2% to insure that no out-of-range sensors are used to calculate a "valid" output (i.e.: worst case sensors would read 100% or 0%). PA2 a. Disable the "PAMI fault operator select permissive" PA2 b. Output the "PAMI" message with the "valid" "calculated signal". PA2 c. Clear the "PAMI Fault" alarm, if present. PA2 d. Go to step 9. PA2 a. Remove the "PAMI" message PA2 b. Enable the "PAMI Fault Operator Select Permissive". PA2 a. Clear the "Validation Fault" alarm, if present PA2 b. Disable the "Validation Fault Operator Select Permissive", if it was enabled. PA2 c. Go to step 9. PA2 a. Generate a "validation fault" alarm. PA2 b. Enable the "Validation Fault Operator Select Permissive". PA2 c. Deviation check all sensors (A, B, C) against the last "valid" signal. Select the sensor that deviates the least from the last "valid" signal as the "fault select" sensor. PA2 d. Output the signal from the "fault select" sensor as the leg T.sub.c "calculated signal". PA2 e. Go to step 9. PA2 1. The algorithm averages the "process representation" inputs from the A and B cold legs and outputs the average as the loop (1 or 2) T.sub.c "process representation". PA2 2. The algorithm checks to see if A and B are "valid" PA2 3. The algorithm checks to see if A or B is "operator select". PA2 4. The algorithm checks to see if A or B is "fault select". PA2 5. Deviation check A and B against the average. (Within sum of 1/2 wide range instrument uncertainty and expected process variation). PA2 6. The algorithm checks to see if A and B are narrow range. PA2 7. The algorithm checks to see if either or both inputs is out-of-range. PA2 8. The algorithm checks to see if A and B inputs are PAMI. PA2 1. Three sensor ranges (0-1600 psig), (1500-2500 psig) and (0-4000 psig). PA2 Note: A sensor is "good" it was not declared a "bad" sensor on the previous pass or a suspect sensor on a previous pass. PA2 The sensor with the greatest deviation rom the average is flagged as a "suspect" sensor, then the algorithm checks to see if this the first or second pass on this scan. PA2 Note: Hysteresis prevents frequent range shifts. Out-of-range occurs at 98% and 2% to insure that no out-of-range sensors are used to calculate a "valid" output (i.e., worst case sensors would read 100% and 0%). PA2 a. Clear the "Validation Fault" alarm, if previously present. PA2 b. Remove the "Validation Fault Operator Select Permissive". PA2 c. Output the average as the "valid" "calculated signal". PA2 d. Go to step 12. PA2 The sensor with the greatest deviation from the average is flagged as a "suspect" sensor, then the algorithm checks to see if this is the first or second pass on this scan. PA2 Hysteresis prevents frequent range shifts. Out-of-range occurs at 98% and 2% to insure that no out-of-range sensors are used to calculate a "valid" output (i.e., worst case sensors would read 100% or 0%). PA2 a. Clear the "Validation Fault" alarm, if previously present. PA2 b. Remove the "Validation Fault Operator Select Permissive". PA2 c. Output the average as the "valid" "calculated signal". PA2 d. Go to step 12. PA2 a. Clear the "validation fault" alarm, if previously present. PA2 b. Remove the "Validation Fault Operator Select Permissive", if previously present. PA2 c. Go to step 12. PA2 Yes, do the following: PA2 a. Remove the "PAMI" message, if previously present. PA2 b. Generate a "PAMI Fault" alarm, if not previously present. PA2 c. Enable the "PAMI Fault Operator Select Permissive" PA2 d. Go to step 14. PA2 a. Generate a "Validation Fault" alarm. PA2 b. Deviation check all sensors (A,B,C,D,E,F,G,H,I,J,K or L) against the last "valid" signal. Select the sensor that deviates the least from the last "valid" signal as the "fault select" sensor. PA2 c. Output the signal from the "fault select" sensor as the pressurizer pressure "calculated signal". PA2 d. Enable the "Validation Fault Operator Select Permissive". PA2 e. Go to step 14. I. OVERVIEW DESCRIPTION OF CONTROL COMPLEX FIG. 1 shows a control room complex in accordance with the preferred embodiment of the present invention. The heart of the main control room 10 is a master control console 12 which allows one person to operate the nuclear steam supply system from the hot standby to the full power condition. It should be appreciated that the control room, equipment and methods described herein, may be advantageously used with light water reactors, heavy water reactors, high temperature gas cooled reactors, liquid metal reactors and advanced passive light water reactors, but for present purposes, the description will proceed on the basis that the plant has a pressurized water NSSS. For such an NSSS, the master control console 12 typically has five panels, one each for the reactor coolant system (RCS) 14, the chemical volume and control system (CVCS) 16, the nuclear reactor core 18, the feed water and condenser system (FWCS) 20, and the turbine system 22. As will be described more fully below, the monitoring and control for each of these five plant systems, is accomplished at the respective panel in the master control console. Immediately overhead behind the core monitoring and control panel 18, is a large board or screen 24 for displaying the integrated process status overview (IPSO). Thus, the operator has five panels and the overhead IPSO board within easy view while sitting or standing in the center of the master control console 12. To the left of the master control console is the safety related console 26, typically including modules associated with the safety monitoring, engineered safeguard features, cooling water, and similar functions. To the right of the master control console is the auxiliary system console 28 containing modules associated with the secondary cycle, auxiliary power and diesel generator, the switch yard, and the heating and ventilation system. Preferably, the plant computer 30 and mass data storage devices 32 associated with the control room are located in distributed equipment rooms 31 to improve fire safety and sabotage protection. The control room complex 10 also has associated therewith, a shift supervisor's office 34, which has a complete view of the control room, an integrated technical support center (TSC) 36 and viewing gallery outside the control area, and other offices 38 in which paper work associated with the operation of the plant may be performed. Similarly, desk, tables, and the like 40 are located on the control room floor for convenient use by the operators. A remote shut-down room 42 (FIG. 2) is also available on site for post-accident monitoring purposes (PAM). FIG. 2 is a schematic of the information links between the plant components and sensors, which for present purposes are considered conventional, and the various panels in the main control room. It is evident from FIG. 2 that information flows in both directions through the dashed line 46 representing the nuclear steam supply system and turbo generating system boundary. NSSS status and sensor information 48 that is used in the plant protection system 50 and the PAMS 58, passes directly through the NSSS boundary 46. Control signals 52 from the power control system pass directly through the NSSS boundary. Other control system signals 60,62 from the engineered safeguard function component control system 56 and the normal process component control system 64, are interfaced through the NSSS boundary via remote multiplexors 6. Each of the plant protection system, ESF component control system, process component control system, power control system and PAMs, is linked to the main control room 42, to each other, to the data processing system (DPS) 70 and to the discrete indication and alarm system (DIAS) 72. FIG. 2 illustrates one significant aspect of the present invention, namely, the integration of monitoring, control and protection information, during both normal and accident conditions, so that the operator's task in determining an appropriate course of action is considerably simplified. The way in which this is accomplished will be described in the following sections. II. PANEL OVERVIEW FIGS. 3(a) and 3(b) are schematics of a sit/stand panel such as the reactor coolant system panel 14 from the master control console 12 in accordance with one embodiment of the invention. FIGS. 3(c) and 3(d) show an alternative embodiment for stand up only. The substantially flat upper portion or wall 74 of the panel is vertically oriented and the substantially flat lower or desk portion 76 is substantially horizontal, with the monitoring and alarm interfaces carried by the upper portion, and the control interfaces carried on the lower portion. A. Alarm and Messages The alarm functionality (see FIGS. 9, 15-18) includes alarm and message (A+M) interface 78 having a multiplicity of tiles 80 each having a particular acronym or similar cue 81 associated therewith, whereby an alarm condition is indicated by the illumination of that tile and the generation of an accompanying audible signal. The operator is required to acknowledge the alarm by either pushing the tile or some other interface provided for that purpose. The number of tiles associated with a particular panel is dependent on the number of different alarm conditions that can arise with respect to the monitored system, e.g, the reactor coolant system. Typically, hundreds of such tiles are associated with each panel. The alarms are prioritized into three (3) alarm classes (Priority 1, Priority 2, and Priority 3, prompting immediate action, prompt action and cautionary awareness). This RCS panel alarms are equipment status and mode dependent (Normal RCS, Heatup/Cooldown, Cold Shutdown/Refueling and post Trip). When a high priority alarm actuates coincidentally with a low priority alarm on the same parameter, the lower priority alarm is automatically cleared. On improving conditions, the higher priority alarm will flash and sound a reset tone. The operator will acknowledge that the higher priority alarm has cleared. If the lower priority alarm still exists, its alarm window or indicator will turn on in the acknowledged state after the operator acknowledges that the higher priority alarm has cleared. B. Indicator The second monitoring interface are the process variable indicators, for example reactor coolant hot and cold leg temperatures, pressurizer level and pressure, and other RCS parameters. Discrete indicators 82 (see also FIGS. 7 and 8) provide an improved method of presenting the RCS panel parameters. Some RCS panel parameters require continuous validated display and trending on the master control console. Plant process and category 1 parameters like pressurizer level and RCS cold leg temperature fall into this category. Other RCS panel parameters are used less frequently. The discrete indicators 82 provide indication on parameters needed for operation when the Data Processing System (CRT information displays) is unavailable. These include Regulatory Guide 1.97 category 1 and 2 parameters, associated with priority 1 or priority 2 alarms, other parameters needed for operation due to inaccessibility of local gages and parameters that the operator must view for surveillance when the Data Processing System is unavailable for a period of up to twenty-four (24) hours. These less frequently viewed parameters would be available on discrete indicators, with a menu available by operator selection. The menu would show alphanumeric listings of available data points. Lastly, parameters displayed on process controllers need not be available on discrete indicators. C. CRT Additionally, a CRT display 84 generates an image of the major vessels, pipes, pumps, valves and the like associated with, e.g., the reactor coolant system, and displays the alarms and values of the parameters which may be shown in bar, graph, trend line or other form on the other displays 78,82 (see FIGS. 4-6, 10, 12-14 and 19-23). From this CRT, the operator has access to all NSSS information. The information is presented in a three level structured hierarchy that is consistent with the operator's system visualization. FIG. 4 illustrates the NSSS primary side page directory 84, which accesses all CRT pages related to the functions of the RCS panel. D. Controller In the control portion 76 of the panel 14, a plurality of discrete, on-off switches 86 are provided at the left, for example, each switch pattern being associated with a particular reactor cooling pump whose operating parameters are displayed immediately above it, and analog control interfaces which can be in the form of conventional dials or the like (not shown), or touch screen, discrete control as indicated at 88. Process controllers are provided on the RCS panel to provide the operator with the ability to automatically or manually control process control loops. The process controllers allow control of throttling or variable position devices (such as electro-pneumatic valves) from a single control panel device. Process controllers are used for closed loop control of the following RCS panel process variables: pressure level, pressurizer pressure, RCP Seal Injection Flow and RCP Seal Injection Temperature. Process controllers are designed for each specific control loop utilizing the a consistent set of display and control features. In a conventional control room, each process control loop has its own control device, usually referred to as a MANUAL/AUTO Station. For example, the RCP Seal Injection Sub-System has five process control loops, a seal injection flow control loop for each of the four RCPs and a seal injection temperature control loop for the entire sub-system. These five control loops each have their own MANUAL/AUTO station which occupy a large amount of control panel space and make cross loop comparisons cumbersome. Although these five process loops are controlled independently, process variations in one controlled parameter affect the other four process parameters. Conventional MANUAL/AUTO stations make it difficult for the operator to simultaneously interact with the five MANUAL/AUTO stations. The RCS panel process controllers for similar processes (related by function or system) are operated from a single control station, called a process controller. This single control station saves panel space, accommodates convenient cross channel checking and allows easier control loop interaction for multiple related controls. Component control features (i.e., actuation of switches controls) provide the primary method by which the operator actuates equipment and systems on the RCS panel. The RCS panel has forty-three components controlled from momentary type switches Each switch contains a red status indicator for active or open and a green status indicator for inactive or closed. Blue status indicator lights/switches are used to indicate and select automatic control or control via a process controller. In addition to color coding, the red switch is always located above the green switch to reinforce color distinction. Each switch generates an active control signal when depressed and is inactive when released. Each switch is backlit to indicate equipment status/position. E. Display Formats Process display formats use standard information placement for similar processes and equipment. Fluid system piping representations are where possible standardized, top to bottom, left to right, with avoidance of crossovers. Incoming and outgoing flow path connections are placed at the margins. Related data are grouped by task and analysis specifications for comparison, sequence of use, function, and frequency. Process representations/layout are based on the operator's process visualization to maximize the efficiency of his data gathering tasks. The operator's visualization of a system is often based on diagrams used with learning materials and plant design documentation associated with system descriptions. Graphic information is presented on display page formats to aid in rapid operator comprehension of processes. Graphic information includes the use of bar graphs, flow charts, trends, and other plots, (e.g., Temp. vs. Press.). Bar graphs are primarily used to represent flows, pressures and levels. Since level corresponds to a tank, the bar graph is placed with consistent spatial orientation with respect to the tank symbol. Level bar graphs are oriented vertically. Flow bar graphs when used are oriented horizontally. Bar graphs are also helpful for comparison of numeric quantities. Flowcharts are used when they aid in the operator's process visualization. Flowcharts are helpful for understanding control system processes such as the Turbine Control System. Operator's learning materials for process control systems are frequently in a flowchart format, and thus a similar format on a display page is easy to comprehend. Trends are used on display page formats when task analysis indicates that the operator should be informed about parameter changes over time. Additionally, the operator is able to establish trends of any data base points in the plant computers data base. In some situations, task analysis may indicate that more than one trend is important to monitor process comparisons. In other situations such as heatup/cooldown curves, two parameters may be placed on the different ordinate axis of a graph. When more than one trend curve occupies the same coordinate axes, two ordinate vertical axes can be used for parameters that have different units. Scale labels are divisible by 1, 2, 5 or 10. Tick marks between scale labels are also divisible by 1, 2, 5 or 10. Trended information is typically presented on display pages with a scale of 30 minutes. However, the operator is able to adjust the scale to suit his needs. Logarithmic axes may be established using multiples of 10. If full range is less than 10, an intermediate range label is located to fall near the middle of the scale. Different colors are used for trends occupying the same coordinates. When multiple curves use a common scale, the scale is gray the curves are color coded. When multiple ordinate scales are used, they are color coded in correspondence to the curve. The colors used for trends will not include the alarm color or normal status color to avoid associating process parameter with normal or alarm conditions. Color is used to aid the operator in rapidly discriminating between different types of information. Since the benefits of color coding are more pronounced with fewer colors, coding on informational displays (i.e., IPSO, CRTs, alarm tiles) is limited to seven colors. In addition, color coded information has other representational characteristics to aid in discrimination of data and discrimination by color deficient observers. The following colors are used in the information display to represent the following types of information. The colors used have been carefully selected to yield satisfactory contrast for red-green deficient color observers. ______________________________________ Color Representation Characteristics ______________________________________ Black Background color. Green Component Off/Inactive, Valve Closed and Operable. Red Component On/Activated, Valve Open and Operable. Yellow Alarm Status-Good attention-getting color. Grey Text, labels, dividing lines, menu options, piping, inoperable and non-instrumented valves, graph grids, and other applications not covered by other coding conventions. Light Blue Process parameter values. White System's response to operator touch, e.g., menu selection until appropriate system response occurs. ______________________________________ Shape coding is used in the information system to aid the operator to identifying component type, operational status, and alarm status. Component shape coding is based on symbology studies which included shape coding questionnaires given to nuclear power plant personnel. FIGS. 5 and 6 show the shapes used to represent components in the control room. An attribute of shape, hollow/solid, is reflective of the status of the component. Hollow shape coding indicates that the component is active, whereas solid shape coding is used to represent inactive components. An example of shape coding for a pump and valve is described as follows. Information coding on valves is provided by these additional characteristics/representations: F. Display Integration Information associated with safety related concerns is integrated as a part of the control room information to allow the operator to use safety related information, where possible, during normal operation. This is a better design from a human factors view than that of previous control rooms because in stressful situations, people tend to use information that they are most familiar with. In many situations, safety related parameters are only a subset of the parameters that monitor a particular process variable Operators of present control room designs typically use control or narrow range indications during process control and should use separate safety related indications when monitoring plant safety concerns In this invention, the parameters typically used for monitoring and control are validated for accuracy against the safety related parameter(s), where available. If a parameter deviates beyond expected values from the associated safety related information, a validation alarm is presented to the operator. In response to an alarm condition, the operator can review the individual channels associated with the parameter on either a diagnostic CRT page or the discrete indicator displaying that parameter. At this time, he can select the most appropriate sensor for display. The operator is informed when the validation algorithm is able to validate the data. The resultant output of the validation algorithms are used on IPSO, the normally displayed format of a discrete indicator, and the higher level display pages on the CRT display system that contain the parameter. The Regulatory Guide 1.97 category 1 information is also displayed, by discrete indication display, at a single location on the safety monitoring panel. Critical Function and Success Path (availability and performance) information is accessible throughout the information hierarchy (see FIGS. 10, 24, 25, 26, 27, 32-35). Alarms provide guidance to unexpected deviation in critical functions as well as success path unavailability or performance problems. Priority 1 alarms alert the operator to the inability to maintain a critical function as well as the inability of a success path to meet minimum functional requirements Lower priority alarms provide subsystem/train and component unavailability or poor performance. IPSO provides overview information that is most useful for operator assessment of the Critical Functions. Priority 1 alarms associated with the Critical Functions or Success Paths supporting the critical function are presented on IPSO critical function matrix. Supporting information relating to these alarm conditions is available by using the alarm tiles or the critical function section of the CRT display page hiearchy. The critical function section of the display page hierarchy contains the following information: Level 1 Display Page--"Critical Functions": this page provides more detail on the critical function matrix presented on IPSO. Specifically, more detail on alarm conditions (descriptor, priority). This will help guide the operator to the appropriate level two critical function display page. A 2nd level page exists for each of the 12 critical functions. Each page contains: The 3rd level display pages in the critical function hierarchy are a duplicate of display page existing elsewhere in the hierarchy. For example, a safety injection display page display page under Inventory Control also exists within the primary section of the display page hierarchy. III. DISCRETE INDICATOR AND ALARM SYSTEM A. Discrete Indicators The discrete indicators 82 provide an improved method of presenting safety related parameters. Major process parameters such as Regulatory Guide 1.97 Category 1, require continuous validated display and trending on the master control console. The discrete indicators also provide indication and alarms on parameters needed for operation when the Data Processing System (DPS) is unavailable. These include Regulatory Guide 1.97 Category 1, 2 and 3 parameters, parameters associated with priority 1 or priority 2 alarms, and other surveillance related parameters. Though the DPS is a highly reliable and redundant computer system, its unavailability is considered for a period of up to twenty-four hours. The less frequently viewed parameters are available on discrete indicators, with a menu available by operator selection. Each discrete indicator has the capability to present a number of parameters associated with a component, system, or process. The discrete indicators present various display formats that are based on fulfilling certain operator information requirements. When monitoring or controlling a process such as pressurizer pressure, it is desirable that the operator use a "process representation" value in the most accurate range. For this type of information, the discrete indicator 82, such as shown in FIGS. 7 and 8, presents a bold digital value 90 in field 92 and an analog bar graph 94 of the validated average of the sensors in the most accurate range. The preferred validation technique is described in the Appendix, and validated status is indicated in field 96. This validated data is checked against post-accident monitoring indication (PAMI) sensors when applicable. When in agreement with the PAMI, as shown at field 98 the indicator may be used for post-accident monitoring. This has the advantage of continuing to allow the operator to utilize the indicator he is most familiar with and uses on a day-to-day basis. The operator, upon demand, can display any individual channel on the discrete indicator digital display, by touching a sensor identification such as 102. The use of validated parameters is a benefit to operators by reducing their stimulus overload and task loading resulting from presentation of multiple sensor channels representing a single parameter. When the parameter cannot be validated, the discrete indicator displays the sensor reading that is closest to the last validated value. A validation alarm is generated for this condition. The discrete indicator continues to display this sensor's value until the operator selects another value for indication. The field 96 on the discrete indicator that usually read "VALID" displays "FAULT SEL" in reverse image. This indicates that the value is not validated and has been selected by the computer. In this circumstance, the operator should review the available sensors that can be used for the "process representation". If the operator makes a sensor selection (which is enabled by a validation fault or failure of the "VALID" signal to agree with PAMI), the field 96 with "FAULT SEL" will be replaced by the message "OPERATOR SELECT", which is displayed in reverse image. When the validation algorithm can validate the data and all faults have cleared, the validation fault alarm will clear and the algorithm will replace the "FAULT SELECT" or "OPERATOR SELECT" "process representation" in field 92 with the "VALID" "calculated signal". Parameters that are required for monitoring the overall performance of plant processes or responding to priority 1 or 2 alarms are provided on discrete indicators. The most representative process parameter is the normally displayed value. Through menu options, the operator can view the other process related parameters. There are ten discrete indicators provided for the RCS panel. The indicators are: FIG. 7 illustrates that two related discrete indicators can be shown on a single display 82. On the left side of whereas at the right, pressurizer level is shown the display 82 validated pressurizer pressure is shown. The pressure display includes the following: digital "process representation" value 90 with units of measurement (2254 psig), quality 96 of the display (VALID), indication 98 that the display is acceptable for post accident monitoring (PAMI), bar chart 94 with the process value, a 30 minute range (1500-2500) and units of measurement for the bar chart (psig). In the upper right hand corner of the PRESS display, there are two buttons, "CRT" and "MENU". When touched, the selected button backlights, indicating selection. When the operator removes his hand, the actual selection is processed. The "CRT" button changes the CRT 84 menu options on the CRT located at the same panel as the discrete indicator where the button is pushed, e.g., RCS panel 14 as shown in FIG. 3. This "CRT" option identifies the CRT pages most closely associated the parameters on the discrete indicator. The "MENU" button selects the discrete indicator menu (FIG. 8). The upper section of the menu page is early identical to the normal display, It contains the digital "process representation" value 96 with units of measurement (2254 psig), quality of display (valid), indication that the display is acceptable for post accident monitoring (PAMI), CRT and MENU buttons. The lower section of the menu page contains selector buttons, such as 102, for all sensor inputs and "calculated signals" of this discrete indicator. The selector buttons 102 backlight when touched, indicating selection. When the operator removes his finger, the actual processing of the selection takes place. There are 13 buttons for pressure: four for 0-1600 psig pressurizer pressure: p-103, p-104, p-105 and P-106; six for 1500-2500 psig pressure: P-101A, P-101B, P-101C, P-101D, P-100X and P-100Y; two for 0-4000 psig RCS pressure: P-190A and P-190B; and one for the "calculated signal" pressure: CALC PRESS. When selected, the "CALC PRESS" button displays the "calculated signal" (i.e. the output of the algorithm). The "calculated signal" of the algorithm can be a a "valid" signal. If the algorithm were to fail and select an individual sensor for the "calculated signal", the "valid" message would be replaced by the message "fault select". This message "fault select" would be displayed in reverse image on the discrete indicator. This message would be displayed on the discrete indicator any time "CALC PRESS" is selected until the algorithm outputs a "VALID" signal to replace the "FAULT SELECT" sensor. To change the display, the operator would touch the button containing the sensor he wished to view. For example: by touching the button marked "P-103", the digital display would display the output from the 0-1600 psig range sensor P-103. The message "VALID" below the digital value would be replaced by the message "P-103". Additionally, the "PAMI" message would be removed because P-103 is not a PAMI sensor. The button "ANAL/ALARM OPER SEL" selects the signal used for the "process representation" in DIAS. It selects whatever sensor is displayed on the digital display. The signal select button gives the operator the option to "operator select" any of the sensors for analog display and alarm processing when a fault exists, such as: If a fault were present and the operator elected to select P-103 for the "process representation", he would select the menu, select P-103 for display and then touch the "ANAL/ALARM OPER SEL" button. The message infield 96 below the digital display would read "P-103 OP SEL" in reverse image. Any time P-103 was selected for display, it would have the message "OP SEL" displayed in reverse image, indicating that the output from P-103 is being used for the "process representation" After selecting an "operator select" sensor for the "process representation", it is expected that the operator will depress the button marked "ANALOG DISPLAY". This would return to the analog 94 and trend display 104 (FIG. 7) for the operator selected sensor with the message "OP SEL" in reverse image. The "ANAL/ALARM OPER SEL" button is not normally displayed on the discrete indicator menu page; it automatically displays when the "operator select permissive" is enabled after a fault. The "ANAL/ALARM OPER SEL" button is removed from the menu page when the "operator select permissive" is disabled after all faults are corrected. The button "ANALOG DISPLAY" removes the menu page and replaces it with the bar graph (analog) and trend display for whatever sensor or "calculated signal" is currently selected as the "process representation" (normally the "valid" "calculated signal" output). Other validated process parameter discrete indicators operate in an identical manner. Menu driven discrete indicators contain all level 1 and 2 displays for a functional group of indication. B. Validation Algorithm Summary To reduce an operator's task loading and to reduce his stimulus overload, a generic validation algorithm is used. This algorithm takes the outputs of all sensors measuring the same parameter and generates a single output representative of that parameter, called the "Process Representation". A generic validation approach is used to ensure that it is well understood by operators. This avoids an operator questioning the origin of each valid parameter. This generic algorithm averages all sensors [(A,B,C and D) (sensor quantity may be parameter specific)] and deviation checks all sensors against the average. If the deviation checks are satisfactory, the average is used as the "Process Representation" and is output as a "valid" signal. If any sensors do not successfully pass the deviation check against the average, the sensor with the greatest deviation from the average is taken out and the average is recalculated with the remaining sensors. When all sensors used to generate the average deviation check satisfactorily against the average, this average is used as the "valid process representation". This "valid process representation" is then deviation checked against the post-accident monitoring system sensors (if present). If this second deviation check is satisfactory, the process representation" is displayed with the message "Valid PAMI" (Post-Accident Monitoring Indication), indicating that this signal is suitable for monitoring during emergency conditions, since it is in agreement with the value as determined by the PAMI sensors. As long as agreement exists, this indicator may then be utilized for post-accident monitoring rather than utilizing the dedicated PAMI indicator. This provides a Human Factors Engineering advantage of alliowing the oerator to use the indicator he normally uses for any day-to-day work and which he is most familiar with. The validation process, as described, reduces the time an operator takes to perform the tasks related to key process related parameters. To insure timely information, all validated outputs are recalculated at least once every two seconds. Additionally, redundancy and hardware diversity are provided in the calculating devices insuring reliability. The following section describes the algorithm and display processing on the DIAS and CRT displays. It should be appreciated that the discrete validation is accomplished using a generic algorithm that is applicable to different parameters. In this manner, the operators understand how the validated reading has been determined for every parameter and, again, this reinforces their confidence. This algorithm always has an output and allows the operator selection for display when validation is not possible. The discrete indicators continuously display all vital information yet allow easy access via a function or organized menu system to enable the operator to access less frequently needed information. There is no need for separate backup displays, since the backups are integrated in the subsidiary levels of retrieval. Such displays vastly reduce the amount of indicator locations required on the panel and yet provide all vital indication in a easy to use format, thereby reducing stimulus overload. The Appendix in conjunction with FIGS. 37 and 38 provide additional details on the preferred implementation of the algorithm. C. Alarm Processing and Display Another feature of the monitoring associated with each panel, is the reduction of the numer of alarms that are generated, in order to minimize the operator information overload. Cross channel signal validation is accomplished prior to alarm generation, and the alarm logic and set points are contingent on the applicable plant mode. The alarms are displayed with distinct visual cueing in accordance with the priority of the required operator response. For example, priority 1 dictates immediate action, priority 2 dictates prompt action, priority 3 is cautionary, and priority 4, or operator aid, is merely status information. The types of alarm conditions that exist within each category are described below: Priority 1 Priority 2 Priority 3 The alarms are displayed using techniques that help the operator quickly correlate the impact of the alarm on plant safety or performance. These techniques include grouping of displays which highlight the nature of the problem rather than the symptom denoted by the specific alarm condition. Another is the fixed spatial dedication of alarm displays allowing pattern recognition. Another is the plant level pictorial overview display on the IPSO board which shows success paths and critical functions impacted by the priority 1 alarms. To insure that all alarms are recognized by the operator without task overload, all alarms can be either individually acknowledged, or acknowledged in small functionally related groups. All alarms can be acknowledged at any control panel. Momentary audible alerts for alarm state changes require no operator action to silence. Periodic momentary audible reminders are provided for unacknowledged conditions. The operator can affectuate a global alarm stop flash which will automatically resume in time, to allow for deferred acknowledgement. In addition to alarms, an information notification category "Operator Aids" has been established for information that may be helpful for operations but is not representative of deviations from abnormal conditions. Conditions classified as "Operator Aids" include: channel bypass conditions, approach to interlocks and equipment status change permissive. Some parameters have more than one alarm on the same parameter (i.e., Seal Inlet Temperature Hi Hi and Hi). To limit the operator's required response, the lower priority is automatically cleared without a reset tone or slow flash rate when the higher priority alarm actuates after actuation of the lower priority alarm. The Hi Hi alarm will be acknoweldged by the operator; therefore, the operator acknowledgement of the cleared lower priority alarm is unnecessary. When the condition improves to the point where the higher priority alarm clears, the condition will sound a reset tone and the alarm window will flash slowly. The operator will acknowledge that the higher priority alarm has cleared. If the lower priority alarm condition still exists, its alarm tile or indicator will turn on in the acknowledged state after the operator acknowledges that the higher priority alarm has cleared. If the condition improves such that it clears both the high and low priority alarms before operator acknowledgement, then operator acknowledgement of the cleared high priority alarm will also clear the lower priority condition. 1. Mode and Equipment Dependancy A key feature of the alarm system is its mode dependent and equipment status dependent logic. These features combine to greatly reduce the number of alarms received during significant events and limit those alarms to conditions that actually represent process or conditions that actually represent process or component deviations pertinent to the current plant state. Mode and equipment dependency is implemented both through alarm logic changes and setpoint changes. An alarm of mode dependency is the reduction in the low pressurizer alarm setpoint to avoid a nuisance alarm on a normal reactor ring. Equipment dependent logic is used to actuate a low flow alarm only when an upstream pump is supposed to be operating. Four modes have been selected which correspond to significant changes in the alarm logic based on the plant state. These modes are: The alarm modes are manually entered by the operator with the exception of the post-trip mode. Upon a reactor trip, the alarm logic automatically switches to the post-trip mode with no operator action required. All equipment dependent alarm features are actuated automatically without operator action. 2. Subfunction Grouping The RCS panel has over 200 conditions that can cause an alarm. To reduce the operator's stimulus overload due to the quantity of alarms and improve his alarm comprehension, many alarms are grouped into subfunctional groups 108, 110, 112 (FIG. 15). The subfunctional group alarm tiles have a variety of related subfunctional group alarm messages that are read on the panel alarm message window 114 (adjacent to the alarm tile) or CRT. In cases where key process related parameters are alarmed, there is a single alarm message for each alarm tile (i.e., RCS Pressure Low). This single alarm message allows the operator to quickly identify the specific process related problem. As shown in FIG. 16, some alarms are grouped by similar component rather than process function, and are augmented by a message such as 116. As shown in FIG. 9, each alarm tile can be in one of the following states: 3. Shape and Color Coding Alarm information is identified by a unique tile color, preferably yellow 118. The parameter/component descriptor or concise message 120 within the tube is shown in blue. Grey color coding is used for the tile color 122 for Return to Normal conditions. Shape coding is used to identify alarm priority, i.e., 1, 2 or 3. A single bright color is used for alarm information to maximize the attention-getting quality of this information. The shape coding used for identifying alarm priorities uses representational features of decreasing levels of salience. Shape coding of alarm priorities also allows retention of priority information for Return to Normal conditions. For priority 1 alarms, the alarm tiles, mimic diagram components, symbols, process parameters, and menu option fields have their descriptor presented in reverse image (i.e., blue letters 12 on a yellow 118 solid rectangular background 124) using the alarm color coding. The descriptor is presented in blue to provide good contrast for readability. In addition, the alarm tiles and menu option fields on the CRT use the same representation. For priority 2 alarms, the alarm tiles, mimic diagram parameters, components, menu options, and symbols have a thin (1 line) box 126 using the yellow alarm color code around their descriptor, which is blue. For priority 3 alarms, the alarm tiles, mimic diagram parameters, components, menu options, and symbols have brackets 128 around their descriptors 120. For all alarms, English Descriptors on the CRT's message line are also represented with the alarm representation formats when they are in alarm. 4. Alarms on CRT Each CRT page in the data processing system provides the operator with an overview of the existence of any unacknowledged alarm conditions and a general overview of where they exist within the plant. The standard menu provided with each display page contains the IPSO and all first level display pages as menu options (see FIG. 10 menu region 130). These menu option fields provide the existence of unacknowledged alarms in their sector of the display page hierarchy and their alarm status/priority by using the alarm highlighting feature as described above. If an alarm tile (i.e., in the DIAS) is in alarm, a first level display page menu option field, such as 132, in the menu options 130 shows that an alarm condition exists in an associated area of the display page hierarchy. The alarm tiles in menu 130 are categorized into the first level display page set corresponding to the console groupings or by critical function, as shown in FIG. 11. In addition to alarm information represented on the first level display page menu options, the following display page features are also used to represent the existence of alarms. Display page menu options 134 that provide access to levels 2 and 3 display pages are lit with the above described alarm representation if information on the corresponding page is in alarm (e.g., if an unacknowledged alarm exists, the display page menu option is highlighted to show the highest priority unacknowledged condition). The operator can by selecting option 136, level 2 display page directory containing a pictorial diagram of the level 3 display pages in a hierarchical format associated with a first level display page (see FIGS. 12 and 15). Each of the level 2 and 3 display pages represented on this diagram provide alarm notification if information on that display page is in an unacknowledged alarm state. This alarm information is most useful for determining where alarms exist within an area of the display page hierarchy. For example, the operator would be notified by the display page menu 130 (FIG. 10) that an unacknowledged alarm(s) exists in the auxiliary systems by grey alarm shape coding (return to normal) and slow flashing of alarm coding on the "PRI" menu option field. He can then access that directory/hierarchy to see what page(s) contains alarm information by touching the menu option "DIRECTORY 136" followed by "PRI". When the Primary display directory comes up (FIG. 12), the field(s) representing the display page(s) that contains the alarm condition(s) (such as PZR LEVEL 138) will be highlighted. The desired page that contains the alarm information (similar to FIG. 15) is accessed by touching the flashing field. The descriptors of components and plant data on the process display pages of the CRT (FIG. 13) are alarm coded and flashed to provide indication of alarms and their acknowledgement status. A component's descriptor can provide this alarm information if a parameter associated with the component is in alarm. This is true even if the parameter in alarm is not represented on the display pages, e.g., low pump lube oil pressure is represented by alarm coding of the associated component's symbol. To view the exact information that is in alarm, the operator can access a lower level display page, or use the alarm system features that are described later. 5. Determining Alarm Conditions and Acknowledging Alarms With reference again to FIG. 16, each category 1 and 2 alarm annunciator tile in the DIAS may notify the operator of more than one possible alarm condition. To quickly determine the actual alarm condition, a message window 114 is provided in the display area 78 on the panel. By depressing an unacknowledged alarming annunciator tile, such as 134, an English description 116 of the specific alarm condition is provided on the message window 114. The alarm tile 134 remains flashing until all alarm conditions associated with the alarm tile have been acknowledged. The English descriptors of additional alarms can be accessed by redepressing the alarm tile 134. At the same time that a message appears on the message window of a DIAS alarm display 78, an alarm message is presented on another filed 132 at the bottom of the display page 84 on the panel CRT (see FIG. 13). The CRT alarm message contaings the following information: Time, Priority, Severity (e.g., Hi, Hi-Hi), Descriptor, Setpoint, and real time process value (coded as described to show the alarm priority and alarm condition). If additional unacknowledged alarms exist that are associated with the tile, the number of additional unacknowledged alarms is specified within a circle 136 at the right hand side of the message area (see FIG. 13). In addition to this alarm message, menu options/fields appear on the display page menu (Region 4) and provide direct access to the display pages that can be used to obtain supporting or diagnostic information of the alarm condition. The display regions are shown in FIG. 22. The alarm tiles that are in alarm on the DIAS display 78 of a given panel can be accessed and acknowledged on any CRT panel by procedure similar to accessing and acknowledging the alarms via the alarm tiles. By selecting the "Alarm Tiles" menu option followed by an alarming display page menu option, i.e., first level display page set (region 3), the alarm tiles that are in alarm, that are associated with the display page, are provided in region 4 of the display page menu. One tile is depicted and is a touch target that provides access to other tiles. The operator acknowledges and reviews these CRT alarm tiles by touch and obtains alarm messages and supporting display page touch targets in the same format as described above. This means of responding to alarming alarm tiles is most useful for responding to alarms at workstations that are remote to the operator's location. All alarm conditions associated with an annunciator tile in the DIAS display are held in a buffer. The buffer containing alarm conditions is arranged in the following format: ______________________________________ 1. First-In Unacknowledged 2. . . . . . N Last-In Unacknowledged N+1 First-In Cleared/Return to Normal N+2 . . . . . . . n Last-In Cleared/Return to Normal n+.sup.1 Acknowledged Alarms n+2 . . . . . ______________________________________ Depressing an alarm tile provides access to the alarm condition that is at the top of the buffer. Acknowledging unacknowledged alarms moves these alarm conditions to the bottom of the buffer. Acknowledging cleared alarms drops them from the buffer. Previously acknowledged alarm(s) (n+1,n+2, . . . ) can be reviewed when there are no unacknowledged or cleared unacknowledged alarm conditions present. Upon reviewing these alarms, they move to the bottom of the buffer. Alarm messages for priority 3 alarms and operator aids are only generated by the computer and only appear on the message line 132 of the CRT page (FIG. 3); there will be no English descriptor provided on the message window of the DIAS display 78. One annunciator tile is provided at each annunciator workstation for all priority 3 alarms and 1 alarm tile is provided on the workstation for operator aids that are associated with these workstation. When an alarm condition changes priority, the following changes occur in the alarm handling system. When a higher priority alarm comes in on the same parameter, the previous alarm is automatically cleared (i.e., no operator acknowledgement necessary since he will need to acknowledge the higher priority condition) without a reset tone or slow flash rate. When an alarm condition improves to the point where the high priority alarm clears, the operator will need to acknowledge that the higher priority alarm has cleared; however, if the lower priority alarm still exists, it will turn on (upon operator acknowledgement of the higher priority cleared condition) and automatically go to the acknowledged state (i.e., no operator action required). The new lower priority alarm condition will be observed by the operator when reading the alarm message in response to clearing the highest priority alarm. The invention provides a means of listing, and categorizing alarms, and accessing supporting display pages. In this system accessible from the fields 138 of the DIAS display 78 and 140 of the CRT display 84 shown in FIGS. 15 and 13, respectively, alarms are provided on alarm listing display pages. The categories of alarms in this listing are as follows (see FIG. 14): A workstation's alarm tiles in alarm are listed by priority. Alarms associated with the alarm tiles are listed as they are contained in the alarm tile's alarm buffer. These alarm categories provide alarm data consistent with operator's information needs in response to alarm conditions. When accessing the Categorized Alarm Listing 78 via page 84 (FIGS. 4 and 12), the operator can easily select the data in the category he wishes to see. Using the "Alarm List" menu option 14--(FIG. 4) followed by a display page feature that represents alarm condition(s) (FIG. 12), the operator can view the specific alarm conditions that he is interested in (FIG. 14). Three examples of accessing alarm data in the categorized list from page 84 (FIG. 4) follow. The display page's menu changes to a representation of the alarm tiles that are in alarm and are associated with the Primary Systems (see FIG. 14). At this time, the operator can request one of two different types of information formats associated with the displayed alarm tiles: Alarm information is also provided on all process display mimic diagrams which contain a component or parameter which is in an alarm condition. Color, and shape coding is used to indicate alarm conditions, as described earlier. Parameters in alarms that are associated with a component can cause the represented component's descriptor to be highlighted to indicate an alarm condition if the parameter is not visible on the display page, e.g., pump lube oil pressure may not be listed on a level two display page, so the pump's descriptor may be alarm coded. If the operator desires to see the exact alarm condition associated with a component, he would access the appropriate lower level display page. Alternatively, he could touch the "Alarm Tiles" menu option followed by touching the component's descriptor and respond to the alarm using alarm tile representations. This action also accesses menu options associated with display pages that provide more detail about the component. The following means of alarm acknowledgement is provided with the invention. Each of these methods of alarm acknowledgement clears unacknowledged alarm indicators in the other alarm formats. When an alarm condition clears, the operator needs to be notified. Notification is accomplished by flashing the annunciator tiles and associated process display page information at a slow rate. Acknowledging or resetting the cleared alarm indications takes place in a mechanism similar to acknowledgement of new alarms, i.e., touching an alarm tile or CRT alarm representation/feature. Distinct sounds/tones are provided in the control room to indicate the following alarm information: An audible alarm, tone 1 or 3, is only present for 1 second and tone 2 will repeat periodically, once every minute, until all new or cleared alarms are acknowledged. In situations where multiple unacknowledged alarms exist, the operator needs to direct his attention at the highest priority new alarm conditions. In this situation, all other unacknowledged alarms, i.e., new priority 2, 3 and all cleared alarm conditions, are added noise that distracts the operator from most important alarm conditions. In the control room, a "STOP FLASH" and "RESUME" button exists at the MCC, ACC and ASC. When the "STOP FLASH" button is depressed, the alarm system's behavior exhibits the following characteristics: The alarm reminder tone informs the operator about any unacknowledged new or cleared alarm conditions that exist. To identify these conditions for acknowledgment, the operator selects a "resume" button which returns all unacknowledged and cleared conditions to their normal representational alarm status. The alarm suppression button is backlit after selection to show that the alarm suppression feature is active. So that the operator can provide quick, direct access to supporting information thereby enhancing the operator response to alarm conditions, a single operator action provides alarm acknowledgement, display of alarm parameters, and selection options for CRT display pages appropriate for the alarm condition. The invention provides redundancy and diversity in alarm processing and display such that the operators have confidence in intelligent alarm processing techniques and such that plant safety and availability are not impacted by equipment failures. Priority 1 and 2 alarms are processed and displayed by two independent systems. Two-system redundancy is invisible to the operators through continuous cross-checking and integrated operator interfaces. FIGS. 16-18 show a schematic alarm response using the tiles in accordance with the invention. The illustrated group of tiles is associated with the reactor coolant pump seal monitoring in the reactor cooling system panel shown in FIG. 3. The priority 2 seal/bleed system trouble alarm is illuminated to alert the operator, who then can read a more complete message in the message window, which indicates a high control bleed-off pressure. Such a message is provided for priority 1 and 2 alarms. The same message in more complete form is displayed on the panel CRT. The CRT also identifies menu options that indicate useful supporting display pages. Alternatively, the operator may directly access a listing of all the alarms in a particular group. Thus, overview of the alarm conditions is provided with the tiles, and the detail is provided with the associated messages. A given alarm is rendered more or less important at a particular point in time, depending on the equipment status and the mode of operation of the NSSS. Alarm handling is reduced by validation of the parameter signals, and clearing automatically lower priority alarms when one of the higher priority alarms is actuated on the same condition. IV. DATA PROCESSING SYSTEM A. The CRT Display The CRT shown 84 in the center of the panel in FIG. 3 is part of the data processing system which processes and displays all plant operational data. Thus, it is linked to all other instrumentation and control systems in the control room. FIGS. 2, 28 and 30 schematically show the relationship of the data processing system with the control system, plant protection system, and discrete indication and alarm system. The data processing system 70 receives from the control system 64, the same sensor data that is used by the control system for executing the control logic. Likewise, it receives from the discrete indication and alarm system 72 the validated sensor data that is used by the discrete indication and alarm system for generating the discrete alarms and displays. The plant protection system 50 does not use internally validated data for its trip logic, and this "raw" signal is for each channel passed along to the data processing system 70 which performs its own signal validation logic 154 on the plant protection system signals, and passes on the internally validated signal to the validated signal comparison logic 156. In that functional area, the validated signals from the control system 64, the plant protection system 50 and the discrete indication and alarm system 72 are compared and displayed on the CRT 84. It should be appreciated that both the validated signal from the comparison logic 156 and the validated signal from the plant protection system are available for display on the CRT 84. Thus, the CRT display within each panel includes signal validation and all CRTs in the plant are capable of accessing any information available to the other CRTs in the plant. Moreover, on any given CRT, the alarm tile images from any other panel may be generated and the alarms acknowledged. Detailed display indicator windows may be accessed as well. The CRTs have a substantially real time response, with at most a two-second delay. The CRT display pages contain all the power plant information that is available to the operator, in a structured, hierarchic format. The CRT pages are very useful for information presentation because they allow graphical layouts of power plant processes in formats that are consistent with operator visualization. In addition, CRT formats can aid operational activities, where appropriate, by providing trends, categorized listing, messages, operational prompts, as well as alert the operator to abnormal processes. The primary method the operator obtains information formats on the CRTs is through a touch screen interface which operates in a known manner. The touch screens are based on infrared beam technology. Horizontal and vertical beams exist in a bezel mounted around the face of each color monitor. When the beams are obstructed by the user, the coordinates are cross-referenced with the display page data base to determine the selected information. Messages and Supporting Display page option touch targets can be accessed onto panel CRTs by touching other panel features, e.g., discrete indicators and alarm tiles. IPSO is available as a display page and forms the apex of the display page hierarchy (see FIGS. 10, 22 and 24). Three levels exist below IPSO, where each level of the hierarchy provides consistent information content to satisfy particular operational needs. The structure of the hierarchical format is based on assisting the operator in the performance of his tasks as well as providing quick and easy access to all information displayed via the CRTs. The display formats on the top level provide information for general monitoring activities, while the lowest level formats contain information that is most useful for supporting diagnostic activities. Level 1 display pages provide information that is most useful for general monitoring activities associated with a major plant process. These display pages inform the operator of major system performance and major equipment status and provide direction to lower level display pages for supportive or diagnositc information. The level 1 display pages are as follows: Level 2 display pages provide information that is most useful for controlling plant components and systems. These pages contain all information necessary to control the system's processes and functions. Parameters which must be observed during controlling tasks appear on the same display, even though they may be parts of other systems. Proposed operating procedures or guides for controlling components are utilized for determining which parameters to display. FIG. 20 is a sample display for Reactor Coolant Pump 1A and 1B Control. The operator would normally monitor the "Primary System" display page to assess RCS performance. If the operator wishes to operate or adjust RCP 1A or 1B, the operator would access the control display page. All information for Reactor Coolant Pump Control is on the control display to preclude unnecessary jumping between display pages. Level 3 display pages provide information that is most useful for diagnostic activities of the component and processes represented in level 2 display pages. Level 3 display pages provide data useful for instrument cross-channel comparisons, detailed information for diagnosing equipment or system malfunctions, and trending information useful for determining direction of system performance changes, degradation or improvement. FIG. 21 shows a diagnostic display of the Seal and Cooling section of RCP1A; the pump portion, the supporting oil system, and the motor section are presented on a separate display page due to display page information density limits. Display page access is accomplished through the use of menus placed on the bottom of the display pages. Each display page contains one standard menu format that provides direct, i.e., single touch, access to all related display pages in the information hierarchy. The menu has fields (see FIG. 10) where display page title are listed. By selecting a field (a thru j), the specified display page is accessed. The menu option fields associated with a display page includes the following (see FIG. 22). To access a display page described by a menu option, the operator would select the menu option (a-k) by touching the desired menu option field on the monitor. The menu option is highlighted (using black letters on a white background) until the display page appears. Since the menu options provide direct access to a minimum set of display pages in the display page hierarchy, alternate means are available for quickly accessing other display pages. Three options are available to the operator: In addition to the menu options described above, menu options exist for "LAST PAGE", "ALARM LIST", "ALARM TILES", "OTHER", and horizontal paging options ("Keys") The "LAST PAGE" (option j on FIG. 22) provides direct access to the last page that was on the monitor. This is very useful to operators for comparison of information between two display pages, or retrieval of information that the operator was previously involved with. The "ALARM LIST" (option n on FIG. 22) provides for quick access to the alarm listing display pages. The "ALARM TILES" (option m on FIG. 22) provides for quick access toi alarm tile representations of active alarm tiles in the area above Region 4 (see FIG. 23) of the workstation's CRT menu. This allows an operator to access alarm information associated with specific tiles on any workstation's CRT. This method of alarm access is further described in Section 5 of this document. The "OTHER" (option k on FIG. 22) provides access to display pages or information that does not fall into the categories of information described by the presently displayed menu options. B. IPSO Another part of the data processing system is the integrated process status overview (IPSO board). Although the number of displays and alarms stimulating the operator at any one time can be considerably reduced using the panels having the discrete alarm, discrete display, and CRT displays described above, the number of stimuli is still relatively high and, particularly during emergency operations, may cause delay in the operator's understanding of the status and trends of the critical systems of the NSSS. A single display is needed that presents only the highest level concerns to the operator and helps guide the operator to the more detailed information as it is needed. Although some attempts have been made in the past to present a large board or display to the operator, such displays to date have not included a significant consolidation of information in the nature to be described below. The IPSO board presents a high level overview of all high level concerns including overview of the plant state, critical safety and power functions, symbols representing key systems and processes, key plant data, and key alarms. IPSO information includes trends, deviations, numeric values of most representative critical function parameters, and the existence and system location of priority 1 alarms including availability and performance status for systems supporting the critical functions. This is otherwise known as success path monitoring. The IPSO board also can identify the existence and plant area location of other unacknowledged alarms. Thus, IPSO bridges the gap between an operator's tendency toward system thinking and a more desirable assessment of critical functions. This compensates for reduction in the dedicated displays to help operators maintain a field plant conditions. It also helps operators maintain an overview of plant performance while being involved in detailed diagnostic tasks. IPSO provides a common mental visualization of the plant process to facilitate better communication among all plant personnel. In FIG. 25, the condition illustrated is a reactor trip. At the instance illustrated, the temperature rise in the reactor is 27.degree. and the average temperature rise is higher than desired and rising as indicated by the arrow and "+". The pressurizer pressure is higher than desired, but it is falling. Likewise, the steam generator water level is higher than desired but falling. FIG. 24 shows a CRT display page hierarchy wherein the IPSO is at the apex, the first level display page set contains generic monitoring information for each of the secondary, electrical, primary, auxiliary, power conversion and critical function systems, the second level of display pages relates to system and/or component control, and the third level of display pages provides details and diagnostic information. IPSO is a continuous display visible from any control room workstation, the shift supervisor's office, and Technical Support Center. The IPSO is centrally located relative to the master control console. The IPSO also exists as a display page format that is accessible from any control room workstation CRT as well as remote facilities such as the Emergency Operations Facility. The IPSO large panel format is 4.5 feet high by 6 ffet wide. Its location, above and behind the MCC workstation, is approximately 40 feet from the shift supervisor's office (the furthest viewable point). One of the beneficial aspects of IPSO is the use of IPSO information to support operator response to plant disturbances, particularly when a disturbance effects a number of plant functions. IPSO information supports the operator's abaility to respond to challenges in plant power production as well as safety-related concerns. IPSO supports the operator's ability to quickly assess the overall plant's process performance by providing information to allow a quick assessment of the plant's critical safety functions. The concept of monitoring plant power and safety functions allows a categorization of the power and safety-related plant processes into a manageable set of information that is representative of the various plant processes. The critical functions are: ______________________________________ Critical To: Function Power Safety ______________________________________ 1. Reactivity Control X X 2. Core Heat Removal X X 3. RCS Heat Removal X X 4. RCS Inventory Control X X 5. RCS Pressure Control X X 6. Steam/Feed Conversion X 7. Electric Generation X 8. Heat Rejection X 9. Containment Environment Control X 10. Containment Isolation X 11. Radiological Emissions Control X X 12. Vital Auxiliaries X X ______________________________________ A 3.times.4 alarm matrix block 160 containing a box 162 for each critical function exist in the upper right hand corner of IPSO (see FIG. 25 and the CRT display of IPSO in FIG. 10). The matrix provides a single location for the continuous display of critical function status. If a priority 1 alarm condition exists that relates to a critical function, the corresponding matrix box 164 will be highlighted in the priority 1 alarm presentation technique. Critical Function alarms are representative of one of the following priority 1 conditions: The 3.times.4 matrix representation is an overview summary of the 1st level critical function display page information (FIG. 32). The operator obtains the details associated with critical function and Success Path alarms in the Critical Function section of the display page. Each critical function can be maintained by one or more plant systems. Information on IPSO is most representative of the ability of supporting systems to maintain the critical functions. For some critical functions, the overall status of the critical function can be assessed by a most representative controlled parameter(s). For these critical functions, the process parameter's relationship to the control setpoint(s) and indication of improving or degrading trends is represented on IPSO to the right of the parameter's descriptor. An arrowhead as explained in FIG. 26 is used if the integral of the parameter's value is greater than an acceptable narrow band control value, indicating that the parameter is moving toward or away from the control setpoint. The arrowhead's direction, up or down, indicates the direction of change of the process parameter. If these parameters deviate beyond normal control bounds, a plus or minus sign is placed above or below the control setpoint representation. The following bases were used for the selection of parameters or other indications that are used on IPSO to provide the monitoring of the overall status of the critical functions. 1. Reactivity Control Reactor power is the only parameter displayed on the IPSO as a means of monitoring reactivity. Using Reactor Power, the operator can quickly determine if the rods have inserted. He can also use Reactor Power to determine the general rate and direction of reactivity change after shutdown. Reactor Power is displayed on IPSO with a digital representation 166 because a discrete value of this parameter is most meaningful to both operators and administrative personnel. The IPSO also provides an alarm representation on the reactor vessel if there is a priority 1 alarm condition associated with the Core Operating Limit Supervisory System. 2. Core Heat Removal A representative Core Exit Temperature 168 and Subcooled Margin 170 are the parameters presented on IPSO for determining if Core Heat removal is adequate. If Core Exit Temperature is within limits, then the operator can be assured of maintaining fuel integrity. The Subcooling Margin is used because it gives the operator the temperature margin to bulk boiling. Core Exit Temperature is represented on IPSO by using a dynamic representation (i.e., trending format), since there is a distinct upper bound that defines a limit to core exit temperature, and setpoints for representational characteristics can be easily defined. Subcooled Margin is also represented on IPSO using a dynamic representation since there is a lower bound which defines an operational limit for maintaing subcooling. 3. RCS Heat Removal T.sub.H, T.sub.C, S/G Level 172, and T.sub.ave 174 are used on IPSO to provide the operator the ability to quickly assess the effectiveness of the RCS Heat Removal Function. In order to remove heat from the Reactor Coolant, S/G Level must be sufficiently maintained so that the necessary heat transfer can take place from the RCS to the steam plant. A dynamic representation is used so the operator can observe degradiations or improvements in deviant condition at a glance. T.sub.H and T.sub.C are used on IPSO because they are needed by the operator to determine how much heat is being transferred from the reactor coolant to the secondary system. A digital value of these parameters is used since a quick comparison of these parameters is desired for observing the delta T. In addition, an indication of their actual values are used often and would be helpful to an operator in locations where the discrete indicator displaying T.sub.h and T.sub.c is not easily visible. T.sub.ave is presented on IPSO using a dynamic representation to allow quick operator assessment of whether this controlled parameter is within acceptable operating bounds. 4. RCS Inventory Control Pressurizer Level 176 is presented on the IPSO using a dynamic representational indication to allow the operator to quickly access if the RCS has the proper quantity of coolant and observe deviations in level indicative of improving or degrading conditions. 5. RCS Pressure Control Pressurizer Pressure 178 and Subcooled Margin is used as the indications on IPSO to determine the RCS Pressure Control. A dynamic representation is used on IPSO to notify the operator of changing pressure conditions that may indicate RCS depressurization or over pressurization. A dynamic representation is used on IPSO for saturation margin. A saturation condition in the RCS can adversely affect the ability to control pressure by the pressurizer. Also, if pressure is dropping, the subcooled margin monitor representation on IPSO depicts a decrease in the margin to saturation. 6. Steam/Feed Conversion The processes associated with Steam/Feed Conversion can be quickly assessed by providing the following information on IPSO: 7. Electric Generation The processes associated with Electric Generation can be quickly assessed by providing the following information on IPSO: 8. Heat Rejection The processes associated with heat rejection can be quickly assessed by providing the following information on IPSO: 9. Containment Environment Control Containment Pressure and Containment Temperature are the parameters which are used on the IPSO to monitor the control of the Containment Environment. These are presented on IPSO using a dynamic representation to allow assessment of trending and relative values. The Containment Pressure variable is used on the IPSO to warn the operator about an adverse overpressure situation which could be the result of a break in the Reactor Coolant System. The Containment Temperature also helps indicate a possible break in the Reactor Coolant System; it also can indicate a combustion in the Containment Building. 10. Containment Isolation The Containment Isolation Safety function is monitored on the IPSO with a Containment Isolation system symbol representation. This symbol will be driven by an algorithm which presents the effectiveness of the following containment isolation situations when the associated conditions warrant containment isolation: 11. Radiological Emissions Control Radiation symbols exist on IPSO which presents notification of high radioactivity levels such as inside containment, and (2) radiation associated with radioactivity release paths to the environment. These symbols will only be presented on IPSO when high radiation levels exist. These indications are presented in the alarm color in a location relative to the sensor in any of the following situations occurs: 12. Vital Auxiliaries Vital Auxiliaries are monitored on IPSO by providing the following information: The systems represented on IPSO are the major heat transport path systems and systems that are required to support the major heat transport process, either power or safety related. These systems include systems that require availability monitoring per Reg. Guide 1.47, and all major success paths that support the plant Critical Functions. The following systems have dynamic representations on IPSO: System Information presented on IPSO includes systems operational status, change in operational status (i.e., active to inactive, or inactive to active) and the existence of a priority one alarm(s) associated with the system. Alarm information on systems can also help inform an operator about success path related Critical Function alarms. Priority 1 alarm information is also presented on IPSO by alarm coding the descriptors of the representative features on IPSO as described above. V. INTEGRATION OF CONTROL ROOM FIG. 27 presents an overview of the integrated information presentation available to the operator in accordance with the invention. From the integrated process status overview or board, the operator may observe the high priority alarms. If the operator is concerned with parameter trends, he may view the discrete indicators. If he is interested in the system and component status, he may view the settings on the system controls. Thus, the IPSO information is displayed either on the board or at the panel CRT, and the other information from the operator's panel or any other panel, is available to the operator on his CRT. From the IPSO overview, the operator may navigate through the CRT or DIAS display pages. Moreover, the operator has direct access to either of these types of information from any of the control panels and when a system control is adjusted or set, the results are incorporated into the other alarm and display generators in the other panels. As shown in FIGS. 2 and 28-31, in general overview, the integration of the system means that each panel including the main console, the safety console, and the auxiliary console, includes a CRT 84 which is driven by the data processing system 70. The data processing system utilizes the plant main computer and, although being more powerful, it is not as reliable as the DIAS 72 computers (which may be distributed microprocessors-based or mini-computer based). Also, it is slower because it is menu driven and performs many more computations. It is used primarily for conveying the most important information to the operator and thus important alarm tiles can be viewed on each CRT and acknowledged from any CRT. Any information available on one CRT is available at every other CRT. The indicator and alarm system 72 for a given panel is related to the controls, but the discrete (i.e., quick and accurate) aspects of the alarms and indicator displays 78,82 and controls of that panel are not available at any other panel. Basically, information is categorized in three ways. Category 1 information must be continuously displayed at all times and this is accomplished in DIAS 72. Category 2 information need not be continuously available, but it must nevertheless be available periodically and this is also the responsibility of DIAS 72. Category 3 information is not needed rapidly and is informational only, and that is provided by the DPS 70. In the event of the failure of DPS, some essential information is provided by DIAS. The DPS and DIAS are connected to the IPSO board by a display generator 180. From the IPSO, the operator can obtain detailed information either by going to the panel of concern, or paging through the CRT displays. It should be appreciated that DIAS and DPS do not necessarily receive inputs for the same parameters, but, to the extent they do receive information from common parameters, the sensors for these parameters are the same. Moreover, the validation algorithms used in DIAS and DPS are the same. Furthermore, the algorithms used for the discrete alarm tiles and the discrete indicators include as part of the computation of the "representative" value, a comparison of the DIAS and DPS validated values. FIG. 29 is a block diagram representing the discrete indicator and alarm system in relation to other parts of the control room signal processing. The DIAS system preferably is segmented so that, for example, all of the required discrete indicator and discrete alarm information for a given panel N is processed in only one segment. Each segment, however, includes a redundant processor. The information and processing in DIAS 1 is for category 1 and 2 information which is not normally displayed directly on IPSO. IPSO normally receives its input from the DPS. However, in the event of a failure of DPS, certain of the DIAS information is then sent to the IPSO display generator for presentation on the IPSO board. It should also be appreciated that both DIAS and the DPS utilize sensor output from all sensors in the plant for measuring a given parameter, but that the number of sensors in the plant for a given parameter may differ from parameter to parameter. For example, the pressurizer pressure is obtained from 12 sensors, whereas another parameter, for example, from the balance of plant, may only be measured by two or three sensors. Some systems, such as the plant protection system, do not employ validation because they must perform their function as quickly as possible and employ, for example, a 2 out of 4 actuation logic from 4 independent channels. In the event the validation for a given parameter differs as determined within two or more systems, an alarm or other cue will be provided to the operator through the CRT. One of the significant advantages of the present invention is that the DPS need not be nuclear qualified, yet it can be confidently used because it obtains parameter values from the same sensors as the nuclear qualified DIAS. These are validated in the same manner and a comparison is made between the validated DPS parameters and the validated DIAS parameters, before the DPS information is displayed on the CRTs or the IPSO. The nuclear qualification of the alarm tiles and windows, and the discrete indicator displays in the DIAS are preferably implemented using a 512.times.256 electroluminescent display panel, power conversion circuitry, and graphics drawing controller with VT text terminal emulation, such as the M3 electroluminescent display module available from the Digital Electronics Corporation, Hayward, Calif. The control function of each panel is preferably implemented using discrete, distributed programmable controllers of the type available under the trademark "MODICON 984" from the AEG Modicon Corporation, North Andover, Mass., U.S.A. Thus, the computational basis of the DIAS is with either distributed, discrete programmable microprocessors or mini computers, whereas the computational basis of the DPS is a dedicated main frame computer. The ESF control system and the process component control system are shown schematically in FIG. 31, whereas the plant protection system is preferably of the type based on the "Core Protection Calculator" system such as described in U.S. Pat. No. 4,330,367, "System and Process for the Control of a Nuclear Power System", issued on May 18, 1982, to Combustion Engineering, Inc., the disclosure of which is hereby incorporated by reference. Another aspect of integration is the capability to display the critical functions and success path in IPSO as described above. Since the major safety and power generating signal and status generators are connected to both DIAS and DPS, the operator may page through the critical functions in accordance with the display page hierarchy shown in FIGS. 32 through 35. In FIG. 33, the operator is informed that the emergency feed is unavailable in the reactant coolant system. In FIG. 34, the operator is informed that the emergency feed is unavailable and the reactor is in a trip condition. Under these circumstances, the operator must determine an alternative for removing heat from the reactor core and by paging to the second level of the critical function display page which, although shown for inventory control (FIG. 35), would have a comparable level of detail for heat removal. This type of information with this level of detail and integration is available for all critical functions under substantially all operating conditions, not only during accidents. VI. PANEL MODULARITY It should be appreciated that, as mentioned above, the discrete tile and message technique significantly reduces the surface area required on the panel to perform that particular monitoring function. Similarly, the discrete display portion of the monitoring function, including the hierarchical pages, is condensed relative to conventional nuclear control room systems. The control function on a given panel can be consolidated in a similar fashion. Thus, a feature of the present invention is the physical modularity of each panel constituting the master control console, and more generally, of each panel in the main control room. In essence, the space required for effective interface with the operator for a given panel, becomes independent of the number of alarms or displays or controls that are to be accessed by the operator. For example, as shown in FIG. 3, six locations on each side of the CRT may be allocated for alarm and indicator display purposes. Preferably, the top two on each side are dedicated to alarms 78 and the other four on each side dedicated to the indicator display 82. An identical layout is provided for each panel in the control room. This permits significant flexibility and cost savings during the construction phase of the plant because the hardware can be installed and the terminals connected early in the construction schedule, even before all system functional requirements have been finalized. The software based systems are shipped early with representative software installed to allow preliminary checking of the control room operations. Final software installation and functional testing are conducted at a more convenient point in the construction schedule. This method can accelerate plant construction schedules for the instrumentation and control systems significantly. Since the instrumentation and control requirements for a given plant are often not finalized until late in the plant design schedule, the present invention will in almost every case significantly reduce costly delays during construction. This is in addition to the obvious cost savings in the ability to fabricate uniform panels, both in the engineering phase normally required to select the locations of and lay out the alarms and displays, and in the material savings in fabricating more compact panels. Furthermore, such modularity in the plant facilitates the training of operators and, when operators are under stress during emergencies, should reduce operator error because the functionality of each panel is spatially consistent. Thus, each modular control panel has spatially dedicated discrete indicators and alarms, preferably at least one spatially dedicated discrete controller at 88, a CRT 84, and interconnections with at least one other modular control panel or computer for communication therewith. For example, communication via the DPS includes, among other things, the ability to acknowledge an alarm at one panel while the operator is located at another panel, and the automatic availability at every other panel of information concerning the system controlled at one panel. FIG. 36 (a) illustrates the conventional sequence for furnishing instrumentation and control to a nuclear power plant and 36(b) the sequence in accordance with the invention. Conventionally, the input and outputs are defined, the necessary algorithms are then defined, and these specify the man machine interface. Fabrication of all equipment then begins and all equipment is installed in the plant at substantially the same time before system testing can begin. In contrast, the modularity of the present invention permits fabrication of hardware to begin immediately in parallel with the definition of the input/output. Likewise, the hardware can be installed and generically tested in parallel with the definition of the man machine interface and the definition of the algorithms that are plant specific. The hardware and software are then integrated before final testing. In a conventional nuclear installation, the equipment is installed during the fourth year of the entire instrumentation and control activity, whereas with the present invention, equipment can be installed during the second or third year. With further reference to FIG. 2, the process component control system and the engineered safety features component control system 56 use programmable logic controllers similar to the Modicon equipment mentioned above including input and output multiplexors and associated wires and cabling, all of which can be shipped to the plant before the plant specific logic and algorithms have been developed. This equipment is fault tolerant. The data processing system 70 uses redundant plant main frame computers, along with modular software and hardware and associated data links. Such hardware can be delivered and the modular software that is specific to the plant installed, just prior to integration and system testing. The DIAS 72 also uses input/output multiplexors and a fault tolerant arrangement, with programmable logic processors or mini-computers, with the same advantages as described with respect to the process control and engineered safety features control systems. APPENDIX Detailed Examples of Validation Algorithm This Appendix describes the details of the generic validation and display algorithm implemented in the DPS and DIAS. ______________________________________ Definition of Terms Used in Discussion ______________________________________ PAMI - Post Accident Monitoring Instrumentation. Instrument - The performance accuracy of a sensor and its Uncertainty transmitter (i.e., if accuracy is .+-.1%, the instrument uncertainly is 2%). Expected Process - The difference in temperature (or other Variation unit of measurement) between sensors measuring the same process parameter due to expected variation in the the process temperature (or other unit of measurement) at different sensor locations. Calculated Signal - A single signal that the algorithm calculates to represent all sensors measuring the same parameter. Process - A single signal that is output for displays Representation and alarms where a single value is needed as opposed to multiple sensor values. The "process representation" will always be the "calculatad signal" unless a failure has occurred. After a failure it may be the output of a single sensor selected by the operator or algorithm. Valid - A "calculated signal" that has been verified to be accurate by successfully deviation checking all of its inputs with their average. Valid PAMI - A "valid" "process representation" that deviation checks successfully against the "PAMI" sensors. Validation Fault - A failure of the validation and display algorithm to calculate a "Valid" "Calculated Signal". PAMI Fault - A failure of the "Calculated Signal" to deviation check successfully against the "PAMI" sensors. Fault Select - The "calculated signal" that is the output of the sensor closest to the last "valid" signal at the time validation initially failed. Operator Select - A "process representation" that is the output of the sensor that the operator has selected after a "PAMI Fault" or a "Validation Fault". Good - A label given to a sensor that deviation checks successfully against the "Operator Select" or "Valid" "Process Representation". Bad - A label given to a sensor that fails to deviation check successfully against the "Valid" "Process Representation". Suspect - A label given to the "good" sensor that deviates the most from the average "calculated signal" when any deviation check fails. "Validation Fault - The permissive that allows the operator to Operator Select select an individual sensor as the "Process Permissive" Representation" when the algorithm is unable to calculate a "valid" signal. "PAMI Fault - The permissive that allows the operator to Operator Select select an individual sensor as the "Process Permissive Representation" when the "valid" "calculated signal" does not deviation check successfully against "PAMI" indication. ______________________________________ Validation and Display Algorithm The sensor inputs (A, B, C, D) are all read and stored at the time the algorithm begins. The algorithm uses these stored inputs to perform all steps (1-10), which comprise a scan. When the algorithm is repeated (after step 10), the sensor inputs are read and stored again, for use on the new scan. Determination of "Calculated Signal" and Faults (Steps 1,2,3,4,5) Validation Attempt (Steps 1, 2, 3) 1. The algorithm checks to see if there are 2 or more "good" sensors. 2. The algorithm averages all "good" sensors (A,B,C,D). Go to step 3. 3. Deviation check all good sensors against the average (within sum of 1/2 instrument uncertainty and expected process variation). If the first pass, the algorithm is repeated, beginning at step 1. PA4 Note: If the deviation check fails on the first pass, the algorithm has used one or more bad sensors to calculate the average. Performing a second pass eliminates the one bad sensor or determines that multiple sensors are bad. PA3 If it is the second pass validation fails, go to step 5. PA4 Note: Failing to pass the deviation check on the second pass indicates that there are two or more simultaneous sensor failures. The algorithm cannot be sure to correctly eliminate only the bad sensors, therefore the algorithm must fail. This insures that the algorithm does not calculate a incorrect "valid" signal for this case. Normally without two or more simultaneous failures, the algorithm will detect multiple non-simultaneous deviations, sequentially eliminate them from the algorithm and still determine a "valid" signal. PA3 a. Generate a "Validation Fault" alarm PA3 b. Declare all "suspect" sensors "good". PA4 Note: This step insures that the algorithm will attempt to validate using all sensors not previously determined "bad" on the next validation attempt. PA3 e. Enable the permissive for the operator to select an individual sensor output for "process representation", the ("Validation Fault Operator Select Permissive"). PA3 d. Deviation check all sensors against the last "valid" signal. Select the sensor that deviates the least from the last "valid" signal as the "fault select" sensor. PA3 e. Output the signal from the "fault select" sensor as the "calculated signal". PA3 f. Go to step 6. PA3 a. Remove "bad" data flags and make them "good" on all sensors passing the deviation check, if present and clear its associated sensor deviation alarm. PA3 b. Maintain "bad" data flags on all sensors failing the deviation check. PA3 c. Go to step 10. PA3 1. Different numbers of sensors PA3 2. Multiple sensors ranges PA3 3. Data reduction in related process measurements. PA3 Note: This feature allows the operator to select another sensor for the cold leg "process representation" when the algorithm's "valid" output does not correlate with postaccident monitoring indication (sensor c). PA3 Yes, output average as "valid", go to step 5. PA3 No, go to step 3. PA3 Yes, go to step 4. PA3 No, output the average as "fault select", go to step 5. PA3 Yes, output the average as "fault select", go to step 5. PA3 No, output the average as "operator select", go to step 5. PA3 If the deviation checks are satisfactory, clear the "T.sub.c Cold Leg (1A/1B or 2A/2B) Temp Deviation" alarm, if present, go to step 6. PA3 If either deviation check is unsatisfactory, generate the "T.sub.c Cold Leg (1A/1B or 2A/2B) Temp Deviation" alarm, go to step 6. PA3 Yes, output the average as narrow range, go to step 7. PA3 No, output the average as wide range, go to step 7. PA3 If either or both are out-of-range, output this T.sub.c loop "process representation" signal with the message "out-of-range", go to step 8. PA3 If both are in-range, this T.sub.c loop "process representation" is not output with the message, "out-of-range", go to step 8. PA3 Yes, output the "PAMI" message with the loop (1 or 2) T.sub.c "process representation", the loop T.sub.c algorithm is repeated, go to step 1. PA3 No, do not output the "PAMI" message with the loop (1 or 2) T.sub.c "process representation", the loop T.sub.c algorithm is repeated, go to step 1. PA3 If the first pass, the algorithm is repeated, beginning at step 1. PA4 Note: If the deviation check fails on the first pass, the algorithm has used one or more bad sensors to calculate the average. Performing a second pass eliminates the one bad sensor or determines that multiple sensors are bad. PA3 If it is the second pass, the (1500-2500) range validation fails, go to step 5 to attempt 0-1600 psig range validation. PA4 Note; Failing to pass the deviation check on the second pass indicates that there ar two or more simultaneous (1500-2500) range sensor failures. The algorithm cannot be sure to correctly eliminate only the bad sensors, therefore the (1500-2500) range validation must fail. The 0-1600 psig range validation is attempted. This insures that the algorithm does not calculate an incorrect signal for this case. Normally without two or more simultaneous failures, the algorithm will detect multiple non-simultaneous deviations, sequentially eliminate them from the algorithm and still determine a "valid" signal. PA3 If the first pass, the 0-1600 psig range algorithm is repeated, beginning at step 5. PA4 Note: If the deviation check fails on the first pass, the algorithm has used one or more bad sensors to calculate the average. Performing a second pass eliminates the one bad sensor or determines that multiple sensors are bad. PA3 If it is the second pass, the 0-1600 psig range validation fails, go to step 9 to attempt 0-4000 psig range validation. PA4 Note: Failing to pass the deviation check ion the second pass indicates that there are two or more simultaneous 0-1600 psig range sensor failures. The algorithm cannot be sure to correctly eliminate only the bad sensors, therefore the 0-1600 psig range validation must fail. The 0-4000 psig range validation is attempted. This insures that the algorithm does not calculate an incorrect signal for this case. Normally without two or more simultaneous failures, the algorithm will detect multiple non-simultaneous deviations, sequentially eliminate them from the algorithm and still determine a "valid" signal. PA3 a. Output the "PAMI" message, if not previously present. PA3 b. Remove the "PAMI Fault Operator Select Permissive", if previously present. PA3 c. Go to step 14. PA3 Note: The (0-4000 psig) wide range sensors (K and L) are not located on the pressurizer, as are the other pressure sensors. The K and L sensors are positioned at the discharge of the reactor coolant pumps (RCPs) where they measure RCS pressure. During normal operation the pressure at this location is much higher (approximately 110 psi for a System 80 plant) than at the pressurizer, where sensors (A, B, C, D, E, F, G, H, I and J) are located. An additional deviation acceptance criteria (called instrument position constant) will be sued when deviation checks are made with or against the K and L (0-4000 psig range) sensors. Valid--PAMI Check (Step 4) 4. (Step applicable if process has a Category 1 PAMI Sensor. If there is no PAMI sensor(s) in this process, the step is not performed, go to step 6. Does the "valid" signal deviation check against the PAMI sensor(s) Failed Validation (Step 5) 5. The algorithm checks to see if the "calculated signal" on the previous scan was a "Fault Select" sensor. "Process Representation" Selection (Steps 6, 7) 6. The algorithm checks to see if there is either the "Validation Fault Operator Select Permissive" or the "PAMI Fault Operator Select Permissive". 7. Check to see if the operator has selected a sensor as the "process representation". Yes, output the signal from the selected sensor as the "process representation", go to step 8. No, output the "calculated signal" as the "process representation", go to step 9. PAMI Check of "Operator Select" Sensor (Step 8) 8. Does the "operator select" sensor deviation check against the PAMI sensor (within sum of PAMI instrument uncertainty and expected process variation). Yes, output the "PAMI" message on the "process representation" display. No, remove the "PAMI" message on the "process representation" display. Bad Sensor Evaluation (Step 9) 9. Is the "process representation" "valid" or "operator select". Range Check (Step 10) 10. The algorithm checks to see if the "process representation", is at or above the maximum numerical range, or at or below the minimum numerical range for the sensors. T.sub.cold Validation Algorithm (FIG. 37) There are 12 sensors used to measure cold leg temperatures in the RCS. During most operational sequences, the operator is looking for a single "process representation" of all cold leg temperatures in the RCS. This value will be provided in the DIAS with a display labeled "RCS T.sub.cold ". For consistency, this value, which is determined by DIAS, is also used on the Integrated Process Status Overview (IPSO) board. To insure reliability, DPS compares DIAS's RCS T.sub.cold "process representation" with its own RCS T.sub.cold and alarms any deviations (DPS/DIAS RCS T.sub.c Calculation Deviation). A three step validation algorithm is used to determine this value: 1. Determine a "process representation" temperature in each of the 4 cold legs (1A, 1B, 2A, 2B) through a combination of deviation checking and averaging (the details are described later). 2. From the results in step 1, determine a T.sub.cold "process representation" for each RCS loop (loop 1 and loop 2) by averaging the corresponding A, B data. 3. From the results in step 2, determine a RCS T.sub.cold (process representation" for normal display and alarms by averaging loop 1 and 2 data. The three step process determines "valid" "process representation" temperatures for cold legs 1A, 1B, 2A and 2B, cold loop 1 and 2 and RCS T.sub.c. for situations when a "valid" cold leg "process representation" temperature cannot be calculated the algorithm will select the sensor closest to the last "valid" signal as the "fault select" "process representation" temperature. This automatic fault selection insures a continuous output of the RCS T.sub.cold "process representation" for display and alarms. After a failure the operator may select an individual sensor for that cold leg (1A, 1B, 2A, 2B) "process representation". This selection will allow calculation of loop 1, loop 2 and RCS T.sub.cold "process representation", with "operator select" data. The following section describes the algorithm and display processing on the DIAS and CRT displays. 1. The leg 1A, 1B, 2A, 2B, loop 1, 2 and RCS T.sub.cold "process representation" shall always be displayed on the applicable DIAS display and/or CRT page(s) where a single "process representation" is needed as opposed to multiple sensor values. 2. The T.sub.cold algorithm and display processing is identical to the generic validation algorithm with the following modifications: 3. Using a menu (as described in the generic validation algorithm) on DIAS or the CRT the operator may view any of the 12 sensor values or 7 "calculated signals". These selections include the following: ______________________________________ T-112CA/122CA 465-615.degree. F. T.sub.cold Loop 1A/2A T-112CB/122CB 465-615.degree. F. T.sub.cold Loop 1B/2B T-112CC/122CC 465-615.degree. F. T.sub.cold Loop 1A/2A T-112CD/122CD 465-615.degree. F. T.sub.cold Loop 1B/2B T-111CA/111CB/ 50-750.degree. F. T.sub.cold Loop 1A/1B/2A/2B, 123CA/123CB PAMI Loop 1A Tc Calculated Signal Loop 1B Tc Calculated Signal Loop 2A Tc Calculated Signal Loop 2B Tc Calculated Signal Loop 1 Tc Calculated Signal Loop 2 Tc Calculated Signal RCS Tc Calculated Signal ______________________________________ Validation Algorithms Note: To simplify the discussion of sensor tag numbers, the following letters will be used to designate sensors in a cold leg. The algorithms described below are calculated and displayed independently by both DPS and DIAS. Method to Determine Cold Leg 1A, 1B, 2A, or 2B T.sub.cold "Process Representation" The determination of the Cold Leg "Process Representation" will be performed in four parts: 1. Determination of "calculated signal" and faults, as described below (steps 1-8): Cold Leg (1A, 1B, 2A or 2B Validation and Display Algorithm Determination of "Calculated Signal" and Faults (Steps 1-8) Narrow Range Validation Attempt (Steps 1-5) 1. The algorithm checks to see if there two "good" narrow range sensors (A and B). 2. The algorithm averages A and B, go to step 3. 3. Deviation check both "good" narrow range sensors (A and B) against the average (within sum of 1/2 narrow range uncertainty and expected process variation) Range Selection (Step 4) 4. The algorithm checks to see if the average or selected narrow range sensor is in-range. 5. The algorithm deviation checks narrow range sensors (A and B) against sensor C (within sum of wide range instrument uncertainty and expected process variation). Valid PAMI Check (Step 6) 6. The algorithm checks to see if the "valid" average or selected sensor deviation checks satisfactorily against the PAMI sensor (C). (Within sum of 1/2 wide range uncertainty and expected process variation). Wide Range Validation Attempt (Step 7) 7. Deviation check C against D (within sum of wide range instrument uncertainty and expected process validation). Failed Validation (Step 8) 8. The algorithm checks to see if the "calculated signal" on the previous scan was a "fault select" sensor. T.sub.c Leg (A or B) "Process Representation" Selection (Steps 9, 10) 9. Step 9 is identical to step 6 of the generic validation algorithm. 10. Step 10 is identical to step 7 of the generic validation algorithm except for the following. The operator may select any sensor A, B or C form that cold leg or A, B, C from the opposite cold leg (A or B) as the "process representation". PAMI Check of "Operator Select" Sensor (Step 11) 11. This step is identical to step 8 of the generic validation algorithm. Bad Sensor Evaluation (Step 12) 12. This step is identical to step 9 of the generic validation algorithm except that wide range instrument uncertainties are used on all deviation checks except when narrow range sensors are being deviation checked against a narrow range signal, in this case narrow range instrument certainties will be used. Range Check (Step 13) 13. This step is identical to step 10 of the generic validation algorithm. Method to Determine Loop 1 and 2 T.sub.cold "Process Representation" The loop 1 and 2 T.sub.c "process representation" will be calculated by averaging the "process representation" from the A and B cold legs (1A and 1B for loop 1), (2A and 2B for loop 2). Method to Determine RCS T.sub.cold The RCS T.sub.cold "process representation" will be calculated by averaging the "process representation" inputs from loop 1 and 2 T.sub.cold. 5. The algorithm checks to see if signal 1 or 2 is "fault select". Range Check 6. This step is identical to step 10 of the generic validation algorithm. Go to step 1 and repeat the algorithm. Pressurizer Pressure Validation Algorithm (FIG. 38) There are 12 sensors used to measure pressurizer and RCS pressure. During most operational sequences, the operator is looking for a single "process representation" of all pressurizer/RCS pressure readings. This value will be provided in DIAS with a display labeled "PRESS". For consistency, this value, which is determined by DIAS, is also used on the IPSO board. To insure reliability, DPS compares DIAS's Press "process representation" with its own Press "process representation" and alarms any deviations (DPS/DIAS Press Calculation Deviation). The algorithm determines a "valid" "process representation" for pressurizer/RCS pressure. For situations when a "valid" pressure "process representation" cannot be calculated, the algorithm will select the sensor closest to the last "valid" signal as the "fault select" "process representation" pressure. This automatic fault selection insures continuous output of the pressurizer/RCS "process representation" pressure for displays and alarms. After a failure the operator may select an individual sensor for the pressure "process representation" as the "fault select" "process representation". The following section describes the algorithm and display processing on the DIAS and CRT displays. 1. The "process representation" pressure shall always be displayed on the applicable DIAS display and/or the CRT page(s) where a single "process representation" is needed as opposed to multiple sensor values. 2. The pressure algorithm and display processing is identical to the generic validation algorithm with the following modifications: 3. Using a menu (as described in the generic validation algorithm) the operator may view any of the 12 sensors values or single "calculated signal". These selections include the following: ______________________________________ P-103, 104, 105, 106 0-1600 psig Pressurizer Pressure P-101A, 1O1B, 101C, 1500-2500 psig Pressurizer Pressure 1010, 100X, 100Y P-190A, 190B 0-4000 psig RCS Pressure, PAMI CALC PRESS Calculated Signal ______________________________________ Validation Algorithm To simplify the discussion of sensor tag numbers, the following letters will be used to designate pressure sensors: The algorithm described below is calculated and displayed independently by both DPS and DIAS. The pressurizer pressure "calculated signal" will be calculated using sensors A, B, C, D, E, F, G, H, I, J, K and L. An attempt will be made to use the narrow 1600-2500 psig range sensors (A, B, C, D, E and F) (pressure is normally in this range). If pressure is outside the 1500-2500 psig range, the 0-1600 psig range sensors (G, H, I and J) will be used. If pressure cannot be calculated using these sensors, the 0-4000 psig range sensors (K and L) will be used. In the event that the validation fails all of these three ranges, the algorithm will select the sensor closest to the last "valid" signal as the "fault select" "calculated signal". This "fault select" "calculated signal" will be used as the "process representation" until the operator selects an "operator select" sensor to replace it or the algorithm is able to validate data. Pressurizer Pressure Validation and Display Algorithm Determination of Calculated Signal and Faults (Steps 1-13) 1500-2500 Psig Range Validation Attempt (Steps 1-4) 1. The algorithm checks to see if there are 2 or more "good" (1500-2500 psig narrow range) sensors. 2. The algorithm averages all "good" (1500-2500) range sensors (A, B, C, D, E and F). Go to step 3. 3. Deviation check all "good" (1500-2500) range sensors against the average (within sum of 1/2 narrow range uncertainty and expected process variation). Range Selection (Step 4) 4. The algorithm checks to see if the average is in-range. 0-1600 psig Range Validation Attempt (Steps 5-8) 5. The algorithm checks to see if there are 2 or more "good" 0-1600 psig range sensors (G, H, I and J). 6. The algorithm averages all "good" 0-1600 psig range sensors (G, H, I and J). Go to step 7. 7. Deviation check all "good" 0-1600 psig range sensors against the average (within sum of 1/2 of the 0-1600 psig range uncertainty and expected process variation). Range Selection (Step 8) 8. The algorithm checks to see if the average is in-range. 0-4000 Psig Range Validation Attempt (Steps 9, 10, 11) 9. The algorithm checks to see if both of the 0-4000 psig range sensors (K and L) are "good". 10. The algorithm averages K and L, the 0-4000 psig range sensors. Go to step 11. 11. Deviation check K and L against the average (within sum of 1/2 0-4000 psig range uncertainty and expected process variation). Valid-PAMI Check (Step 12) 12. Does the "valid" "calculated signal" deviation check against the PAMI sensors. Use method a if the "valid" "calculated signal" is in the 1500-2500 psig or 0-1600 psig range, and method b if in the 0-4000 psig range. Failed Validation (Step 13) 13. The algorithm checks to see if the "calculated signal" output of the previous scan was a "fault select" sensor. Pressurizer Pressure "Process Representation" Selection (Steps 14, 15) 14. Step 14 is identical to step 6 of the generic validation algorithm. 15. Step 15 is identical to step 7 of the generic validation algorithm. PAMI Check of "Operator Select" Sensor (Step 16) 16. Step 16 is identical to step 8 of the generic validation, except that the deviation criteria are the same as those specified in step 12 of this pressurizer pressure validation and display algorithm. Bad Sensor Evaluation (Step 17) 17. This step is identical to step 9 of the generic validation algorithm, except that the deviation criteria checks are the same as those specified in step 12 of this pressurizer pressure validation and display algorithm. Range Check (Step 18) 18. The algorithm checks to see if the "process representation" is at or above the maximum numerical range (1600 psig for the 0-1600 psig sensors, 2500 psig for the 1500-2500 psig sensors and 4000 psig for the 0-4000 psig sensors) or at or below the minimum numerical range (0 psig for the 0-1600 psig and 15-4000 psig sensors and 1500 psig for the 1500-2500 psig sensors). Yes, Output the message "Out-of-Range" along with the "process representation" signal. On the CRT place an asterisk (*) preceding the "process representation". Go to step 1 and repeat the algorithm. No, go to step 1 and repeat the algorithm. |
061817732 | claims | 1. A radiation anti-scatter device comprising: a grid having a plurality of radiation absorbing elements, a grid path comprising a start grid position at a first end of said path and a finish grid position at a second end of said path; and a grid driver connected to said grid for moving said grid during an operating cycle from said start position to said finish grid position in a single unidirectional stroke at a variable speed along said path. a grid having a plurality of radiation absorbing elements, and a grid driver connected to said grid for moving said grid in a single unidirectional stroke at a variable speed between a starting and an end position, wherein said variable speed comprises a velocity profile and wherein the velocity profile comprises a first velocity component V.sub.1 =K.sub.1 t for a first period and a second velocity component V.sub.2 =K.sub.2 t.sup.-m for a second period, where K.sub.1 and K.sub.2 are constants and m is greater than zero and equal to or less than one. a source of penetrative radiation for emitting on command a radiation beam along a path; a radiation detector positioned in the beam path for receiving said radiation, said detector comprising an array of radiation sensors aligned in a first direction; and a movable radiation anti-scatter grid assembly positioned between said radiation source and said detector, said grid assembly comprising: A. first accelerating said grid to a first velocity; B. beginning asymptotically decelerating said grid from said first velocity toward a final velocity; and C. causing said radiation exposure source to emit radiation only after the onset of step "B". 2. The radiation anti-scatter device according to claim 1, wherein said variable speed comprises a velocity profile having a decreasing velocity component. 3. The radiation anti-scatter device according to claim 2, wherein said velocity profile also comprises an increasing velocity component. 4. The radiation anti-scatter device according to claim 2 wherein the velocity profile comprises V=K.sub.2 t.sup.-m, where V is the grid velocity, K.sub.2 is a constant, t is time and m is an exponent having a value greater than 0. 5. A radiation anti-scatter device comprising: 6. A direct radiographic diagnostic imaging system comprising: 7. The system of claim 6 wherein said angle is 90 degrees. 8. The system of claim 7 wherein said grid traverses said detector in the first direction. 9. The system of claim 6 wherein said angle is an acute angle. 10. The system of claim 9 wherein said grid traverses said detector in a direction substantially perpendicular to said second direction. 11. The system of claim 6 wherein said velocity profile comprises V.sub.1 =K.sub.1 t for a first period and then V.sub.2 =K.sub.2 t.sup.-m for a second period, where V.sub.1 and V.sub.2 are velocity, K.sub.1 and K.sub.2 are constants, t is time, and m is an exponent having a value greater than 0. 12. The system of claim 8 further comprising a controller adapted to synchronize emission of said radiation beam with movement of said grid. 13. A method for reducing Moire patterns in a radiation detection system comprising a detector having an array of discreet sensors aligned along a first direction, a radiation exposure source, and an anti-scatter grid assembly located between said detector and said source, said method comprising traversing said grid across said detector once in a single unidirectional stroke with a variable velocity profile. 14. The method according to claim 13 wherein said velocity profile decreases asymptotically to zero. 15. A method for reducing Moire patterns in a radiation detection system comprising a detector having an array of discreet sensors aligned along a first direction, a radiation exposure source, and an anti-scatter grid assembly located between said detector and said source, said method comprising traversing said grid across said detector once in a single unidirectional stroke wherein the step of traversing said grid comprises: 16. The method according to claim 15 wherein said accelerating step comprises accelerating the grid at a velocity profile V.sub.1 =K.sub.1 t decelerating the grid at a velocity profile V.sub.2 =K.sub.2 t.sup.-m, where K.sub.1 and K.sub.2 are constants and m is greater than zero. 17. The method according to claim 16 wherein the accelerating step has a duration t.sub.1 of between about 0.001 and 0.5 seconds and the decelerating step has a duration t.sub.2 less than or equal to 2 seconds. |
description | The present application hereby claims priority under 35 U.S.C. §119 on German patent application number DE 10 2009 019 595.5 filed Apr. 30, 2009, the entire contents of which are hereby incorporated herein by reference. At least one embodiment of the invention generally relates to a grating with a large aspect ratio. In particular, at least one embodiment of the invention relates to a grating with a large aspect ratio to be used as an X-ray optical grating in a CT system, produced by a lithography method, having a multiplicity of recurring alternating grating webs and grating gaps with a height, and a multiplicity of filler beams, respectively arranged in the grating gaps with a spacing from one another in the direction of the gaps, which beams connect respectively adjacent grating webs over their height, wherein the grating webs and the grating gaps run from a first to a second side of the grating, and a filler beam has a width in the direction of the gaps and this width is at most 10% of the spacing between two adjacent filler beams. Gratings with a large aspect ratio, that is to say a large ratio between the structure height and the width of the grating gaps, are known. Herein, a large aspect ratio is understood to mean a value greater than 10. However, it should be noted that gratings with an aspect ratio of up to 50 are also known. Given a prescribed grating width, a large aspect ratio requires, in particular, a large structure height, that is to say grating gaps that are as deep as possible. Such gratings are used in e.g. phase-contrast X-ray imaging for determining the phase-shift of an X-ray beam as it passes through an examination object. Such gratings are usually produced by way of a lithography method, in particular by means of the so-called LIGA method. This method is particularly suitable for producing gratings with large structure heights—up to 3 mm—and the smallest possible lateral dimensions—up to 0.2 μm. This allows the realization of large aspect ratios. Herein, a radiation-sensitive layer, the resist, is illuminated using the shadow cast by a working mask, as a result of which an exact image of the working mask is transferred into the resist. This primary structure represents an exact negative impression of the subsequent grating. Subsequently, the irradiated regions are removed by chemical means. By way of example, a plastic such as PMMA or a negative resist such as SU-8 can be used as a resist. During the irradiation by energetic and parallel X-ray radiation in particular, very high structures with almost perpendicular and very smooth sidewalls can be produced compared to irradiation by UV radiation, and this is useful for the required high aspect ratio. If these primary structures are generated on a metallic start layer, the structures exposed after the development process can be filled by means of electroplating with different metals or alloys forming the actual grating. After the growth of the grating material, the primary structure is removed and merely the grating structures remain. If the grating material is grown significantly over the structure height of the resist, an interconnected, stable plate is obtained—the grating base—and it supports the grating structures. Overall, a multiplicity of different metals, alloys, ceramics and plastics can be used as grating materials in this method. The publication “Phase retrieval and differential phase-contrast imaging with low-brilliance X-ray sources” by F. Pfeiffer et al., in Nature Physics (2006) advanced online publication, describes the options for phase-contrast X-ray imaging using an incoherent X-ray source. In order to implement these imaging systems, the production of grating structures with a recurrence in the region of 2 μm and structure heights of at least 100 μm is necessary. These requirements in respect of the height of the absorbing grating structures and the mechanical stability thereof currently present large production-technical problems. The publication “Fabrication of, high aspect ratio submicron gratings by soft X-ray SU-8 lithography” by E. Reznikova et al., in Micro. Syst. Techn. (2008), describes a method by means of which gratings can be produced with an aspect ratio of greater than 50. Here, the production of virtually defect-free grating structures with a width of up to 1.2 μm and a height of 60 μm was demonstrated. Here, the grating structures consist of the recurring alternating grating webs and grating gaps, wherein a plurality of filler beams are respectively arranged in the grating gaps in order to stabilize the grating webs. Here, the spacing between adjacent filler beams in a grating gap is irregular. This stabilization by the filler beams is required particularly in the high grating structures required for using the gratings in phase-contrast X-ray imaging because the influence of the surface effects, i.e. the capillary forces, acting in the grating gaps to the left and right of a grating web increase strongly as the height increases. However, this article also describes that structure heights of more than 60 μm lead to bending of the grating webs. As a result of the irregular spacings between the filler beams, capillary forces of different strengths act in the grating gaps. This non-equilibrium of forces leads to a bending of the grating webs between two filler beams. Accordingly, this bending then limits the aspect ratio and such mechanically unstable or bent gratings cannot simply be used in phase-contrast examinations. At least one embodiment of the invention improves the grating for the absorption of X-ray radiation known per se further such that it can also be produced with a high aspect ratio or large structure heights in a mechanically stable fashion, for example in order to be suitable for use in phase-contrast X-ray imaging. The inventors have recognized that the influence of the capillary forces acting in the grating gaps on the adjacent grating webs is dependent on the spacing between two filler beams. Here, a large spacing means a strong influence of the capillary forces due to reducing mechanical stability and a small spacing correspondingly means a weaker influence of the capillary forces. Accordingly, uniform spacing of the filler beams allows a force equilibrium to be set up on both sides of the grating webs and so the capillary forces to the left and the right of a grating web cancel one another. It follows that this can avoid bending of the grating webs up to a certain spacing of the filler beams depending on the width and height of the grating webs. In this respect, it is possible to select the spacing as a function of the height of the grating structures and the recurrence of the grating when the grating beams have the same spacing. Structures designed in this fashion can realize the desired gratings for phase-contrast X-ray imaging. However, within the scope of procedural accuracies, the spacings between the filler beams considered equal can vary by up to 2 μm. In the case of a very accurate production method, these tolerances can also lie below 0.5 μm. In order to prevent a second transverse grating from being formed in the case of uniformly spaced filler beams due to the arrangement of the filler beams in the perpendicular direction to the grating webs, the arrangement of the filler beams in each grating gap can be random, with the spacing condition naturally still having to be satisfied. In order to avoid undesired absorption of the X-ray radiation in the filler beams that is too high, the width of the latter is limited in the direction of the gaps. 3 μm is a recommended value for this. According to this basic idea, in at least one embodiment of the invention the inventors propose to improve a grating with a large aspect ratio, in particular to be used as an X-ray optical grating in a CT system, produced by a lithography method, having a multiplicity of recurring alternating grating webs and grating gaps with a height, and a multiplicity of filler beams, respectively arranged in the grating gaps with a spacing from one another in the direction of the gaps, which beams connect respectively adjacent grating webs over their height, wherein the grating webs and the grating gaps run from a first to a second side of the grating, and a filler beam has a width in the direction of the gaps and this width is at most 10% of the spacing between two adjacent filler beams, to the effect that the spacings between respective adjacent filler beams in a grating gap do not vary by more than 10% in the entire grating. Advantageously, the spacings between the respective adjacent filler beams in a grating gap are the same in the entire grating, with this lying within the scope of procedural accuracy. Depending on the accuracy of the production process, the spacings can vary between 0.5 μm and 2 μm. According to an advantageous embodiment of the grating according to the invention, the spacing between two filler beams arranged adjacently in a grating gap can be selected as a function of the height of the grating webs and grating gaps and the recurrence of the grating. This is represented by the following geometric condition: l = k h · ( p 2 ) 3 ,where l [μm] characterizes the spacing between the filler beams, h [μm] characterizes the height of the grating webs and grating gaps, p [μm] characterizes the recurrence of the grating and k characterizes a constant. This constant k can preferably be less than 5000 μm−1, and more preferably lie between 750 μm−1 and 2500 μm−1. In a further advantageous embodiment of the grating according to the invention, the filler beams can be positioned randomly in a grating gap, maintaining the spacing condition in each case, in order to avoid a second grating forming across the grating webs. In the process, in each grating gap there is a first filler beam adjacent to the first side of the grating, wherein this first filler beam has a certain spacing from the first side of the grating. Advantageously, this spacing varies within a determined interval for each grating gap. This interval can be selected as a function of the spacing between two adjacent filler beams. In the process,(l−5 μm)≧x≧5 μmholds true, where 1 is the spacing between two adjacent filler beams and x is the spacing of the first filler beam from the first side of the grating. The width of a filler beam, that is to say the extent thereof in the direction of the gaps, can preferably furthermore be limited in order to limit the absorption of the X-ray radiation in the filler beams and thus maintain the effectiveness of the grating. In doing so, an upper limit of 3 μm was found to be expedient for the width of the filler beams. A further advantageous embodiment of the filler beams in the grating according to the invention provides for respectively the first and the last filler beam, that is to say the outer filler beams, to be extended in a grating gap to the extent that they completely fill the distance to the edge of the grating if the latter is smaller than a predetermined value, wherein this value lies between 5 and 10 μm. Since a negative impression is created first during the production of the grating, the provision of the above can prevent the generation of very delicate negative shapes at the edges, which shapes are unstable and can bend very easily. In the production of the grating according to at least one embodiment of the invention, a primary structure is generated first with the aid of a lithography method and the grating is produced subsequently as a negative impression of this primary structure. The lithography method is preferably an X-ray lithography method. These methods are particularly well suited to the production of gratings with large aspect ratios and grating structures, which are as fine and as deep as possible and are necessary for the use of such gratings in phase-contrast X-ray imaging. Furthermore, the actual grating can be produced by electrodeposition of the grating material in the primary structure, wherein the primary structure is advantageously formed from a negative resist, in particular SU-8. Additionally, the grating can be arranged on a grating base during the production, which base is created for example by electroplating the primary structure with the grating material or a different material and it stabilizes the grating structures. As an alternative, the grating can also be arranged on a foil after the production for stabilization purposes. Moreover, at least one embodiment of the invention relates to a CT system having at least one grating, which is designed as per the features described above. Various example embodiments will now be described more fully with reference to the accompanying drawings in which only some example embodiments are shown. Specific structural and functional details disclosed herein are merely representative for purposes of describing example embodiments. The present invention, however, may be embodied in many alternate forms and should not be construed as limited to only the example embodiments set forth herein. Accordingly, while example embodiments of the invention are capable of various modifications and alternative forms, embodiments thereof are shown by way of example in the drawings and will herein be described in detail. It should be understood, however, that there is no intent to limit example embodiments of the present invention to the particular forms disclosed. On the contrary, example embodiments are to cover all modifications, equivalents, and alternatives falling within the scope of the invention. Like numbers refer to like elements throughout the description of the figures. It will be understood that, although the terms first, second, etc. may be used herein to describe various elements, these elements should not be limited by these terms. These terms are only used to distinguish one element from another. For example, a first element could be termed a second element, and, similarly, a second element could be termed a first element, without departing from the scope of example embodiments of the present invention. As used herein, the term “and/or,” includes any and all combinations of one or more of the associated listed items. It will be understood that when an element is referred to as being “connected,” or “coupled,” to another element, it can be directly connected or coupled to the other element or intervening elements may be present. In contrast, when an element is referred to as being “directly connected,” or “directly coupled,” to another element, there are no intervening elements present. Other words used to describe the relationship between elements should be interpreted in a like fashion (e.g., “between,” versus “directly between,” “adjacent,” versus “directly adjacent,” etc.). The terminology used herein is for the purpose of describing particular embodiments only and is not intended to be limiting of example embodiments of the invention. As used herein, the singular forms “a,” “an,” and “the,” are intended to include the plural forms as well, unless the context clearly indicates otherwise. As used herein, the terms “and/or” and “at least one of” include any and all combinations of one or more of the associated listed items. It will be further understood that the terms “comprises,” “comprising,” “includes,” and/or “including,” when used herein, specify the presence of stated features, integers, steps, operations, elements, and/or components, but do not preclude the presence or addition of one or more other features, integers, steps, operations, elements, components, and/or groups thereof. It should also be noted that in some alternative implementations, the functions/acts noted may occur out of the order noted in the figures. For example, two figures shown in succession may in fact be executed substantially concurrently or may sometimes be executed in the reverse order, depending upon the functionality/acts involved. Spatially relative terms, such as “beneath”, “below”, “lower”, “above”, “upper”, and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. It will be understood that the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. For example, if the device in the figures is turned over, elements described as “below” or “beneath” other elements or features would then be oriented “above” the other elements or features. Thus, term such as “below” can encompass both an orientation of above and below. The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein are interpreted accordingly. Although the terms first, second, etc. may be used herein to describe various elements, components, regions, layers and/or sections, it should be understood that these elements, components, regions, layers and/or sections should not be limited by these terms. These terms are used only to distinguish one element, component, region, layer, or section from another region, layer, or section. Thus, a first element, component, region, layer, or section discussed below could be termed a second element, component, region, layer, or section without departing from the teachings of the present invention. FIG. 1 shows the side view of a grating with a large height-to-width aspect ratio. Thus, the height h of the grating webs is significantly greater than the width of a grating gap. The recurringly arranged grating webs 1 and grating gaps 2 are interconnected by a grating base 3. This can be seen in the much-enlarged detail A in FIG. 2. Here, the grating base 3 consists of a different material than the grating webs 1. FIG. 3 shows a much-enlarged section of a plan view on a grating as per FIG. 1 with the already known arrangement of filler beams 4 in the grating gaps 2. Herein, there are a plurality of filler beams 4 in each grating gap 2, which beams have an irregular spacing lx between one another. The filler beams 4 connect adjacent grating webs 1 respectively over their entire height. The surface effects are very predominant in the grating gaps 2 due to the very narrow width b and the relatively great height of the structures and so strong capillary forces are prevalent. These forces respectively act in the grating gaps 2 on both sides of a grating web 1. Due to the spacings lx of different length between the filler beams 4, the capillary forces to the left and right of a grating web 1 are not in equilibrium and so said web is bent toward the side of the stronger capillary forces. In this embodiment selected in an exemplary fashion, a total of four bent grating webs 1 are marked by shading. This bending often occurs in the case of grating webs 1 with a length of more than 40 μm and an aspect ratio of more than 50, where the capillary forces become very strong and the mechanical stability of the grating webs 1 no longer suffices. Such deformed grating structures disrupt the regular arrangement and accordingly are not suitable for use, for example in CT systems for phase-contrast X-ray imaging. FIG. 4 shows an embodiment according to the invention of a grating with a large aspect ratio and with a multiplicity of filler beams arranged in the grating gaps. What can be seen here is a much-enlarged plan view. According to an embodiment of the invention, the filler beams are all arranged with a uniform spacing l in the direction of the gaps. Here, the spacing l is limited as a function of the height h of the grating webs and the recurrence p according to the geometric condition l = k h · ( p 2 ) 3 ,where k is a constant. This constant limits the spacing l further. It is preferably less than 5000 μm−1. Furthermore, it can be limited to the interval between 750 μm−1 and 2500 μm−1. Uniform beam spacings ensure that the prevalent capillary forces in the grating gaps to the left and right of the grating webs are in equilibrium and the grating webs are accordingly not bent to one side if they have a corresponding length. In order to prevent the formation of a further grating in the direction perpendicular to the grating gaps, the filler beams are arranged randomly. If, starting from a first side of the grating, a region 4.1 is observed, in which a first filler beam is respectively located in each grating gap, this first filler beam in each case has a spacing x from the first side of the grating. The spacing x in this case lies within the interval (l−5 μm)≧x≧5 μm and can thus vary as a function of the spacing l between adjacent filler beams. In this example embodiment, the first side corresponds to the illustrated lower side of the grating and the first filler beams correspond to the respective lowest filler beams. Here, the filler beams should not exceed a certain width f in the direction of the gaps so that the effect thereof on the absorption properties of the grating is kept as low as possible. For this, an upper limit of 10% of the beam spacing 1 is suitable, preferably at most 3 μm. Nevertheless, it was found to be expedient to extend the outermost filler beams in a grating gap to the edge of the grating, if the spacing thereof from the edge is less than 5 to 10 μm. Such a short edge region of a grating gap is then completely filled in. Appropriately extended filler beams 4.2 can be seen at the lower side of the grating. The filling of very small edge regions by the extended filler beams 4.2 achieves increased stability of the grating during the production because the grating gaps correspond to a negative impression that, if it is too narrow, can likewise become unstable and so the edge regions are formed in an irregular fashion. The features of the grating described herein, that is to say (within procedural accuracy) equal spacings between the filler beams with a random arrangement and limitation of the length of the filler beams, have already been used to produce defect-free gratings with a height of up to 60 μm. FIG. 5 shows a schematic 3D illustration of a focus/detector system of a CT system, with a patient P situated in the beam path as an observation object. The focus F and the detector D are arranged on a gantry (not illustrated in any more detail here) and move around the system axis S in a circular fashion. If, additionally, there is a linear movement of the patient P along the direction of the system axis as the focus/detector system rotates, this results in the spiral scan of the patient P known per se. Three gratings G0, G1 and G2 according to the invention are arranged in the beam path of the focus/detector system, where the first grating G0, which is also referred to as the source grating, is attached in the direct vicinity of the focus F and is penetrated by the X-ray radiation. In the direction of propagation of the X-ray radiation, this is followed by the patient P. In front of the detector D situated on the other side of the system axis S, this is firstly followed by the second grating G1, called the phase grating. Thereafter, this is followed in the radiation direction by the third grating G2, called the analysis grating, which is advantageously arranged directly in front of the detector D. The detector D comprises at least one row with a multiplicity of detector elements. The detector D is preferably designed as a detector with a plurality of rows or as a detector with a multiplicity of rows, wherein said detector is equipped with a multiplicity of detector rows arranged in parallel, each with a multiplicity of detector elements. The connecting lines between the focus F and the individual detector elements during the scan in each case represent an X-ray beam arranged in space, the change in intensity of which is measured by the respective detector element. Here, the three gratings G0, G1 and G2 have the features according to an embodiment of the invention and have a large aspect ratio. Furthermore, they characterize high mechanical stability and so they are suitable for use in a CT system. It is understood that the aforementioned features of the invention can be used not only in the respectively specified combination, but also in other combinations or on their own, without departing from the scope of the invention. The patent claims filed with the application are formulation proposals without prejudice for obtaining more extensive patent protection. The applicant reserves the right to claim even further combinations of features previously disclosed only in the description and/or drawings. The example embodiment or each example embodiment should not be understood as a restriction of the invention. Rather, numerous variations and modifications are possible in the context of the present disclosure, in particular those variants and combinations which can be inferred by the person skilled in the art with regard to achieving the object for example by combination or modification of individual features or elements or method steps that are described in connection with the general or specific part of the description and are contained in the claims and/or the drawings, and, by way of combinable features, lead to a new subject matter or to new method steps or sequences of method steps, including insofar as they concern production, testing and operating methods. References back that are used in dependent claims indicate the further embodiment of the subject matter of the main claim by way of the features of the respective dependent claim; they should not be understood as dispensing with obtaining independent protection of the subject matter for the combinations of features in the referred-back dependent claims. Furthermore, with regard to interpreting the claims, where a feature is concretized in more specific detail in a subordinate claim, it should be assumed that such a restriction is not present in the respective preceding claims. Since the subject matter of the dependent claims in relation to the prior art on the priority date may form separate and independent inventions, the applicant reserves the right to make them the subject matter of independent claims or divisional declarations. They may furthermore also contain independent inventions which have a configuration that is independent of the subject matters of the preceding dependent claims. Further, elements and/or features of different example embodiments may be combined with each other and/or substituted for each other within the scope of this disclosure and appended claims. Example embodiments being thus described, it will be obvious that the same may be varied in many ways. Such variations are not to be regarded as a departure from the spirit and scope of the present invention, and all such modifications as would be obvious to one skilled in the art are intended to be included within the scope of the following claims. |
|
description | The present invention relates to a parallel-beam X-ray diffractometer in which the X-ray diffractometer uses a parallel-beam optical system. Conventionally known is an X-ray diffractometer that uses a Bragg-Brentano parafocusing geometry (i.e., a focusing optical system) in which a divergent beam emitted from an X-ray source is used to perform measurement. This X-ray diffractometer is sometimes called a Bragg-Brentano parafocusing X-ray diffractometer. In addition to this Bragg-Brentano parafocusing X-ray diffractometer, a parallelized X-ray beam may be used for the purpose of making the angle of the X-rays incident on the sample uniform in the measurement of a thin film or other sample. An X-ray diffractometer that uses the parallelized X-ray beam, i.e., a parallel-beam X-ray diffractometer is well-known in recent years. The X-ray source and X-ray detector are disposed on the same goniometer circle in the Bragg-Brentano parafocusing X-ray diffractometer. The X-ray source, sample, and X-ray detector are disposed on a focusing circle. Meanwhile, in a parallel-beam X-ray diffractometer, the X-ray source and X-ray detector are not required to be disposed on the same goniometer circle, and furthermore, the X-ray source, sample, and detector are not required to be disposed on a focusing circle. Examples of a parallel-beam X-ray diffractometer include an in-plane X-ray diffractometer, an in-plane reciprocal-space mapping apparatus, and a GI-WAXS/SAXS apparatus. These apparatuses are devices such as the following. In the present specification, “diffraction” shall include “scattering.” (In-Plane X-Ray Diffractometer) In accordance with X-ray diffraction, the structure of various substances on an atomic level can be investigated. Furthermore, in recent years, there is a need for investigating the structure of a thin film on a nanometer size scale. Measurement that uses total X-ray reflection in order to fulfill this need, is known. When X-rays are incident at a critical angle or less on a sample having a flat surface, total reflection occurs at the surface of the sample. This angle is a low angle, and when CuKα-ray is used, the angle is about 0.22° for Si and about 0.57° for Au. In FIG. 13A, X-rays are reflected at an angle α equal to the angle of incidence α when X-rays R1 are incident at a low angle α near the critical angle on the surface Sa of a sample S. Meanwhile, diffraction occurs on a lattice plane K perpendicular to the sample surface Sa, and the diffracted X-rays exit grazing the sample surface Sa. This diffraction phenomenon is generally referred to as in-plane diffraction. An apparatus for performing measurement in which this in-plane diffraction is detected by an X-ray detector is an in-plane X-ray diffractometer. This in-plane X-ray diffractometer is disclosed in, e.g., Japanese Patent Application Laid-open No. 11-287773. In accordance with this in-plane X-ray diffractometer, diffraction from a lattice plane perpendicular to the surface of a thin film can be directly measured, consequently, the structure near the surface can be directly evaluated, and as a result, a sample can be accurately evaluated. In accordance with this in-plane X-ray diffractometer, the depth to which the incident X-rays R1 penetrate the interior of the sample S is very minimal, as in several nm or less. Consequently, information from the substrate or undercoat can be substantially eliminated in the measurement results of a thin film, and as a result, a clear in-plane X-ray diffraction diagram can be obtained. In the conventional in-plane X-ray diffractometer disclosed in Japanese Patent Application Laid-open No. 11-287773, a parallel slit analyzer (PSA) is provided between the sample and the X-ray detector, and overlapping of diffracted X-rays is prevented by the PSA to realize angular resolution. A clear X-ray diffraction diagram with high resolution is thereby obtained. However, this PSA itself tends to reduce the amount of X-rays considerably. Consequently, there is a problem in that it is difficult to obtain high-intensity in-plane diffracted X-rays in the in-plane X-ray diffractometer disclosed in Japanese Patent Application Laid-open No. 11-287773. (In-Plane Reciprocal-Space Mapping Apparatus) For example, Japanese Patent Application Laid-open No. 11-304729 discloses an in-plane reciprocal-space mapping apparatus. A plan view of the conventional in-plane reciprocal-space mapping apparatus is shown in FIG. 14. In FIG. 14, X-rays R0 emitted from an X-ray source F are incident at a small angle of incidence on the surface Sa of a sample S after having been converted into monochromatic and parallel X-rays R1 by an incidence-side optical system 101. The incident X-rays R1 diffract at the lattice plane perpendicular to the sample surface Sa and become diffracted X-rays R2, proceeding in the almost grazing direction (i.e., in-plane direction) with respect to the sample surface Sa. Among the diffracted X-rays R2, only those at a predetermined diffraction angle are selected by a parallel slit analyzer (PSA) 103 (i.e., after having avoided the overlapping of diffracted X-rays, in other words, after having been endowed with angular resolution), and are thereafter received by an X-ray detector 104. The X-ray detector 104 outputs an electric signal that corresponds to the intensity of the received X-rays. The X-ray detector 104 is a zero-dimensional X-ray detector. When reciprocal-space mapping measurement is to be carried out, the X-ray detector 104 is caused to carry out a [2θχ (theta chi)/ϕ]-scan at individual step angle positions while the sample S is rotated for ϕ-rotation (i.e., in-plane rotation) in a stepwise fashion about the ϕ-axis line (i.e., the line extending in the direction that passes through the sample s and passes through the plane of the drawing of FIG. 14). A [2θχ/ϕ]-scan is an operation such as the following. That is, first, the intensity of the diffracted X-rays is measured with the X-ray detector 104 in an initial angular position. Next, the X-ray detector 104 is slightly rotated (i.e., 2θχ-scan rotation) about the 2θχ-axis line, which is the same axis line as the ϕ-axis line, and in accompaniment therewith, the intensity of the diffracted X-rays is measured by the X-ray detector 104 with the sample S rotated half of 2θχ about the ϕ-axis line (i.e., ϕ-rotation). Thereafter, 2θχ-rotation, and ϕ-rotation in coordination therewith, are executed continuously or a plurality of times in a stepwise fashion, and the intensity of the diffracted X-rays is measured by the X-ray detector 104 in each rotation angle position. In accordance with the foregoing, the intensity information of the diffracted X-rays is acquired in a plurality of positions specified by the plurality of step-angle positions pertaining to the in-plane rotation ϕ and the plurality of angular positions pertaining to the 2θχ/ϕ-scan. This intensity information is plotted on two-dimensional coordinates to thereby obtain an in-plane reciprocal-space mapping diagram. Viewing this in-plane reciprocal-space mapping diagram allows the structure of a crystal plane in a thin film to be accurately ascertained. Nevertheless, in the conventional in-plane reciprocal-space mapping apparatus disclosed in Japanese Patent Application Laid-open No. 11-304729, a parallel slit analyzer (PSA) 103 is provided in FIG. 14 for preventing the overlapping of in-plane diffracted X-rays R2 to realize angular resolution. The PSA tends to reduce the amount of X-rays considerably. Consequently, there is a problem in that it is difficult to obtain high-intensity in-plane diffracted X-rays in the in-plane reciprocal-space mapping apparatus disclosed in Japanese Patent Application Laid-open No. 11-304729. (Grazing-Incidence Wide-Angle X-Ray Scattering/Small-Angle X-Ray Scattering Apparatus (GI-WAXS/SAXS Apparatus)) A GI-WAXS/SAXS apparatus is capable of both GI-WAXS measurement and GI-SAXS measurement. A GI-WAXS/SAXS apparatus causes small-diameter incident X-rays R1, which have been extremely narrowed, to be incident on a sample S at a low angle ω of almost grazing of the surface Sa of the sample S, and the scattered X-rays R3 emitted almost grazing the surface Sa are detected by an X-ray detector 105. The apparatus for measuring the scattered X-rays R3 in a low-angle region is the GI-SAXS apparatus. The apparatus for measuring the scattered X-rays R3 in a high-angle region is the GI-WAXS apparatus. Following is the reason for narrowing the incident X-rays R1. That is, when the X-ray radiation field is widened on a sample, the scattered X-rays R3 spread out. When the scattered X-rays R3 spread out, there is overlapping of scattered X-rays R3 having different scattering angles, and as a result, the angular resolution is reduced. The reason that the X-rays R1 are narrowed is to prevent the scattered X-rays R3 from spreading out and having the scattered X-rays R3 overlapping each other, and to increase the angular resolution. The X-ray detector 105 is a two-dimensional X-ray detector. The X-ray detector 105 measures intensity of the scattered X-ray pertaining to in-plane direction of the sample (in-plane direction Qxy) and the normal direction of the sample (out-of-plane direction Qz). In the GI-WAXS/SAXS apparatus shown in FIG. 15, the incident X-rays R1 must be shaped into a small-diameter X-ray beam in order to obtain a scattering image having high angular resolution. Consequently, it is difficult to obtain high-intensity scattered x-rays. As a result, there is a problem in that it is difficult to obtain a clear scattered x-ray diagram in a short period of time. (X-Ray Diffractometer with X-Ray Shield Plate Disposed on a Sample) Japanese Patent Application Laid-open No. 2002-310948 discloses an X-ray diffractometer with an X-ray shield plate disposed on a sample. In this conventional X-ray diffractometer, using reference symbols used in this Official Journal, incident X-rays exiting a second slit (2) pass through an aperture (11) in the X-ray shield plate (third slit 6) and are incident on the sample (S). Parasitic scattering X-rays generated from the second slit (2) are blocked in their progress by the shielding part of the X-ray shield plate (third slit 6) and do not arrive at the X-ray detector (7). The X-ray detector (7) is thereby exposed to scattered X-rays from the sample S, which is the object of measurement, without being disturbed by parasitic scattering X-rays. The main effects of the X-ray shield plate (third slit 6) are to permit incident X-rays to be incident on the sample (S) by way of the aperture (11) and to prevent parasitic scattering X-rays generated by other slits from arriving at the X-ray detector (7) with the aid of the X-ray shield wall portion. Japanese Patent Application Laid-open No. 2002-310948 does not describe a technique for allowing specific X-rays from among the X-rays diffracted at the sample (S) to pass through the aperture (11) of the X-ray shield plate (third slit 6), and shielding diffracted X-rays other than the specific X-rays with the aid of the X-ray shield wall portion of the X-ray shield (third slit 6). [Patent Citation 1] Japanese Patent Application Laid-open No. 11-287773 [Patent Citation 2] Japanese Patent Application Laid-open No. 11-304729 [Patent Citation 3] Japanese Patent Application Laid-open No. 2002-310948 The present invention was devised in view of the problems described above in conventional apparatuses, and an object thereof is to provide a parallel-beam X-ray diffractometer, wherein (1) X-rays diffracted at the sample are prevented from spreading out by preventing the X-rays from overlapping each other, whereby a diffracted X-ray image with high resolution can be obtained by the X-ray detector, (2) high-intensity diffracted X-rays can be obtained even when X-ray overlapping is prevented as described above, and (3) obtaining a clear diffracted X-ray image with high resolution as described above is realized using a simple configuration. (Solution 1) The X-ray diffractometer according to the present invention obtains X-ray diffraction angles of diffracted X-rays by detecting with an X-ray detector diffracted X-rays diffracted at a sample when X-rays are emitted at the sample at each angle of the angles about a center point of goniometer circles, the X-ray diffractometer being characterized in having an X-ray shield member provided with an X-ray passage port, the X-ray passage port allowing X-rays diffracted at the sample to pass so that the diffracted X-rays pass through the center point of the goniometer circles, and the X-rays diffracted at the sample being shielded by the X-ray shield member so that the diffracted X-rays pass through areas other than the center point of the goniometer circles. The X-ray diffractometer is a parallel-beam X-ray diffractometer. The parallel-beam X-ray diffractometer is, e.g., an in-plane X-ray diffractometer, an in-plane reciprocal-space mapping apparatus, or a GI-WAXS/SAXS apparatus. In an in-plane X-ray diffractometer, an in-plane reciprocal-space mapping apparatus, and a GI-WAXS/SAXS apparatus, X-rays are incident at an incidence angle (i.e., a low incidence angle) that almost grazes or is very close to the surface of a sample. In the above-described configuration, the “X-ray detector” may be a zero-dimensional X-ray detector that has no position resolution, a one-dimensional X-ray detector having rectilinear position resolution, and a two-dimensional X-ray detector having in-plane position resolution. In the above-described configuration, the wording “the X-ray passage port allowing X-rays diffracted at the sample to pass so that the diffracted X-rays pass through the center point of the goniometer circles” includes the case in which diffracted X-rays pass through the center point itself and the case in which the X-rays pass near the center point. The term “near” in this case is set, as appropriate, in accordance with the degree of definition being sought in the diffraction image. In other words, when high definition is desired, the X-ray passage port is set so as to allow passage of only diffracted X-rays that pass through the center point itself or extremely close thereto of the goniometer circles. Conversely, when low definition is permitted, the X-ray passage port can be set so as to allow passage of diffracted X-rays that pass through an area slightly distant from the center point of the goniometer circles. (Goniometer Circle) In the above-described configuration, the term “goniometer circle” is a circular trajectory for moving an X-ray detector in order to detect X-rays diffracted at a sample. In other words, the X-ray detector in X-ray diffraction measurement rotatably moves along the goniometer circle about the center point of the goniometer circle. The X-ray detector in this case may be the zero-dimensional X-ray detector, the one-dimensional X-ray detector, or the two-dimensional X-ray detector. A one-dimensional X-ray detector having rectilinear position resolution is formed by arranging a plurality of pixels, which are units for detecting X-rays, along a straight line. A two-dimensional X-ray detector having in-plane position resolution is formed by arranging a plurality of pixels, which are units for detecting X-rays, in a planar fashion. A one-dimensional X-ray detector and a two-dimensional X-ray detector identify angle information of diffracted X-rays using a plurality of pixels. Therefore, the diffraction angle of diffracted X-rays can be determined even when the X-ray detector is fixed in place and does not itself rotatably move in an area where a plurality of pixels exists. In this case, it may possibly be interpreted that a goniometer circle as a circular trajectory for moving the X-ray detector does not exist. However, when a one-dimensional X-ray detector or a two-dimensional X-ray detector is used and there is a desire to detect diffracted X-rays in areas outside of the area in which a plurality of pixels is present, the one-dimensional X-ray detector or two-dimensional X-ray detector itself must be moved to the desired area. In this case, the one-dimensional X-ray detector or two-dimensional X-ray detector must be moved along a circular trajectory. The circular trajectory in this case is a goniometer circle and the center point of the circular trajectory is the center of the goniometer circle. In specific types of X-ray diffractometers, the one-dimensional X-ray detector or two-dimensional X-ray detector may be rectilinearly moved rather than rotatably moved in order to move the one-dimensional X-ray detector or two-dimensional X-ray detector itself to a desired area. In this case, it may possibly be interpreted that a goniometer circle as a circular trajectory for moving the X-ray detector does not exist. However, even when a one-dimensional X-ray detector or two-dimensional X-ray detector are to be rectilinearly moved rather than rotatably moved in this fashion, it is possible to consider rotatably moving the one-dimensional X-ray detector or two-dimensional X-ray detector in an imaginary fashion, and it is therefore possible to specify a goniometer circle and a center point of the goniometer circle. (Effects of the X-Ray Diffractometer According to the Present Invention Having the Above-Described Configuration) (i) In measurement that uses a one-dimensional X-ray detector, a two-dimensional X-ray detector, or the like, X-rays diffracted at a sample and that advance toward the detector must be reduced in width in order to make use of the position resolution of the detector. In general, the width of diffracted X-rays is affected by the size of the irradiated area on the sample and X-rays spread out, and it is therefore difficult to obtain high-resolution data under such conditions. Also, it is not possible to obtain a correct diffraction angle when diffracted X-rays which have not passed near the center of the goniometer circle are detected by a detector (e.g., one-dimensional X-ray detector or two-dimensional X-ray detector) having position resolution. In the present invention, the position resolution at the detector position is enhanced by both selecting only diffracted X-rays that pass near the center of the goniometer circle in order to obtain a correct diffraction angle and reducing the width of the diffracted X-rays. (ii) Only X-rays that pass through or near the center point of the goniometer circle are sent into the X-ray detector. Therefore, X-rays diffracted at the sample can be prevented from spreading out, and as a result, X-rays diffracted at the sample can be prevented from overlapping each other. As a result, an X-ray diffraction diagram having high resolution can be obtained by the X-ray detector. (iii) Among the X-rays diffracted within a wide area of the sample, diffracted X-rays that have congregated near the center point of the goniometer circle are sent into the X-ray detector, and high-intensity diffracted X-rays can be obtained even when spreading out and overlapping of X-rays has been prevented as in (ii) above. A clear X-ray diffraction diagram can be obtained thereby. (iv) Since an X-ray shield member provided with an X-ray passage port is merely disposed near the surface of the sample, the configuration is very simple. (v) In the X-ray diffractometer of Japanese Patent Application Laid-open No. 2002-310948, it is disclosed that, using reference symbols used in this Official Journal, a third slit (6) as an X-ray shield member is disposed on the surface of the sample (S). However, the third slit (6) causes X-rays which have passed through the second slit (2) to pass through by the aperture (11) and proceed towards the sample (S), and shields parasitic scattering rays generated at the second slit (2). The third slit (6) is a completely different member from the X-ray shield member of the present invention. Japanese Patent Application Laid-open No. 2002-310948 does not disclose that the aperture (11) is provided near the center point of a goniometer circle. Also, Japanese Patent Application Laid-open No. 2002-310948 does not describe the technical concept of allowing specific X-rays among the X-rays diffracted at the sample to pass using an aperture (11) and shields X-rays other than the specific X-rays using a third slit (6). (Solution 2) In another aspect of the present invention, the X-ray passage port is disposed on the center point of the goniometer circle. The phrase “on the center point” refers to cases such as the case in which the X-ray passage port is in a position that covers the center point (i.e., a position in which the X-ray passage port includes the center point), and the case in which the X-ray passage port is slightly offset from the center point yet in a position near the center point such that desired angular resolution can be obtained by the X-ray detector. Providing an X-ray passage port on the center point of a goniometer circle makes it possible for X-rays diffracted at the sample and X-rays attempting to pass near the center point of the goniometer circle to reliably pass through the X-ray passage port. Diffracted X-rays other than X-rays that pass near the center point of the goniometer circle can be reliably shielded by the X-ray shield member. (Solution 3) In yet another aspect of the present invention, the X-ray shield member is disposed in contact with the surface of the sample or near the surface of the sample. As used herein, the meaning of the term “near” includes the X-ray shield member being set at a slight distance from the surface of the sample in a range that allows a desired angular resolution to be obtained by the X-ray detector even though the X-ray shield member is set at a slight distance from the surface of the sample. (Solution 4) In yet another aspect of the present invention, the X-ray shield member is disposed in contact with the end face of the sample on the X-ray detector side, or near the end face of the sample on the X-ray detector side. As used herein, the meaning of the term “near” includes the X-ray shield member being set at a slight distance from the end face of the sample in a range that allows a desired angular resolution to be obtained by the X-ray detector even though the X-ray shield member is set at a slight distance from the end face of the sample. (Solution 5) In yet another aspect of the present invention, the X-ray passage port is a pinhole extending in the direction intersecting the sample, or a slit extending in the direction intersecting the sample. The pinhole is a hole having a circular, semicircular, square, rectangular, triangular, or other polygonal shape. The slit is a long, groove-shaped hole. (Solution 6) In yet another aspect of the present invention, the X-rays incident on the sample are line-focus X-rays having a cross-sectional shape in which the longitudinal direction is short and the lateral direction is long, and the lengthwise direction (i.e., the lateral direction) of the line focus is the direction parallel to the surface of the sample. When a sample has been irradiated by point-focus X-rays having a cross-sectional shape that is short in both the longitudinal direction and the lateral direction, the surface area of the sample irradiated by the X-rays is low and it is not possible to bring out many X-rays from the sample. In contrast, irradiating a sample with line-focus X-rays makes it possible to increase the surface area of the sample irradiated by X-rays. Consequently, high-intensity diffracted X-rays can be obtained. (Solution 7) In yet another aspect of the present invention, X-rays are caused to be incident at a low angle with respect to the sample so that diffraction occurs on a lattice plane perpendicular to the surface of the sample. This configuration allows measurement of in-plane X-ray diffraction to be carried out. (Solution 8) Yet another aspect of the present invention has an ω-rotation system for adjusting the incidence angle of X-rays on the sample, a ϕ-rotation system for rotating the sample in-plane, a 2θ-rotation system for moving the X-ray detector in the out-of-plane direction, and a 2θχ-rotation system for moving the X-ray detector in the in-plane direction, the ω-rotation system, the ϕ-rotation system, the 2θ-rotation system, and the 2θχ-rotation system operating about the center point, as an origin, of the goniometer circle, which is a shared center point. In accordance with this aspect, it is possible to carry out measurement by in-plane reciprocal-space mapping. (i) In measurement that uses a one-dimensional X-ray detector, a two-dimensional X-ray detector, or the like, X-rays diffracted at a sample and that advance toward the detector must be reduced in width in order to make use of the position resolution of the detector. In general, the width of diffracted X-rays is affected by the size of the irradiated area on the sample and X-rays spread out, and it is therefore difficult to obtain high-resolution data under such conditions. Also, it is not possible to obtain a correct diffraction angle when diffracted X-rays which have not passed near the center of the goniometer circle are detected by a detector (e.g., one-dimensional X-ray detector or two-dimensional X-ray detector) having position resolution. In the present invention, the position resolution at the detector position is enhanced by both selecting only diffracted X-rays that pass near the center of the goniometer circle in order to obtain a correct diffraction angle and reducing the width of the diffracted X-rays. (ii) Only X-rays that pass through or near the center point of the goniometer circle are sent into the X-ray detector. Therefore, X-rays diffracted at the sample can be prevented from spreading out, and as a result, X-rays diffracted at the sample can be prevented from overlapping each other. As a result, an X-ray diffraction diagram having high resolution can be obtained by the X-ray detector. (iii) Among the X-rays diffracted within a wide area of the sample, diffracted X-rays that have congregated near the center point of the goniometer circle are sent into the X-ray detector, and high-intensity diffracted X-rays can be obtained even when spreading out and overlapping of X-rays has been prevented as in (ii) above. A clear X-ray diffraction diagram can be obtained thereby. (iv) Since an X-ray shield member provided with an X-ray passage port is merely disposed near the surface of the sample, the configuration is very simple. The X-ray diffractometer according to the present invention will be described hereinbelow on the basis of embodiments. As shall be apparent, the present invention is not limited to these embodiments. Also, in the drawings attached to the present specification, the constituent elements may be shown in a scale that differs from the actual components in order to facilitate understanding of the characteristic portions. FIGS. 1 and 2 show an embodiment of an in-plane reciprocal-space mapping apparatus, which is an embodiment of the X-ray diffractometer according to the present invention. FIG. 1 is a plan view of an in-plane reciprocal-space mapping apparatus, and FIG. 2 is a side view along the line A-A of FIG. 1. In FIG. 2, the cross-sectional structure of non-essential portions is omitted. The in-plane reciprocal-space mapping apparatus simultaneously performs measurement by in-plane diffraction and measurement by reciprocal-space mapping. Measurement by in-plane diffraction and measurement by reciprocal-space mapping are as follows. (In-Plane Diffraction Measurement) An X-ray diffraction measurement method includes out-of-plane measurement and in-plane measurement depending on the direction of the lattice plane to be measured. Out-of-plane measurement is a technique for evaluating a lattice plane K which is not perpendicular to the surface Sa of the sample S as shown in FIG. 13B. In-plane measurement is a technique for evaluating a lattice plane K which is perpendicular to the surface Sa of the sample S as shown in FIG. 13A. In out-of-plane measurement, incident X-rays and an X-ray detector are scanned in an angle range of, e.g., about 5° to 90°, and information about crystal structure is obtained from the diffracted X-rays. The incident X-rays are able to penetrate to a relatively deep area such as a depth of several tens of micrometers of the sample and may therefore be buried in the base signal when the signals of diffracted X-rays derived from a thin film are weak. In contrast, in-plane measurement is carried out with the incident angle of X-rays fixed at a small angle (e.g., 0.2° to 0.5°) near the critical angle of full reflectance. Consequently, the depth of penetration of X-rays into the sample is several tens of nanometers, and the signal of the diffracted X-rays is detected with high precision and is unaffected by the substrate. (Reciprocal-Space Mapping Measurement) In general, the X-ray diffraction conditions can be considered in accordance with direction of lattice planes and interplanar spacing of lattice planes. The direction of lattice-planes can be expressed by the normal line of lattice planes. The interplanar spacing can be set as a vector that is equal to, e.g., 2π times the reciprocal of the interplanar spacing. Lattice points are formed by the set of distal end points of vectors in which the length and direction have been determined in this fashion. The space formed by these lattice points has a dimension that is the reciprocal of the length as noted above and is therefore referred to as a “reciprocal space.” The thusly formed lattice is referred to as a “reciprocal lattice.” The distal end points of a reciprocal lattice are referred to as “reciprocal lattice points.” Reciprocal-space mapping measurement is a method for measuring the intensity distribution of reflected rays from a crystal in a reciprocal space. A reciprocal-space mapping diagram obtained by reciprocal lattice mapping measurement represents in two dimensions the interplanar spacing of lattice planes and the distribution of crystal orientation both in a crystalline substance. (In-Plane Reciprocal-Space Mapping Measurement) According to in-plane reciprocal-space mapping measurement, since X-rays are caused to make almost grazing incidence on the surface of a thin-film sample in in-plane diffraction measurement, i.e., since X-rays are incident on surface of a thin-film sample at a very low angle, signals from a film with low thickness can be efficiently captured. At the same time, by collecting signals while the orientation of the sample is changed in small steps in reciprocal-space mapping measurement, information about crystal orientation can be obtained. In other words, according to in-plane reciprocal-space mapping measurement, it is possible to accurately capture information about crystal orientation in relation to a thin-film sample. (Configuration of an In-Plane Reciprocal-Space Mapping Apparatus) In FIGS. 1 and 2, an in-plane reciprocal-space mapping apparatus 1 of the present embodiment has an X-ray source F, and an incidence-side optical system 2, a sample stage 3, a pinhole member 4 as an X-ray shield member, and a two-dimensional X-ray detector 5. The X-ray source F generates x-rays having a long and thin X-ray focal point, i.e., line-focus. The lengthwise direction of the X-ray source F is the direction parallel to the surface Sa of the sample S, as shown in FIGS. 1 and 2. The incidence-side optical system 2 has, e.g., in sequence from the X-ray source F side, a paraboloidal multilayer mirror 8, an in-plane parallel slit collimator (PSC) 10, a longitudinal limitation slit 11, and an incidence slit 12. The incidence-side optical system 2 may be formed in combination with other X-ray optical elements as required. The paraboloidal multilayer mirror 8 is formed by layering a plurality of heavy-element layers and a plurality of light-element layers in alternating fashion, and is an X-ray mirror in which the surface for reflecting X-rays is a paraboloid. The X-rays R0 emitted from the X-ray source F are made into monochromatic X-rays by the paraboloidal multilayer mirror 8 and are simultaneously made into parallel X-rays. The in-plane PSC 10 is a PSC for restricting the spreading of X-rays in the in-plane direction. The in-plane PSC 10 is basically the same structure as so-called a soller slit. Specifically, the in-plane PSC 10 is an X-ray optical element obtained by a lining up several thin X-ray shield members extending in the direction that passes through the plane of the drawing of FIG. 1 and the direction of progress (Y-Y direction) of X-rays, so to be parallel to each other in the X-X direction (the direction crosswise to the X-ray optical path). The parallelism of the X-rays in the lengthwise direction is increased by the in-plane PSC 10. The longitudinal limitation slit 11 limits spreading in the longitudinal direction (direction parallel to the plane of the drawing of FIG. 1, i.e., the in-plane direction) for X-rays which have exited the in-plane PSC 10. The incidence slit 12 limits the beam size in the lateral direction (the direction perpendicular to the plane of the drawing of FIG. 1) for X-rays which have exited the longitudinal limitation slit 11. The top surface of the sample stage 3 is a sample placement surface. The sample S is disposed on the sample placement surface. The sample S is bonded to the sample stage 3 as required. The sample S is a substance obtained by forming a thin film on a substrate. The substrate of the sample S is placed on the sample stage 3. X-rays are irradiated onto the top surface of the sample S. The present in-plane reciprocal-space mapping apparatus 1 captures information about the crystal orientation and the interplanar spacing of lattice planes in relation to the thin film of sample S. The pinhole member 4 as the X-ray shield member is formed by a single plate member formed by a material difficult for X-rays to penetrate. A pinhole 15 as an X-ray passage port is formed in the interior of the pinhole member 4, in the present embodiment, substantially the center. In the present embodiment, the pinhole member 4 is supported by the sample stage 3. The pinhole member 4 may be supported by the X-ray detector 5 rather than the sample stage 3. The pinhole member 4 may furthermore be supported by any structure other than the sample stage 3 and the X-ray detector 5. However, the sample stage 3 must be capable of horizontal and rotational movement, and the pinhole 15 in the pinhole member 4 must constantly maintain the center position of a later-described goniometer circle. In FIG. 1, the X-rays R1 which have exited the incidence-side optical system 2 irradiate the area of the surface Sa of the sample S indicated by the shaded portion. At this time, among the X-rays diffracted at the crystal lattice plane (see reference symbol K of FIG. 13A) present in the sample S in a direction perpendicular to the surface Sa, only X-rays that have passed through the pinhole 15 proceed toward the X-ray detector 5. It is thereby possible to limit the spreading out of diffracted X-rays R2 in accordance with the size of the X-ray irradiation field on the sample S, and the overlapping of diffracted X-rays R2 having different diffraction angles. As a result, diffracted X-rays are detected with high angular resolution by the X-ray detector 5. In other words, the pinhole 15 feeds to the X-ray detector 5 only X-rays that have passed near the center of the goniometer circle among the X-rays diffracted at a specific angle from the sample S. Meanwhile, X-rays that do not pass near the center of the goniometer circle are shielded by the X-ray shield portion of the pinhole member 4 as the X-ray shield member. It is thereby possible to prevent the spreading out and overlapping of diffracted X-rays, and allows measurement with high angular resolution. The diffracted X-rays R2, in which the spreading out and overlapping of diffracted X-rays is limited, are received in the two-dimensional X-ray detector 5. The two-dimensional X-ray detector 5 outputs an electric signal that corresponds to the intensity of the received X-rays. The two-dimensional X-ray detector 5 is formed using a charge coupled device (CCD) X-ray detector, a photon-counting-type X-ray detector, or the like. The CCD X-ray detector is made up of a plurality of CCD photoelements lined up in a planar fashion, i.e., two-dimensionally. The CCD photoelements may be elements that detect light in which X-rays have been converted to light and then converted to an electric signal, or may be elements that receive X-rays and directly convert the X-rays to an electric signal. A photon-counting-type X-ray detector is obtained by lining up a plurality of photo-counting elements in a planar fashion. The photon-counting elements receive X-rays and directly convert the X-rays to an electric signal. A single unit of the CCD photoelements and photon-counting elements is referred to as a pixel. The X-ray detector 5 can also be a one-dimensional X-ray detector in place of the two-dimensional X-ray detector. In FIG. 1, the symbol C drawn at the distal end section of the X-ray detector 5 schematically shows the angular scale. In the present embodiment in FIG. 2, the bottom side of the pinhole 15 is disposed in the same height position as the surface Sa of the sample S. The pinhole 15 is a dot shape, which is not slit shaped as viewed from the direction of the arrow B, and is formed in, e.g., a square shape, rectangular shape, circular shape, semicircular shape, or any other dot shape. The pinhole 15 may also be a slit, which is a groove-shaped hole. The width W of the 15 in FIG. 1 is determined in accordance with purpose, and may be, e.g., about the same as the width of a pixel of the two-dimensional X-ray detector 5 up to a width about 100 times the width of the pixel. When the pixel width of the X-ray detector 5 is 25 μm, the width W of the pinhole 15 is 25 μm to 2.5 mm. However, a pinhole having a width W that is about 10 times the pixel width is generally used in view of the relationship between the angular resolution and X-ray intensity. (Drive System) In FIGS. 1 and 2, an ω-rotation system 16 and a ϕ-rotation system 17 are connected to the sample stage 3. Meanwhile, a 2θχ(theta chi)-rotation system 18 and a 2θ-rotation system 19 are connected to the X-ray detector 5. The ω-rotation system 16, ϕ-rotation system 17, 2θχ-rotation system 18, and 2θ-rotation system 19 constitute a goniometer 20 (i.e., an angle-measuring device). The ϕ-rotation system 17 rotates the sample stage 3 about the center of the ϕ-axis. This rotation of the sample stage 3 is referred to as ϕ-rotation. The ϕ-axis is an imaginary line extending in the direction that passes through the plane of the drawing of FIG. 1 (i.e., the vertical direction of FIG. 2). ϕ-Rotation is used for in-plane rotation of the sample S placed on the sample stage 3. The ω-rotation system 16 rotates the sample stage 3 about the ω-axis in FIG. 1. This rotation of the sample stage 3 is referred to as ω-rotation. The ω-axis is the axis parallel to the plane of the drawing in FIG. 1 and is perpendicular to the direction of progress of the X-rays R1 incident on the sample S. ω-Rotation is used for changing the incidence angle ω of the X-rays R1 in relation to the sample S: the X-rays R1 being incident on the sample S placed on the sample stage 3. The ϕ-rotation system 17 is placed on the ω-rotation system 16. In other words, when the ω-rotation system 16 operates, the sample stage 3 undergoes ω-rotation about the ω-axis, and simultaneously, the ϕ-rotation system 17 also rotates in integral fashion with the sample stage 3. On the other hand, when the ϕ-rotation system 17 operates, the sample stage 3 undergoes ϕ-rotation about the ϕ-axis, but the ω-rotation system 16 does not move. The 2θ-rotation system 19 rotates the X-ray detector 5 about the 2θ-axis. This rotation of the X-ray detector 5 is referred to as 2θ-rotation. 2θ-Rotation is rotation in the out-of-plane direction. The 2θ-axis is the axis parallel to the plane of the drawing in FIG. 1 (in FIG. 2, the axis extending in the direction crosswise to the plane of the drawing). 2θ-Rotation is used for rotatably moving the X-ray detector 5 in the direction of the Qz-axis in the reciprocal space. This 2θ-rotation is used for adjusting the position of the X-ray detector 5. The 2θχ-rotation system 18 rotates the X-ray detector 5 about the 2θχ-axis. This rotation of the X-ray detector 5 is referred to as 2θχ-rotation. 2θχ-Rotation is rotation in the in-plane direction. The 2θχ-axis is the axis extending in the direction that passes through the plane of the drawing of FIG. 1 (the vertical direction of the plane of the drawing of FIG. 2). 2θχ-Rotation is used for rotatably moving the X-ray detector 5 in the direction of the Qxy-axis in the reciprocal space. This 2θχ-rotation is used for adjusting the position of the X-ray detector 5. The ω-axis, ϕ-axis, 2θ-axis, and 2θχ-axis all pass through the center point G0 of the goniometer circle GC1. In other words, these axes intersect at the center point G0 of the goniometer circle GC1. In the two-dimensional X-ray detector 5 used in the present embodiment, angular measurement is carried out by a pixel-reading operation. Therefore, the two-dimensional X-ray detector 5 is not required to be moved as long as X-ray detection is carried out in a range in which pixels are present. However, the two-dimensional X-ray detector 5 must be moved to a desired area when the area where X-rays are to be detected is outside of the area where pixels are present. Consequently, in the present embodiment, the two-dimensional X-ray detector 5 is configured so as to be capable of rotatably moving about the point G0. The trajectory of rotational movement of the two-dimensional X-ray detector 5 is the circle indicated by the symbol GC1, and the center point of the circle GC1 is the point G0. The circle GC1 is referred to as a goniometer circle and the point G0 is the center point of the goniometer circle. In this case, the diffraction angle measured by the two-dimensional X-ray detector 5 is the angle 2θχ along the goniometer circle GC1 about the center point G0. The goniometer circle is not limited to the goniometer circle GC1 along the in-plane direction (2θχ-direction) shown in FIG. 1, and it is also possible to consider the direction perpendicular (i.e., the out-of-plane direction (2θ-direction)) to the in-plane direction (2θχ-direction), as shown by the symbol GC2 in FIG. 2. The center point of the goniometer circle GC2 of this out-of-plane direction (2θ-direction) is also the same point as the center point G0 of the goniometer circle GC1 along the in-plane direction (2θχ-direction). In the present embodiment, the pinhole member 4 is disposed in contact or close contact with the end face or the distal end of the sample S on the X-ray detector 5 side, or is disposed near the end face thereof. The pinhole 15 is disposed on the center point G0 of the goniometer circle GC1 and the goniometer circle GC2. In other words, the pinhole 15 is disposed so as to cover the center point G0. Alternatively, the pinhole 15 is disposed so as to include the center point G0. The rotation systems 16, 17, 19, and 18 relating to the ω-axis, ϕ-axis, 2θ-axis, and 2θχ-axis are composed of a rotating machine (e.g., servomotor and pulse motor) in which the rotational angle can be controlled with high precision, a worm gear (a combination of a worm and worm wheel) for transmitting rotary power, or the like. (Operation of the In-Plane Reciprocal-Space Mapping Apparatus) In FIGS. 1 and 2, the sample S is placed on the sample stage 3. The sample S is a flat-shaped substance in which, e.g., an epitaxial thin film composed of (Pb, La)TiO3/Pt/MgO has been formed on the surface. The distal end of the sample S, i.e., the end face is in contact or close contact with the side surface of the pinhole member 4. Alternatively, the distal end of the sample S, i.e., the end face is disposed near the side surface of the pinhole member 4. Next, the w-rotation system 16 is actuated to set the X-ray incidence angle ω to a low angle that is slightly less than the critical angle of full reflectance of the sample. Furthermore, the angle of the X-ray detector 5 in the in-plane direction is set to a predetermined angle that corresponds to the crystal lattice plane in the thin film of the sample S. In this state, X-rays are emitted from the X-ray source F and the area of the sample S indicated by shading in FIG. 1 is irradiated by X-rays. X-rays diffracted at a lattice plane facing a predetermined direction in the thin film pass through the pinhole 15 and are taken into the X-ray detector 5, which is a two-dimensional X-ray detector. Angular resolution is imparted to the diffracted X-rays R2 by the pinhole 15, and the X-ray detector 5 therefore detects X-ray intensity at a known in-plane diffraction angle. At this time, the two-dimensional X-ray detector 5 simultaneously detects the X-ray intensity in a plurality of 2θχ positions by numerous pixels disposed in a plane. Detection of X-ray intensity of the above numerous points in relation to a single ϕ-step angle is simultaneously carried out, and the ϕ-angle is thereafter rotated by a predetermined step to vary the orientation of the crystal lattice plane. The X-ray intensity in the 2θχ-direction is detected for numerous cycles again in the varied direction. This work for detecting X-ray intensity is carried out at ϕ-steps in a predetermined angle range. The two-dimensional X-ray detector 5 is rotatably moved along the goniometer circle GC1 about the center point G0 as required. In-plane reciprocal-space mapping measurement is carried out in accordance with the foregoing. When the X-ray intensity in the numerous measurement points determined in the foregoing manner is rendered as a two-dimensional map by a known drawing software program, a mapping diagram of “2θχ-to-ϕ” as shown in FIG. 3 is obtained (i.e., a 2θχ vs ϕ-mapping diagram or a 2θχ versus ϕ-mapping diagram). When the mapping diagram of “2θχ-to-ϕ” is converted to reciprocal space coordinates by a known conversion software program, and the reciprocal-space mapping diagram shown in FIG. 4 is obtained. In the diagram, numbers such as (3 −2 0), (3 −1 0), . . . indicate the plane index of a lattice plane. In the reciprocal-space mapping diagram shown in FIG. 4, the crystal structure in the thin film of the sample S can be known by observing the positions of reciprocal lattice points. The X-ray diffraction diagram shown in FIG. 3 can be obtained by exchanging the X-ray detector 5 in FIG. 1 for a zero-dimensional counter (i.e., a counter without resolution) such as a scintillation counter (SC), and thereafter actually moving the zero-dimensional counter so as to perform a 2θχ-scan. In the present embodiment, mutual overlapping of diffracted X-rays R2 is limited by the pinhole member 4 as the X-ray shield member in contact or close contact with the sample S or by the pinhole member 4 as the X-ray shield member provided near the sample S, to thereby realize high angular resolution. Therefore, the angles can be accurately measured by the two-dimensional X-ray detector 5. When a zero-dimensional X-ray detector is used in place of a two-dimensional X-ray detector 5, it is possible to consider imparting angular resolution to the diffracted X-rays R2 by providing a PSA in an immediate anterior position, but in this case, the X-rays are likely to be reduced in intensity by the PSA. In contrast, in the present embodiment, the pinhole member 4 is provided in contact, close contact, or otherwise near the sample S, whereby diffracted X-rays can be acquired from a wide area (the area indicated by shading) of the surface Sa of the sample S in FIG. 1, and it is therefore possible to supply high-intensity diffracted X-rays to the X-ray detector 5. When a zero-dimensional counter is used, measurement time requires about 13 hours. In contrast, in accordance with the in-plane reciprocal-space mapping apparatus of the present embodiment, numerous data can be obtained at one time by the two-dimensional X-ray detector 5, and measurement time is about one hour. This time can be further reduced to about 15 minutes by further optimizing the measurement conditions. Thus, in accordance with the present embodiment, high-intensity diffracted X-rays can be obtained by a very simple configuration in that the pinhole member 4, which is a single plate member, is secured to the sample stage 3, and the pinhole 15 is disposed on the center point G0 of the goniometer circles GC1 and GC2. (Modifications) In the embodiment shown in FIGS. 1 and 2, the pinhole member 4 is mounted on the sample stage 3 so that the side surface of the pinhole member 4 as the X-ray shield member is in contact or close contact with the distal end, i.e., the end face of the sample S, or so that the side surface of the pinhole member 4 is positioned near the distal end, i.e., the end face of the sample S. In other words, the embodiment configured so that the sample S does not project toward the X-ray detector 5 side more than does the pinhole member 4. However, in lieu thereof, the bottom surface of the pinhole member 4 may be in contact or close contact with the surface Sa of the sample S, and alternatively, the bottom surface of the pinhole member 4 may be positioned near the surface Sa of the sample S, as shown in FIGS. 5A and 5B. The pinhole member 4 may be supported by the sample stage 3 or may be supported by a component other than the sample stage 3. In the present modification, the distal end of the sample S projects to the X-ray detector 5 (see FIGS. 1 and 2) side more greatly than does the pinhole member 4 by a dimension 8. In the present modification as well, the spreading and overlapping of diffracted X-rays is limited by the pinhole member 4 and high angular resolution is obtained. Also, in the present modification as well, the intensity of diffracted X-rays can be increased by irradiation of X-rays in a wide area of the sample S, and the high intensity diffracted X-rays can be extracted with high efficiency by way of the pinhole 15. In the present modification as well, the intersecting point of the ω-axis, 2θ-axis, 2θχ-axis, and ϕ-axis is the center point G0 of the goniometer circles GC1, GC2 (see FIGS. 1 and 2). The pinhole member 4 is disposed in a position that includes the center point G0 of the goniometer circles GC1, GC2. FIGS. 6 and 7 show another embodiment of the in-plane reciprocal-space mapping apparatus, which is an embodiment of the X-ray diffractometer according to the present invention. FIG. 6 is a plan view of the in-plane reciprocal-space mapping apparatus, and FIG. 7 is a side view of FIG. 6. The same members and devices shown in FIGS. 6 and 7 as the members and devices shown in FIGS. 1 and 2, respectively, will be referred to with the same reference symbols, and a description thereof is omitted. In the embodiment shown in FIGS. 1 and 2, a pinhole member 4, which is a single flat plate member provided with a pinhole 15 as an X-ray passage port, was used as an X-ray shield member. In contrast, in the embodiment shown in FIGS. 6 and 7, a pair of plate members 24a, 24b in which a long slit 25 in the longitudinal direction is formed as an X-ray passage port is used as the X-ray shield member. The plate members 24a, 24b are disposed in a tapered shape (i.e., a sloped state) as shown in FIG. 6. In the present embodiment, a slit 25 is formed on the center point G0 of the goniometer circle GC1 (FIG. 6) by the pair of plate members 24a, 24b. Only diffracted X-rays that have passed through the slit 25 are detected by the X-ray detector 5. It is consequently possible to carry out measurement that makes use of angular resolution in the in-plane direction (i.e., the 2θχ-direction). More specifically, in-plane reciprocal-space mapping data can be acquired in a short amount of time by repeating several times the step rotation of the sample S about the ϕ-axis and X-ray exposure in relation to the in-plane direction (2θχ-direction) of the X-ray detector 5. In the present embodiment, the distance L0 from the center point G0 of the goniometer circles GC1, GC2 to the X-ray-receiving window of the X-ray detector 5 is L0=150 mm, and the X-ray-receiving width W0 of the X-ray detector 5 is W0=77.5 mm. The width W of the slit 25 in the in-plane direction (2θχ-direction) is W=0.5 mm. The X-ray intake angle β formed by the pair of plate members 24a, 24b is β=30°. The X-ray intake angle β is set, as appropriate, in accordance with the X-ray-receiving width W0 of the X-ray detector 5. The specific dimensions can be modified, as appropriate, in accordance with desired measurement conditions. In the present embodiment, the diffracted X-rays in the in-plane direction (2θχ-direction) are acquired by a single exposure rather than scanning of the X-ray detector. Specifically, 2θχ data for a 30°-angle portion can be acquired in a single exposure. In other words, the mutual overlapping of diffracted X-rays is limited by the slit 25, which is long in the longitudinal direction, and good resolution is obtained. In the present embodiment, when measurement is carried out in the out-of-plane direction, the diffraction angle along the goniometer circle GC2 in the out-of-plane direction (2θ-direction) in FIG. 7 is measured. In this case, the center point G0 of the goniometer circle GC2 is the same as the center point G0 of the goniometer circle GC1 in the in-plane direction (2θχ-direction) in FIG. 6. FIG. 8 shows an embodiment of the Grazing-Incidence Wide-Angle X-Ray Scattering/Small-Angle X-Ray Scattering Apparatus (GI-WAXS/SAXS Apparatus), which is yet another embodiment of the X-ray diffractometer according to the present invention. X-ray Small-Angle Scattering is long-known technique for evaluating the nanometer-scale shapes and sizes. Grazing-Incidence Small-Angle X-Ray Scattering (GI-SAXS) is a known technique for causing X-rays to make almost grazing incidence on the surface of a sample and counting the scattered X-rays that almost graze (i.e., at a low angle) and exit the surface of the sample. Furthermore, Grazing-Incidence Wide-Angle X-Ray Scattering (GI-WAXS) is known as a useful measurement technique for causing X-rays to make almost grazing incidence on the surface of a sample and counting the scattered X-rays that exit into a high-angle area with respect to the surface of the sample. GI-SAXS can be implemented by setting the spacing between the sample and the X-ray detector to be large. On the other hand, GI-WAXS can be implemented by setting the spacing between the sample and the X-ray detector to be small. In the present specification, the term GI-WAXS/SAXS apparatus refers to an apparatus capable of performing both GI-WAXS and GI-SAXS. A GI-WAXS/SAXS apparatus 31 shown in FIG. 8 has an X-ray source F, a sample stage 33, a pinhole member 34 as an X-ray shield member, and a two-dimensional X-ray detector 35. The X-ray source F is an X-ray source that emits the same line-focus X-rays as the X-ray source F used in the embodiment shown in FIGS. 1 and 2. The sample S is placed on the sample stage 33. The X-ray source F causes X-rays to be incident on the sample S at a low angle ω of almost grazing the surface Sa of the sample S. An ω-rotation system 46 is connected to the sample stage 33. The ω-rotation system 46 causes the sample stage 33 to rotate (i.e., ω-rotation) about the ω-axis in order to adjust the X-ray incidence angle ω with respect to the sample S. The bottom side of the pinhole member 34 is in contact or close contact with the surface of the sample S. Alternatively, the bottom side of the pinhole member 34 is disposed near the surface of the sample S. A pinhole 45 as an X-ray passage port is provided in substantially the center of the bottom side of the pinhole member 34. In the present embodiment, the diffraction angle in the in-plane direction is measured about the center point G0 along the goniometer circle GC1 in the in-plane direction. The diffraction angle in the out-of-plane direction is measured about the center point G0 along the goniometer circle GC2 in the out-of-plane direction. The pinhole 45 is disposed on or near the center point G0 of the goniometer circles GC1, GC2. A 2θχ-rotation system 48 and a 2θ-rotation system 49 are provided to the two-dimensional X-ray detector 35. The 2θχ-rotation system 48 rotatably moves the two-dimensional X-ray detector 35 along the goniometer circle GC1 about the 2θχ-axis extending in the vertical direction of FIG. 8 through the center point G0. The 2θ-rotation system 49 rotatably moves the two-dimensional X-ray detector 35 along the goniometer circle GC2 about the 2θ-axis extending in the horizontal direction of FIG. 8 through the center point G0. When the desired area of measurement is in the range of the pixel area of the two-dimensional X-ray detector 35, the measurement is carried out with the X-ray detector 35 fixed in place. On the other hand, when the desired area of measurement exceeds the range of the pixel area of the two-dimensional X-ray detector 35, the two-dimensional X-ray detector 35 is rotatably moved along the goniometer circle GC1 or GC2 as required. The pinhole 45 of the pinhole member 34 is disposed on the center point G0 of the goniometer circles GC1 and GC2. When X-rays are incident on the sample S at a low angle ω almost grazing the surface Sa of the sample S, the X-rays are incident on a wide plane of the sample S indicated by shading, and scattered X-rays exit from this plane almost grazing the surface Sa of the sample S. Among these scattered rays, those that pass through the vicinity of the center point G0 of the goniometer circles GC1, GC2 are selected by the pinhole member 34 and the pinhole 45 and are supplied to the two-dimensional X-ray detector 35. The two-dimensional X-ray detector 35 measure the intensity of the scattered rays in relation to the in-plane direction Qxy of the sample and the normal direction (out-of-plane direction) Qz. Scattered X-rays or diffracted X-rays are selected by the pinhole 45, and the spreading of the scattered X-rays or diffracted X-rays on the detector that are to be received in the two-dimensional X-ray detector 35 is limited, and the mutual overlapping of scattered X-rays and diffracted X-rays is limited. As a result, an X-ray image is obtained with high resolution on the two-dimensional X-ray detector 35. Scattered or diffracted X-rays congregate on a wide plane of the sample S indicated by shading and are sent toward the two-dimensional X-ray detector 35. Therefore, the intensity of scattered X-rays and the like received by the two-dimensional X-ray detector 35 is high. Consequently, the X-ray image formed on the two-dimensional X-ray detector 35 is very clear. In the present embodiment as well, a clear diffracted X-rays image having high resolution can be obtained on the two-dimensional X-ray detector 35 using a very simple configuration in that the pinhole member 34, which is a single plate member, is provided in contact or close contact with the sample S, or the pinhole member 34, which is a single plate member, is disposed near the sample S. When the degree of preferred orientation of the sample S intensifies, higher-order reflectance (i.e., reflectance in the high-angle area of 2θ or 2θχ) may be more difficult to see. This depends on deviation of the measurement orientation expected by the optical system with respect to the actual orientation. In response to this phenomenon, moving the X-ray incidence angle ω toward a higher angle and carrying out measurement at a suitable angle can thereby facilitate viewing of higher-order reflectance, as shown in FIG. 9C. When the X-ray incidence angle ω is moved toward a high angle, it is possible to consider measurement by a common θ/2θ arrangement rather than GI-WAXS/SAXS. Common θ/2θ arrangement is an arrangement state of the optical system in which the angle (2θ) for detecting X-rays exiting the sample using the X-ray detector is measured with the incidence angle (θ) of X-rays in relation to the sample constantly kept at doubled magnitude. Conventionally, when a two-dimensional X-ray diffraction image is measured, the X-rays incident on the sample must be finely narrowed by a pinhole collimator or the like in order to obtain a clear image of reciprocal lattice points. However, in accordance with the present embodiment, high-angular resolution can be realized by the pinhole 45 and the pinhole member 35, and line-focus X-rays can be used. This is very advantageous in terms of enhancing measurement efficiency. In the conventional GI-WAXS apparatus shown in FIG. 15, the X-ray irradiation field on the sample spreads out in accordance with the high-angle area on a two-dimensional image, as shown in FIG. 9A, and the diffracted X-rays therefore spread out. When viewed in a two-dimensional image, this is visible by the spreading of diffracted X-rays in the high-angle area, as shown in FIG. 10. In contrast, in the GI-WAXS/SAXS apparatus of the present embodiment shown in FIG. 8, diffracted X-rays do not spread out even in a high-angle area on the two-dimensional image due to the function of the pinhole member 34, as shown in FIG. 9B. Furthermore, X-rays are irradiated in the shaded wide area of the surface Sa of the sample S in FIG. 8, and high-intensity scattered X-rays can be detected by the X-ray detector 35. For these reasons, it is possible to clearly recognize that higher-order reflectance is obtained when the measurement results of the two-dimensional X-ray detector 35 are seen on a two-dimensional image, as shown in FIG. 11. In the conventional GI-WAXS apparatus of FIG. 15, a pentacene thin film was measured using a scintillation counter, which is a zero-dimensional X-ray detector that lacks resolution, rather than a two-dimensional X-ray detector. In this case, the scintillation counter was caused to scan numerous cycles, and a measurement time of several days was used to perform the measurement. As a result, a two-dimensional image such as shown in FIG. 12A was obtained. Next, narrowing incident X-rays with a collimator and rather than using pinhole member provided with a pinhole as an opening for forming resolution, as shown in FIG. 15, a GI-WAXS measurement was carried out using the same thin film sample. In this case, measurement was carried out using a camera length of 100 mm and a measurement time of about 30 minutes. As a result, the two-dimensional image shown in FIG. 12B was obtained. In this two-dimensional image, the intensity of scattered X-rays which have exited the sample was low, and the scattered X-rays were not endowed with optimal angular resolution. Therefore, the diffracted X-rays spread out, the diffracted X-rays overlapped each other, and as a result, a clear scattered X-ray image could not be obtained. Next, a GI-WAXS measurement was carried out for the same thin film sample using the GI-WAXS/SAXS apparatus 31 according to the present invention shown in FIG. 8. In this case, the camera length was 65 mm and the measurement time was 30 minutes. As a result, the two-dimensional image shown in FIG. 12C was obtained. In the present example, the spreading of diffracted X-rays and the mutual overlapping of diffracted X-rays are optimally limited by the pinhole member, and therefore the high-resolution data shown in FIG. 12C was obtained. In other words, it was found that widely irradiating the sample with line-focus X-rays to generate high-intensity scattered X-rays, and furthermore imparting optimal angular resolution to the scattered X-rays by the pinhole member 34, as shown in FIG. 8, makes it possible to obtain data, which was conventionally acquired over a very long period of time, in a very short period of time. The present invention was described above using a few preferred embodiments and examples, but the present invention is not limited to those embodiments and the like, and various modifications can be made within the scope of the invention set forth in the claims. For example, in the embodiments described above, line-focus X-rays are used, but in lieu thereof, it is also possible to use point-focus X-rays. 1: In-plane reciprocal-space mapping apparatus, 2: Incidence-side optical system, 3: Sample stage, 4: Pinhole member (X-ray shield member), 5: X-ray detector, 8: Paraboloidal multilayer mirror, 10: In-plane PSC, 11: Longitudinal limitation slit, 12: Incidence slit, 15: Pinhole (X-ray passage port), 20: Goniometer (angle-measuring device), 24a, 24b: Pair of plate members (X-ray shield member), 25: Slit (X-ray passage port), 31: GI-WAXS/SAX apparatus, 33: Sample stage, 34: Pinhole member (X-ray shield member), 35: Two-dimensional X-ray detector, 45: Pinhole (X-ray passage port), C: Angular scale, F: X-ray source, G0: Goniometer center point, H: Pinhole height, K: Lattice plane, L0: Distance, Qxy: In-plane direction, Qz: Out-of-plane direction, R0: X-rays, R1: Incident X-rays, R2: Diffracted X-rays, R3: Scattered X-rays, S: Sample, Sa: Surface, W: Pinhole width, W0: X-ray-receiving width, α: Incidence angle, β: X-ray intake angle, δ: Sample projection dimension, ω: X-ray incidence angle |
|
062722077 | summary | BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method and apparatus employing detector pixels for obtaining an image having a resolution which is not directly related to the sizes of the detector pixels. More particularly, the present invention relates to a method and apparatus which obtains a series of spatially filtered high-resolution digital x-ray or gamma ray images of portions of an object or objects while minimizing image degradation due to conversion blurring and radiation scattering, and which arranges the spatially modulated images into a larger complete image of the object or objects. 2. Description of the Related Art Various techniques currently exist and many are under development for obtaining digital x-ray and gamma ray images of an object for purposes such as x-ray diagnostics, medical radiology, non-destructive testing, and so on. Known devices include line digital detectors, which obtain images along essentially one direction, and therefore must be scanned across an object to obtain sectional images of the object which can be arranged into an image of the entire object. Also known are two-dimensional digital detectors which can obtain an image of the entire object at one time, and thus can operate faster than an apparatus which includes a line detector. A digital x-ray imager creates a digital image by converting received x-rays, which are used to form the image, into electrical charges, and displaying the charge as a function of position. Digital x-ray detectors typically have the potential of high sensitivity and large dynamic range. Therefore, when used in medical applications, a digital x-ray detector will generally be capable of obtaining a suitable image of the patient without requiring the patient to receive a large dose of x-ray radiation. Digital image data is also much easier to store, retrieve and transmit over communication networks, and is better suited for computer-aided diagnostics, than conventional film x-rays. Digital x-ray images can also be displayed more easily than conventional film x-rays, and provide greater image enhancement capabilities, a faster data acquisition rate, and simplified data archival over conventional film x-rays. These advantages make digital x-ray imaging apparatus more desirable than film x-ray apparatus for use in many diagnostic radiology applications, such as mammography. The general construction and operation of digital x-ray detectors will now be described. As discussed briefly above, digital x-ray detectors collect electrical charges produced by x-rays as a function of position, where the amount of charge is directly proportional to the x-ray intensity. Two general approaches for x-ray conversion are currently under investigation for flat-panel digital x-ray detectors. These approaches are generally referred to as the indirect method and the direct method. In the indirect method, x-rays are converted to low-energy photons by a scintillator, and the low-energy photons are then converted to electrical charges by solid-state detectors. This method is described in a publication by L. E. Antonuk et al., "Signal, Noise, and Readout Considerations in the Development of Amorphous Silicon Photodiode Arrays for Radiotheraphy and Diagnostic Imaging" Proc. SPIE 1443:108 (1991), the entire contents of which is incorporated by reference herein. In the direct method, x-rays are converted to electron-hole pairs by photoconductors. An electric field applied to the photoconductor separates the electrons from the holes. This method is described in a publication by J. A. Rowlands et al. entitled "Flat Panel Detector for Digital Radiology Using Active Matrix Readout of Amorphous Selenium," Physics of Medical Imaging SPIE 3032: 97-108(1997), and in an article by R. Street, K. Shah, S. Ready, R. Apte, P. Bennett, M. Klugerman and Y. Dmitriyev, entitled "Large Area X-Ray Image Sensing Using a PbI.sub.hd 2 Photoconductor," Proc. SPIE 3336: 24-32 (1998). The entire contents of both of these papers are incorporated by reference herein. Many types of photoconductors are under development by medical imaging community. A type of flat-panel, two-dimensional, digital x-ray, imager comprises a plurality of charge-coupled devices (CCDs) on a silicon substrate. The CCDs can be easily made on the silicon substrate to have a pixel pitch smaller than 10 .mu.m.times.10 .mu.m. However, because the maximum size of silicon substrates is limited, to achieve the dimensions needed for a large-area flat-panel x-ray detector, multiple wafers have to be patched together. Some of the CCD x-ray detectors are described in the following publications: F. Takasashi, et al., "Development of a High Definition Real-Time Digital Radiography System Using a 4 Million Pixels CCD Camera", Physics of Medical Imaging SPIE 3032: 364-375 (1997); J. M. Henry, Martin J. Yaffe and T. O. Tumer, "Noise in Hybrid Photodiode Array--CCD X-ray Image Detectors for Digital Mammography," Proc. SPIE 2708: 106 (1996); and M. P. Andre, B. A. Spivey, J. Tran, P. J. Martin and C. M. Kimme-Smith, "Small-Field Image-Stitching Approach to Full-View Digital Mammography," Radiology 193, Suppl. Nov.-Dec., 253-253 (1994), the entire contents of each being incorporated by reference herein. Alternatively, a flat-panel imager can include active matrix arrays of thin film transistors (TFTs) on a glass substrate. Because glass substrates can be large, the digital x-ray imager can, in principle, be made of a single substrate. However, it is very difficult to make a digital detector with a pixel pitch much smaller than 100 .mu.m using substrates other than silicon wafers, as described in the following publications: L. E. Antonuk et al., "Development of Thin-Film, Flat-Panel Arrays for Diagnostic and Radiotherapy Imaging", Proc. SPIE 1651: 94 (1992); L. E. Antonuk et al., "Large Area, Flat-Panel, Amorphous Silicon Imagers", Proc SPIE 2432: 216 (1995); and L. E. Antonuk et al., "A Large-Area, 97 .mu.m Pitch, Indirect-Detection, Active Matrix Flat-Panel Imager (AMFPI)", SPIE Medical Imaging 1998 Technical Abstracts, San Diego, 83 (1998), the entire contents of each being incorporated by reference herein. As discussed above, digital x-ray imaging techniques represent a vast improvement over conventional film x-ray apparatus. However, digital x-ray imaging systems experience certain drawbacks with regard to image resolution. It has been a common belief that the resolution of the digital image can be no better than the pixel pitch (pixel periodicity) of the imaging apparatus, and is rather often much worse due to various types of blurring phenomena which occur during image acquisition. However, as can be appreciated from the description of the operation of digital x-ray detectors set forth below, pixel pitch is only one of the many factors that influence the resolution of a digital image obtainable by a digital imaging apparatus. Detectors for digital radiography are composed of discrete pixels which generally have a uniform size, shape and spacing. The "fill factor" is defined as the active portion of each detector pixel that is used for charge collection relative to pixel pitch or, in other words, the fraction of the pixel area occupied by the sensor for x-ray detection. A flat-panel imager having thin-film transistors (TFTs), for example, has a fill factor which decreases dramatically as the pixel pitch decreases. The TFTs are large compared to transistors on silicon substrates, and the various electrode lines occupy much surface area of the glass substrate. Hence, the fill factor decreases greatly as the pixel pitch decreases. For example, the fill factor is 57% for a 127 .mu.m pixel pitch array, and is 45% for a 97 .mu.m pixel pitch array which performs indirect x-ray conversion and has been aggressively designed, as described in the article entitled "A Large-Area, 97 .mu.m Pitch, Indirect-Detection, Active Matrix Flat-Panel Imager (AMFPI)" cited above. The fill factor approaches zero as the pixel pitch decreases toward 50 .mu.m in a detector employing indirect converters. When the fill factor is small, the sensitivity of the detector suffers greatly. Fortunately, however, the fill factor can be improved using direct x-ray converters and a vertical stacking architecture. However, such device becomes increasingly difficult to fabricate as pixel pitch decreases. Thus, development costs for such a device are very high, and it is unclear what the smallest achievable pixel pitch could be with this technique. In addition, connecting the data and control lines from the detectors to the gate driver chips and readout amplifiers of the pixel array presents severe packaging problems. Currently, bonding of large array of leads from substrate to cable is limited to a device having no less than about an 80-100 .mu.m pixel pitch. By increasing the pixel resolution, multiplexed contacts or new bonding techniques must be developed to create input and output terminals for the device. The modulation transfer function (MTF), which is a function of spatial frequency f versus location on the detector, is useful for analyzing spatial resolution. Larger MTF values mean better resolution. For existing flat-panel detectors, MTFs are important in analyzing two steps of the image acquisition sequence: the detector pixel pitch, and the blurring produced during the conversion of x-rays to charges. (See, e.g., an article by J. M. Henry, Martin J. Yaffe and T. O. Tumer, "Noise in Hybrid Photodiode Array--CCD X-ray Image Detectors for Digital Mammography," Proc. SPIE 2708:106(1996), the entire contents of which is incorporated by reference herein). The charges generated by x-ray conversion can become blurred spatially. The source of blurring for indirect conversion using phosphor is different from that for direct conversion. For most detectors, the measured MTF is dominated primarily by the blurring of the converter when the pixel pitch is 100 .mu.m or smaller. In addition, settled phosphor scatters light generated by the x-rays. The lateral spreading of the light is approximately equal to the thickness of the layer. For settled phosphor, spatial resolution becomes finer, but the quantum efficiency decreases as the thickness of the phosphor decreases. Optimized thin photoconductors are expected to produce smaller spread. Although the light spread may be less of a problem for thick collimated CsI phosphor, the boundaries of the CsI grains are not perfect. Furthermore, spatial resolution can be degraded due to x-rays striking the detector at an oblique angle. This problem exists for both direct and indirect x-ray converters. The extent of the charge spread collected by the detector is a function of the incidence angle. Since the x-ray incidence angle is a function of location on the detector relative to the x-ray point source, the modulation transfer function (MTF) of conversion blurring and oblique x-ray incidence blurring MTF.sub.conversion is also a function of the location on the detector. The MTF.sub.conversion of for Lanex Regular is much worse than Lanex Thin. The MTF of for Lanex Thin is 0.2, at 5 cycles/mm, as described in the article entitled "A Large-Area, 97 .mu.m Pitch, Indirect-Detection, Active Matrix Flat-Panel Imager (AMFPI)", cited above. The final system MTF is the product of the MTF associated with various components of the system, including the detector array MTF introduced by the detector pixel pitch and the MTF of conversion blurring. For these reasons, the reduction of pixel pitch alone is not as good the combination of reduction of pixel pitch and reduction of conversion blurring. The resolution of the detector is also effected by a variety of other factors that will not be discussed in detail here such as signal statistical noise, charge conversion noise and electronic noise. Gamma rays are radiation generated by nuclear process. The energy of gamma rays are typically higher than that of the x-rays, but low energy range of the gamma rays can overlap the high energy end of the x-rays. These detector concepts can also be applied to the detection of gamma rays and megavolt radiation. A thick scintillator or a metal plate/phosphor screen combination is used. This is described in a publication by L. E. Antonuk, et al., "Demonstration of Megavoltage and Diagnostic X-ray Imaging with Hydrogenated Amorphous Silicon Arrays," Med. Phys. 19: 1455 (1992), the entire contents of which is incorporated by reference herein. In summary, the major problems expected with small pixel detector development are complicated circuit architecture, increased number of leads to be bonded, the small pitch of the leads necessary for bonding, and resolution being increasingly dominated by scintillator blurring and the oblique x-ray incidence effect. These drawbacks result in decreased manufacturing yield, high risk and expensive development. Accordingly, a continuing need exists for an apparatus capable of obtaining high-resolution digital x-ray or gamma ray images without the drawbacks discussed above. SUMMARY OF THE INVENTION An object of the present invention is to provide a method and apparatus for obtaining high-resolution digital x-ray or gamma ray images of an object or objects emitting x-rays or gamma rays, or of an object or objects irradiated with radiation having a wavelength within the x-ray or gamma ray spectrum. Another object of the present invention is to obtain digital x-ray or gamma ray images at a resolution better than the pixel pitch of the detectors used to obtain the digital images. Another object of the present invention is to reduce scattered x-rays or gamma rays detected by the digital detector while also improving image resolution. A further object of the present invention is to minimize blurring of the digital x-ray or gamma ray images which can occur when the x-rays or gamma rays are directly converted into electron-hole pairs in a photoconductor and collected by the active area of the digital detectors. A still further object of the present invention is to minimize blurring of the digital x-ray or gamma ray image which occurs when the x-rays or gamma rays are indirectly converted into electric charges first by converting x-rays or gamma rays to a longer wavelength radiation, for example, optical radiation, and then collecting and converting these radiation and converting them to electrical charge. These and other objects of the present invention are substantially achieved by providing an apparatus and method for obtaining a digital image of an object or objects generating x-rays or gamma rays, or of object or objects irradiated with radiation having a wavelength in the x-ray or gamma ray spectrum generated by a radiation source. The apparatus comprises a detector matrix and a radiation mask. The detector matrix comprises a plurality of two-dimensional array of detector pixels, each of which comprises a detection surface having a respective active surface area and being adapted to generate an electrical signal in response to a radiation stimulus applied thereto. The radiation mask has an opaque portion and a plurality of apertures therein. The mask is positioned between the detector matrix and the radiation source. The radiation can pass through the mask to the detector only through the apertures of the mask. The image resolution is related to the aperture size and system configuration. Many modes of operation of this detector system are described below. In the first mode of operation, the detector images object or objects that give radiation. The mask is placed between the object and the active detector pixels. The mask allows radiation from selected portions of the objects to be imaged by the detector for a single imaging frame. In the second mode of operation, the object or objects are placed between a radiation source and the mask. Again, the mask allows a selected portion of the object or objects to be imaged by the detector for a single image frame. In the third mode of operation, the object or objects are placed between the mask and the detector array, such that the opaque portion of the mask prevents portions of the radiation from passing therethrough, and each of the apertures permits a portion of the radiation which has passed through a respective portion of the object or objects to pass therethrough and propagate onto an active area of the detection surface of a respective one of the detector pixels. The detector pixels therefore each output a respective signal of the respective portion of the object. The imaging apparatus further includes a conveying device which moves the detector matrix and radiation mask in unison in relation to the object to enable the areas of the detection surfaces of the detector pixels to receive portions of the radiation propagating through other portions of the object, and to output signals representative of those other portions. In particular, the detector matrix and radiation mask are moved along a pattern of movement in increments which are a fraction of the pixel pitch of the detector pixels. After each exposure of the detector to the radiation source, the charges collected by the detector array are read out to a computer and the detector array is reinitialized and the detector and mask are moved to the next appropriate position. This process is repeated so those portions of the object or objects which would not normally be imaged by this detector in the stationary mode can be imaged. These steps of moving the detector pixels and mask, and irradiating the object, are repeated until digital images of all portions of the object or objects have been obtained. The digital data are then arranged into an image representative of the entire object or objects. |
description | The invention relates to a calibrated radioactive source that is flexible and that can for example be used in a tissue-equivalent phantom to perform anthropogammametric measurements. Anthropogammametry is the direct in vivo measurement of the radioactivity present in the body of an individual, for example following a radioactive contamination. The measurement method generally used to measure the radioactivity present in the body of an individual consists in quantifying the x and γ radiation emitted by any radionuclides that may be present in said body by means of a detector. However, in order to be able to determine this quantity of radionuclides, it is necessary to calibrate the detector beforehand as a function of the radionuclide considered. To do this, a calibrated source is placed in a physical anthropomorphic phantom. A physical or tissue-equivalent phantom is a substitute reproducing the characteristics of the individual to be studied. It is made from materials having densities and atomic numbers similar to those of human tissues, in such a way that the phantom attenuates the radiation in the same manner as the body of the individual to be considered. One of the most widely used phantoms is the phantom UP-02T (“IGOR”), which consists in a set of rectangular polyethylene bricks of 0.88 kg and 0.4 kg weight that make it possible to simulate different types of persons, ranging from a child of 10 kg to an adult of 110 kg. Each brick comprises two holes in which are placed solid cylindrical radioactive sources of 6 mm diameter and 163 mm length serving to carry out the calibrations. The calibrated radioactive sources presently used for the IGOR phantom are made of a powder labelled by a radioactive product and contained in a hard plastic cylindrical tube. The problem is that these sources, adapted for the IGOR phantom, are no longer manufactured or commercialised and that no equivalent source is currently proposed by French and foreign suppliers of calibrated sources. Calibrated sources that reach the end of their lifetime thus cannot be replaced. Furthermore, these calibrated radioactive sources have the drawback of being easily breakable, which constitutes a non negligible risk of dispersion of the radioactive material. In addition, the calibrated sources freely slide in the holes of the bricks of the phantom, which constitutes a risk of falling or shearing of one or more calibrated sources during the handling of the phantom, leading once again to a dispersion of the radioactive material. The inventors have thus sought to produce a replacement calibrated source that is unbreakable and easily insertable into a hole, for example a hole of a phantom brick, but without possibility of untimely sliding once in place. This aim is attained thanks to a calibrated radioactive source, comprising a container and a material labelled by at least one radionuclide, said labelled material being contained in the container and said container being made of a material transparent to the radiation emitted by said at least one radionuclide, the source being characterised in that the labelled material is a self-hardening polymer that is chemically inert relative to the material used for the container and in that the container is a flexible sheath. The invention thus consists in combining a flexible sheath made of a polymer material (for example a silicone sheath) with a self-hardening polymer, inert relative to the material used for the flexible sheath (for example an epoxy resin) and labelled by one or more radioactive product(s) (radionuclides). In the text, “self-hardening polymer” is taken to mean any polymer that can harden without input of heat. Moreover, “sheath” is taken to mean an envelope of slender and tubular shape. Advantageously, the sheath has an essentially circular section. By using a flexible sheath and a labelled self-hardening polymer for filling the sheath, a calibrated radioactive source is obtained that conserves the advantages of the sheath, namely its flexibility, its resistance to impacts and its capacity to recover its initial shape after deformation. Thus, given that the risks of rupture of the sheath are low, the risk of dispersion of the radioactive material included in the self-hardening polymer is, as well, very low. The risks of dispersion of the radioactive material in the environment during the transport and the handling of the calibrated source according to the invention are thus largely reduced. Moreover, since the source is flexible, it may be used in irregular geometries. Furthermore, since the flexible sheath is made of a polymer material, it has the advantage of being able to be designed with a specific shape that will allow it, when it is deformed, to be inserted easily into a hole or an orifice, then to remain blocked there while recovering its initial shape. The container, which was a hard plastic tube in the prior art, is replaced by a flexible sheath in the invention. Advantageously, the flexible sheath is a hollow cylinder of essentially constant diameter, for example a pipe. Advantageously, the flexible sheath is made of a polymer material, for example silicone elastomer. Advantageously, the self-hardening polymer of the labelled material is an epoxy resin, for example bisphenol. Advantageously, the self-hardening polymer of the labelled material comprises 53% of epoxy resin, 32% of hardener and 15% of liquefier, to + or −1%. Advantageously, the epoxy resin is ARALDITE MY 757®, the hardener is ARADUR 850 CH® and the liquefier is a monoethylic ether of ethylene glycol. Advantageously, the labelled material comprises cobalt 57. The calibrated radioactive source according to the invention may be used in numerous radioactivity measurement devices. For example, the source may be inserted into a tissue-equivalent phantom to perform anthropogammametric measurements. Thus, another object of the invention is an assembly comprising a brick of a tissue-equivalent phantom and at least one calibrated source as described previously. The brick is a polymer block having one face comprising at least one hole intended to receive said calibrated source. The source is configured so as to have at least one curvature in the direction of its length, the distance between the highest part and the lowest part of the source taken in the direction of its width being equal to the largest internal diameter of said hole, so that the source is in contact with the wall of the hole in at least two contact points and exerts pressure forces directed from the source to the wall at the level of said at least two contact points. For example, for a source having a single curvature extending along its length from one end of the source to the other end, the distance between the highest part and the lowest part of the source taken in the direction of the width is the distance between the apex of the curvature (the thickness of the source being included) and the base of the curvature constituted by a straight line joining the two ends of the source. Indeed, since the source is flexible, it is configured so that, in its initial shape (non-deformed state), it has at least one curvature, the distance between the highest part and the lowest part of the source taken in the direction of its width being greater than the diameter of the hole and that, in its deformed shape, the distance between the highest part and the lowest part of the deformed source taken in the direction of its width is less than the diameter of the hole in order that the source can be inserted into the hole. On recovering is initial shape, the source is going to exert pressure forces at the level of contact points of the source with the internal wall of the hole. The advantage of the source according to the invention is that, since the source conserves a certain flexibility on account of the use of a flexible sheath, it is possible to deform the source to insert it into the hole of the brick of the phantom. Once inserted into the hole, the source tends to recover its initial shape and is maintained in place by exerting pressure forces at certain points of the internal walls of the hole. Thus, once in place, the calibrated source does not slide: it is self-blocking. Advantageously, the hole of the brick is a cylindrical and rectilinear hole of essentially constant diameter. Finally, an object of the invention is a method for placing such a calibrated source in a hole of a block. The method for placing a calibrated radioactive source in a hole present in one face of a block, comprises the following steps: the provision of a calibrated source according to any of claims 1 to 6, said source having at least one curvature over its length, the deformation of the calibrated source until the distance between the highest part and the lowest part of the source taken in the direction of its width is less than the smallest diameter of the hole of the block, the insertion of the source into said hole and the blockage of the source in said hole by return of the source to its non-deformed state, the distance between the highest part and the lowest part of the source taken in the direction of its width in its non-deformed state being greater than the smallest diameter of the hole. Once placed in the hole, the source tends to recover its initial shape and is maintained in place in the hole while exerting a pressure force at certain points on the internal walls of the hole. Advantageously, the length of the source is less than or equal to the length of the hole of the block in which it is inserted. The calibrated source thus has dimensions adapted to the dimensions of the hole. Advantageously, the block is a brick of a tissue-equivalent phantom made of polymer. The calibrated source 1 according to the invention comprises a flexible sheath 2 into which is injected a resin labelled by one or more radionuclides 3 (see FIG. 1). The ends of the sheath are coated with a layer of varnish (not represented) that makes it possible to seal the calibrated source. This calibrated source 1 may for example be inserted into a brick 10 of a tissue-equivalent phantom. In FIG. 2 is represented such a brick comprising, in one of its faces 8, two orifices into which two calibrated sources are being introduced. In this FIG. 2, it may be seen that the diameter of the source is less than the diameter of the hole. The calibrated source according to the invention may be obtained by following the following steps: preparing a calibrated solution comprising at least one radionuclide and preparing a self-hardening polymer, providing a flexible sheath made of material transparent to the radiation emitted by the calibrated solution and resistant to impacts, mixing the calibrated solution and the self-hardening polymer until a homogeneous composition is obtained, filling the flexible sheath with the homogeneous composition, leaving the filled sheath to stand until the complete hardening of the homogeneous composition is obtained, sealing the ends of the flexible sheath. According to one embodiment, the method of production may further comprise, after the step of leaving the filled sheath to stand and before the sealing step, a step of cutting the flexible sheath into several sections, each constituting a source. This cutting of the filled sheath into several sections makes it possible in the end to obtain, in one go, several calibrated sources. Advantageously, the sections are essentially of same length. The sealing of the ends of the sheath may be obtained by the application of a varnish on said ends. This makes it possible to seal the calibrated source. It is pointed out that in the case where the sheath is cut into several sections, the sheath then has 2n ends, with n equal to the number of sections and it is these 2n ends that are sealed, for example by the application of a varnish. Preferably, the calibrated solution has a weight less than or equal to 2% of the weight of the homogeneous composition. According to one embodiment, the preparation of the self-hardening polymer is carried out by mixing epoxy resin, a hardener and a liquefier. Advantageously, the self-hardening polymer comprises 53% of epoxy resin, 32% of hardener and 15% of liquefier, to + or −1%. Advantageously, the epoxy resin is ARALDITE MY 757®, the hardener is ARADUR 850 CH® and the liquefier is a monoethylic ether of ethylene glycol. Advantageously, the material of the flexible sheath is a polymer, for example a silicone elastomer. By way of illustration, the production of ten calibrated sources labelled with cobalt 57 and adapted for anthropogammametric measurement tests in the IGOR tissue-equivalent phantom will be described. As has been pointed out above, the calibrated source according to the invention comprises a self-hardening polymer labelled by means of at least one radionuclide and contained inside a flexible sheath. The self-hardening polymer is obtained by mixing three products, namely a resin chemically inert relative to the material used for the sheath, for example a bisphenol A epoxy resin, a hardener and a liquefier. The liquefier makes it possible to ensure the homogenisation of the mixture constituted by the resin, the hardener and the calibrated solution. In the present example, an epoxy resin commercialised under the brand name ARALDITE MY 757, a hardener commercialised under the brand name ARADUR 850 CH are used, these two products being commercialised by the company HUNTSMAN ADVANCED MATERIALS (EUROPE) BVBA, and the liquefier is for example a monoethylic ether of ethylene glycol, chemically pure, the empirical formula of which is C2H5OCH2CH2OH. These products are poured successively into a plastic recipient and their weights are determined beforehand by weighing while keeping to the following proportions, expressed in percentage of the total weight of the mixture: 53% of epoxy resin, 32% of hardener, 15% of liquefier, which corresponds to the following quantities of 15.9 g of epoxy resin MY 757, 9.6 g of hardener 850 CH and 4.5 g of liquefier C2H5OCH2CH2OH. To this mixture is added 0.19281 g of a calibrated solution of cobalt 57, i.e. 10 420 Bq on the 3 Dec. 2004, obtained by mixing 50 microgrammes of labelled cobalt chloride in 5 mL of 0.1 M hydrochloric acid. The different components are then mixed until a homogeneous composition is obtained. For example, the components may be mixed manually using a wooden spatula. It is pointed out the weight of the calibrated solution is quantified by differential weighing and must be less than 2% of the total weight of the homogeneous composition, in other words of the product comprising at the same time the calibrated solution, the elastomer, the hardener and the liquefier. If the calibrated solution is greater than 2% of the total weight of the homogeneous composition, the polymerisation is not homogeneous, which adversely affects the quality of the source. The homogeneous composition is then introduced into a flexible sheath made of material transparent to the radiation emitted by the radionuclide(s) present in the self-hardening polymer. The sheath is a flexible sheath made of polymer chemically inert relative to its container, in other words the labelled self-hardening polymer. In our example, the silicone sheath used is a cylindrical pipe of essentially constant diameter. The material of the sheath is chosen so that the sheath has a good resistance to sunlight and ozone, and generally speaking, to all the normal factors of ageing of polymers. The material of the sheath is also chosen so that the sheath conserves its physical, mechanical and electrical properties in the range of temperatures in which the source will be used; for example, the material of the sheath continuously conserves said properties between −20 and +200° C. The sheath is for example made of silicone elastomer. In order to avoid inclusions of air bubbles in the final product, the homogeneous composition is left to stand for a certain time, preferably 1 hour, before carrying out the filling of the flexible sheath. The stand time is chosen so that the labelled self-hardening polymer has sufficiently degassed, but that it has not too much hardened: a waiting time of 1 hour is a good compromise with the type of polymer, hardener and liquefier used in this example. Then, after having stood for one hour, the homogeneous composition is injected into a flexible sheath of silicone elastomer pipe type measuring two meters long, 5.5 mm external diameter and 3 mm internal diameter, while creating a vacuum in the sheath by means of a peristaltic pump (the pumping rate is adjusted to 7 mL per min). The injection by pumping is stopped when the homogeneous composition reaches around 5 cm from the valve of the peristaltic pump. The filled sheath is then placed on a flat support, if necessary fixing it onto the support, and the two ends of the sheath are raised into vertical position in order to prevent the homogeneous composition from flowing out of the sheath. The filled sheath is left to stand in order that the homogeneous composition, and in particular the self-hardening polymer that it contains, can degas and harden. After 72 hours, the hardening of the homogeneous composition is complete: the appearance of the homogeneous composition in the sheath is satisfactory (without bubbles and of homogeneous colour). The sheath is then cut into ten sections each measuring 163 mm long. The ten sections thus form ten calibrated sources each having a length of 163 mm and an external diameter of 5.5 mm. Then, the ends of each of the sections are coated with a layer of varnish in order to guarantee the sealing of each calibrated cylindrical source. Given that the homogeneous composition, once hardened, remains however flexible since it is placed inside a flexible sheath, the source (filled sheath) also conserves a flexible character. Thus, even when the sheath is damaged (which is much rarer than with a tube made of hard plastic), the contents of the sheath (in other words the labelled homogeneous composition) do not flow and do not spread outside of the sheath, unlike the powders of the prior art, which disperse easily. In the end, flexible and sealed radioactive sources are thereby obtained. These ten sources are then weighed and their radioactivity is measured. To do this, the sources are alternately positioned in the bottom of a plastic box adapted for a gamma spectrometry measurement. The box is placed 5 cm from a gamma detector, which receives and counts the gamma photons coming from the cobalt 57 of the sources (principal line at 122 keV), during a measurement time of 4 200 seconds. The activity of each source is then determined. To do this, the average weight of an empty sheath of same length is subtracted from the weight of a determined source to obtain the weight of homogeneous composition included in the sheath. The activity is obtained by multiplying the total activity incorporated in the totality of the homogeneous composition by the weight of homogeneous composition present in the sheath in question, and by dividing by the total weight of homogeneous composition prepared. The results are shown in table 1 below. It is pointed out that a value equal to 3.0028 g has been taken as average weight of the empty sheath. On reading these results, it may be noted that the calibrated sources according to the invention are substantially identical. The method of production is thus validated. TABLE 1Total weight ofTotal numberCountingActivityNumber of hitsN° of thethe calibratedWeight ofof hits inuncertaintymeasured on theper gramme ofsheathsource (g)resin (g)4200 secondsin hits20 Mar. 2006 (Bq)resin14.47701.474210361221.6145.4702824.41761.41489965.2222.9139.9704434.46431.461510403223.7146.0711844.47951.476710388223.3145.8703454.55341.550610445228.4146.6673664.54081.538010663222.9149.3691374.55011.547310606222.9148.86 855 84.53171.528910271218.6144.1671894.50991.507110321221.1144.96848104.51881.516010355217.5145.36830Average1.501510375145.66736Standard0.0478175.52.459deviation One of the advantages of calibrated sources according to the invention is that they enable a better security of use, if only during their handling, for example during the insertion of calibrated sources into the bricks of a phantom to perform the calibration of anthropogammametric measurement installations. Indeed, since the calibrated sources are much more resistant to impacts than known sources, the radiation protection is improved during phases of handling and transport of the sources. The sources can easily be handled and moved to the different anthropogammametric measurement installations situated in France, but also abroad, and inter-laboratory tests may thereby be carried out to compare the results obtained in various geographic locations. Another advantage is that the calibrated source obtained meets the needs expressed by its future user. Indeed, in the example illustrated above, the calibrated solution introduced into the self-hardening polymer is made from cobalt 57. But the self-hardening polymer can certainly contain another radioactive element or several different radionuclides, such as caesium 137, barium 133, cobalt 60, etc. Similarly, the quantity of radionuclide(s) that it is desired to introduce into the self-hardening polymer, as well as the intensity of its activity, may be chosen. Moreover, by choosing the external diameter of the flexible sheath, as well as the length of the sheath sections, it is possible to obtain calibrated cylindrical sources having diameters and lengths adapted to the envisaged use. The calibrated sources according to the invention may thus, for example, be placed in any IGOR phantoms used in existing anthropogammametric measurement installations. It is also possible to provide to produce calibrated sources intended to be introduced into phantoms having narrower or wider openings than those of the IGOR phantoms. The sources according to the invention may for example be used to perform inter-comparisons between laboratories. Furthermore, since the sources according to the invention may be produced with different diameters and lengths, it is possible to use these sources to perform the calibration of measurement installations using other types of phantom than the IGOR phantom, these phantoms having holes of larger or smaller diameters than those of the IGOR phantoms. The source may have an initial shape (non-deformed state) having one or more curvatures. For example, the source may have a cylinder shape having two curvatures in a plane passing through the axis of the cylinder. By modifying the curvature(s) of the source, it is then possible to insert it into the hole of a phantom. For example, if the hole of the phantom in which it is wished to insert the source is a rectilinear cylinder of constant diameter, the curvature(s) of the source may be attenuated in such a way that the source can be inserted into the hole. Once inserted, the source is going to tend to recover its initial shape and thus remain blocked in the hole. According to an example of application represented in FIG. 1, the source is a cylinder comprising a single curvature extending over the whole length of the source, in other words from one end to the other of the source, the curvature having a deflection greater than the diameter of the hole in which it is desired to introduce the source. The deflection is here the distance between the apex of the curvature, in other words the highest part of the source taken in the direction of its width (the thickness of the source being included in this distance), and the base of the source (straight line passing through the two ends of the source), the distance between the apex and the base being obviously taken along a straight line perpendicular to the base (distance d in FIG. 1). The source is deformed so that the deflection of the curvature is less than the diameter of the hole, then the source is inserted into said hole. Once inserted, the source tends to recover its initial shape in the orifice 13 of the brick. Given that the deflection of the curvature of the source in its initial shape is greater than the diameter of the hole, certain parts of the source are going to come into contact with the walls 11 of the orifice 13 while exerting there pressure forces. As it happens, since the source has a single curvature extending over the assembly of the length of the source, there is in the end three contact points at the level of which are exerted three pressure forces 12 on the internal wall 11 of the orifice, namely two contact points situated at the ends of the lateral surface of the source and at the level of which are exerted two pressure forces directed from the source to the internal wall, and a central contract point at the level of which is exerted a pressure force directed from the source to the internal wall, this latter pressure force being of direction opposite to the direction of the two pressure forces exerted at the ends of the source (see FIG. 3). The source is thus blocked in the hole: it is self-blocking. By varying the length and the diameter of the source during its production and/or by varying its initial shape (one or more curvatures, more or less pronounced), while taking care however that the source conserves its flexible character, it is thereby possible to form calibrated self-blocking sources that can be easily inserted into the holes of the bricks of any phantom and remain blocked therein. |
|
048083372 | claims | 1. A method of forming synthetic rock incorporating radioactive waste wherein precursor materials for the synthetic rock mixed with radioactive waste are placed in a metal canister, the wall of which includes a bellows like wall structure, the method comprising heating the canister and its contents and maintaining a sufficiently elevated temperature during the application of axial pressure to the canister to cause the formation of synthetic rock and the discharge of gases, and characterised by using a canister including a discharge duct connected to an exhaust gas processing system for discharging gases from within the canister. 2. The method according to claim 1, wherein upstream of the discharge duct a filter structure is provided within the canister and the filter structure retains good gas permeability at high temperature and prevents any solid material escaping from the canister during densification of material being compressed therein. 3. The method according to claim 2, wherein the filter structure comprises a cap-like structure having apertures therein and co-operating with a base end wall of the canister which has an aperture therein leading to the discharge duct, a cavity being defined between the aperture and the cap and incorporating a filter material whereby ingress of synthetic rock forming materials into the filter during compression of the canister is substantially avoided. 4. The method according to claim 1, wherein the discharge duct is in the form of a bore extending through a base end wall of the canister and terminating in a pipe adapted to be connected to a gas processing system. 5. The method according to claim 1, wherein the discharge duct is in the form of a slot-like recess in the bottom of a base end wall of the canister, the discharge duct is an operating position being closed by co-operation with an upper face of a pressure pad located on the hydraulic ram. 6. The method according to claim 1, wherein a cylindrical screen is provided confining the particulate material to a central zone of the canister and preventing the ingress of this material into the region of the convolutions of the bellows like structure in the cylindrical side wall. 7. The method according to claim 1, wherein the discharge duct terminates in a pipe which communicated with a gas extraction manifold and a suction is applied to enable reliable salvaging of all discharged gas. 8. The method according to claim 7, wherein the pipe is an L-shaped pipe fitting having a horizontal limb rotatably mounted in sealing engagement in the base end wall of the canister and connected to the discharge duct; an arm of the L-shaped pipe fitting extending at right angles to this horizontal limb is adapted to be rotated from an upwardly directed transport position to a downwardly directed location by pivotal action whereby an open tip of the pipe is inserted through a slot in a side wall of an upwardly directed tube which forms the manifold for the extraction system. 9. A method of forming synthetic rock incorporating radioactive waste wherein precursor materials for the synthetic rock mixed with radioactive waste are placed in a metal canister, the canister as being claimed in claim 1, the method comprising heating the canister and its contents and maintaining a sufficiently elevated temperature during the application of axial pressure to the canister to cause the formation of synthetic rock and the discharge of gases. |
040597695 | summary | BACKGROUND OF THE INVENTION The present invention relates to radiation sources adapted for Mossbauer investigations of chemical compounds employed for investigating structures of chemical compounds, studying chemical transformations of organic and inorganic chemical compounds as well as for analytical applications and in industry. More specifically, the present invention relates to a radiation source for Mossbauer investigations of tellurium compounds. Known in the art are radiation sources for Mossbauer investigations of tellurium compounds such as the binary tellurium compounds Zn.sup.67 Te.sup.125m and PbTe.sup.125m and such sources as Sb.sup.125 in Cu, l.sup.125 and .beta. --Te.sup.125m O.sub.3. There are various methods of preparing such radiation sources. In the preparation of sources such as ZnTe and PbTe after the production of a chemical matrix from inactive compounds such as Zn.sup.67 Te.sup.124 and PbTe.sup.124, the matrix is exposed to irradiation in a reactor by means of thermal neutrons, whereafter, to eliminate radiation defects, the matrix is annealed at a temperature within the range of from 400.degree. to 500.degree. C for a period of from 1 to 3 hours. Sources made of Sb.sup.125, l.sup.125 and .beta.--Te.sup.125m O.sub.3 require pre-irradiation of an isotopic raw material in a reactor, followed by special radio-chemical synthesis to obtain a required chemical form of the source. The prior art sources and the methods of preparing the sources feature some disadvantages, among which the most essential are the following: 1. Sources such as ZnTe and PbTe, Sb.sup.125 in Cu have but a small probability of Mossbauer effect which, even at the temperature of liquid nitrogen, does not exceed 0.2 and at room temperature is at most 0.05. Furthermore, these sources have, as a rule a widened emission line as compared to the natural one (2.GAMMA..sub.n = 5.3 mm/sec) which is due to difficulties of obtaining a strict stoichiometric composition of these compounds used as a chemical form of the sources. Sources using l.sup.125 also have a small probability of Mossbauer effect and may be used only in emission spectroscopy. 2. The source .beta.--TeO.sub. 3, though having good Mossbauer parameters, is difficult to prepare. To produce it, one should perform a complex radio-chemical synthesis of an unstable compound, viz. .beta.--TeO.sub. 3 which already at a temperature of about 100.degree. C is transformed into other modifications, whereby the effect becomes less pronounced and the emission line is widened. Moreover, to prepare this compound, conditions of a specialized radio-chemical laboratory are necessary. SUMMARY OF THE INVENTION It is an object of the present invention to provide a radiation source for Mossbauer investigations of tellurium compounds with a high probability of Mossbauer effect and a natural width of the emission line. This object is accomplished in that the radiation source for Mossbauer investigations of tellurium compounds is prepared, in accordance with the present invention, on the basis of a chemical compound of tellurium, viz. 5MgO.Te.sup.124 O.sub. 3. The process of preparing the radiation source is by way of irradiating a chemical compound of tellurium in a reactor by means of thermal neutrons, followed by annealing this compound, which according to the present invention is 5MgO.Te.sup.124 O.sub. 3, and annealing is performed at a temperature within the range of from 600.degree. to 1,100.degree. C for a period of from 5 to 10 hours. It is preferred, however, to perform the annealing at a temperature within the range of from 900 to 1,000.degree. C for 6 hours. The radiation source for Mossbauer investigations of tellurium compounds prepared in accordance with the present invention on the basis of 5MgO.Te.sup.124 O.sub. 3 has a high probability of Mossbauer effect equal to 0.20 at room temperature and therewith 0.51 at the temperature of liquid nitrogen; therewith, it has a natural width of the emission line. The method of preparing this radiation source is readily accessible for practically any laboratory and, which is most important, does not require a radiochemical synthesis under specialized conditions. For this purpose, it is necessary to prepare, once, a stable nonradioactive compound 5MgO.Te.sup.124 O.sub. 3 in the required amount and to irradiate the compound in the reactor as necessary. DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT Given hereinafter is a description of the preferred embodiment of the method of preparing a radiation source for Mossbauer investigations of tellurium compounds based on 5MgO.Te.sup.124 O.sub. 3. The latter compound in a powder-like form is placed into a quartz ampule to be irradiated in a reactor. The flux of thermal neutrons and the irradiation time depend on a desirable source activity. It is advisable that the source activity be within the range of from 10 mC to 10 C at a flux of thermal neutrons of from 10.sup.13 to 10.sup.15 thermal neutrons/cm.sup.2.sec, while the irradiation time per se is varied from 10 hours to 1,000 hours. In doing so, a radioactive compound of 5MgO.Te.sup.125m O.sub. 3 is produced. After irradiation, the radioactive compound 5MgO.Te.sup.125m O.sub. 3 is annealed at a temperature within the range of from 600.degree. to 1,100.degree. C for a period of from 5 to 10 hours and then gradually cooled to room temperature. The annealed finely-divided powder of 5MgO.Te.sup.125m O.sub. 3 is uniformly distributed over the surface of a substrate using an adhesive such as BF-2 as a binder and coated with an aluminium foil on a cement. At this stage the procedure of making a radiation source is finished. A method of preparing the above-mentioned compound 5MgO.Te.sup.124 O.sub. 3, if it is not available, contemplates a simple chemical synthesis. As the starting material therefore use is made of a stable isotope Te.sup.124 (with a high enrichment of Te.sup.124, i.e. about 90% and above). The synthesis is effected in the following manner: EQU Te.sup.124 + 3H.sub.2 O.sub.2 + 5MgSO.sub.4 + 10 KOH .fwdarw. 5 MgO.Te.sup. 124 O.sub.3 + 5K.sub.2 SO.sub.4 + 8H.sub. O 5mgO.Te.sup.124 O.sub.3 in the form of a residue resulting from the reaction is dried at a temperature of from 120.degree. to 140.degree. C for 1 hour and then calcined for 2 hours at 1000.degree. C. Thereafter the compound is ready to be irradiated in the reactor. |
summary | ||
051715163 | abstract | A reactor core monitoring system and method wherein: by solving the heterogenous neutron diffusion equation in an infinite lattice consisting only of the fuel segment under consideration of the fuel segments arranged in a reactor core, the local power distribution in infinite lattice and the R factor in infinite lattice used in the critical quality calculation are found beforehand, the neutron diffusion equation is solved by homogenizing each of the fuel segments in a region defined in the core and consisting of the selected fuel segment and the fuel segments surrounding the selected fuel segment. Using this solution, the local power distributions are found by correcting the previously found local power distribution in an infinite lattice consisting only of the selected fuel segment. The R factor for the selected fuel segment is then corrected, and the critical power ratio is determined using this corrected R factor. Control rods of the reactor core are inserted to avoid a boiling transition when the critical power ratio falls below a predetermined threshold. |
056407025 | abstract | There is disclosed an improved method and system for the selective treatment and volume reduction of mixtures of gaseous, liquid and solid contaminated waste materials. The contaminated waste materials include mixtures of hazardous and/or radioactive wastes which react with selected active reducing metals in liquid form, preferably aluminum. |
047755083 | summary | BACKGROUND OF THE INVENTION The present invention pertains to the fields of zirconium base alloy fuel cladding for use in pressurized water and boiling water reactors. It is especially concerned with fuel cladding having properties which minimize the adverse effects of pellet-clad interaction (PCI) in water reactor fuel elements. The use of cladding tubes made entirely of a high zirconium alloy has been the practice in the water reactor industry. Examples of common alloys used are Zircaloy-2, and Zircaloy-4. These alloys were selected based on their nuclear properties, mechanical properties and high-temperature aqueous-corrosion resistance. The history of the development of Zircaloy-2 and 4, and the abandonment of Zircaloy-1 and 3 is summarized in: Stanley Kass, "The Development of the Zircaloys," published in ASTM Special Technical Publication No. 368 (1964) pp. 3-27. This article is hereby incorporated by reference. Also of interest with respect to Zircaloy development are U.S. Pat. Nos. 2,772,964; 3,097,094; and 3,148,055. It is understood that a popular zirconium base alloy in the USSR for use in water reactor applications has been Ozhennite-0.5. This alloy is believed to nominally contain: 0.2 wt.% Sn--0.1 wt.% Fe-0.1 wt.% Ni, and 0.1 wt.% Nb. Most commercial chemistry specifications for Zircaloy-2 and 4 conform essentially with the requirements published in ASTM B350-80, (for alloy UNS No. R60802 and R60804, respectively) for example. In addition to these requirements the oxygen content for these alloys is required to be between 900 to 1600 ppm but typically is about 1200.+-.200 ppm for fuel cladding applications. ASTMB350-80 is hereby incorporated by reference. It has been a common practice to manufacture Zircaloy cladding tubes by a fabrication process involving: hot working an ingot to an intermediate size billet or log; beta solution treating the billet; machining a hollow billet; high temperature alpha extruding the hollow billet to a hollow cylindrical extrusion; and then reducing the extrusion to substantially final size cladding through a number of cold pilger reduction passes, having an alpha recrystallization anneal prior to each pass. The cold worked, substantially final size cladding is then final annealed. This final anneal may be a stress relief anneal, partial recrystallization anneal or full recrystallization anneal. The type of final anneal provided, is selected based on the designer's specification for the mechanical properties of the fuel cladding. One problem that has occurred in the use of fuel rods utilizing the aforementioned cladding has been the observation of cracks emanating from the interior surface of the cladding which is placed under additional stress by contact with a fractured, thermally expanding oxide fuel pellet. These cracks sometimes propagate through the wall thickness of the cladding destroying the integrity of the fuel rod and thereby allowing coolant into the rod and radioactive fission products to contaminate primary coolant circulating through the reactor core. This cracking phenomena, is generally believed to be caused by the interaction of irradiation hardening, mechanical stress and fission products, producing an environment conducive to crack initiation and propagation in zirconium alloys. Zircaloy fuel cladding tubes having a zirconium layer bonded to their inside surface have been proposed as being resistant to the propagation of cracks initiated at the interface between the fuel pellet and cladding during water reactor operation. Examples of these proposals are provided by U.S. Pat. Nos. 4,045,288; 4,372,817; 4,200,492; and 4,390,497; and U.K. patent application No. 2,104,711A. The foregoing patents are hereby incorporated by reference. The zirconium liners of the foregoing patents have been selected because of their resistance to PCI crack propagation without consideration of this resistance to aqueous corrosion. If the cladding should breach in the reactor, allowing coolant inside the cladding, it is expected that the aqueous corrosion resistance of the liner will be vastly inferior to that of the high zirconium alloy making up the bulk of the cladding. Under these conditions the liner would be expected to completely oxidize thereby becoming useless, relatively rapidly, while leading to increased hydride formation in the zirconium alloy portion of the cladding, thereby compromising the structural integrity of the zirconium alloy. This degradation of the cladding could lead to gross failure with significantly higher release of uranium and radioactive species to the coolant. The art has sought to address this aqueous corrosion resistance problem by burying the zirconium layer of the aforementioned patents between layers of conventional zirconium alloys having high aqueous corrosion resistance or by substituting a dilute zirconium alloy for the internally exposed zirconium layer of the prior proposals. Examples of these designs are described in U.K. patent application No. 2,119,559. Despite these efforts there continues to be a need for water reactor fuel cladding having the excellent aqueous corrosion resistance of conventional zirconium alloys on both its inside diameter and outside diameter surfaces, while having improved PCI crack propagation resistance compared to conventional Zircaloy-2 and Zircaloy-4 fuel claddings. SUMMARY OF THE INVENTION In accordance with the present invention a tubular fuel cladding tube is provided having excellent aqueous corrosion resistance on both its outside diameter and inside diameter surfaces as well as improved PCI crack propagation resistance compared to conventional Zircaloy-2 and 4 fuel claddings. The fuel cladding of the present invention has an outside cylindrical layer composed of a zirconium base alloy selected from Zircaloy-2 and Zircaloy-4. Metallurgically bonded to this outer layer is an inner cylindrical layer composed of a second zirconium alloy having the composition shown below: about 0.1-0.3 wt.% tin; PA1 about 0.05-0.2 wt.% iron; PA1 about 0.05-0.4 wt.% niobium; PA1 about 0.03 to 0.1 wt.%, total, of an element selected from the group consisting of nickel, chromium and their combinations together; PA1 300 to 1200 ppm oxygen; PA1 and the balance essentially zirconium. In the above composition the sum of the iron plus chromium plus nickel contents are held to less than 0.25 wt.%. Preferably the oxygen content is between about 300 and 1000 ppm, and more preferably between about 300 and 700 ppm. Also in accordance with the present invention it is preferred that the niobium content be between 0.05 to 0.2 wt.%. These and other aspects of the present invention will become more apparent upon review of the FIGURE in conjunction with the following detailed description of the invention. |
052681289 | description | DESCRIPTION OF THE PREFERRED EMBODIMENTS The invention is suitable for treating various types of particulate materials, and especially contaminated soil, but it can also be used to treat sludges, sediments, scrap yard dust and the like. These particulate materials can be contaminated with heavy metals, organics and radioactive species either alone or in combination. FIG. 1 illustrates a first embodiment of the invention. Initially, the excavated soil is processed to remove large rocks and debris. This step is not shown in FIG. 1. The soil is then processed in a mechanical size separator 10 such as for instance a rotating drum or vibrating screen device to sort and prewash the feed soil with a contaminant mobilizing solution, provided through line 12. Large pieces of soil, for instance larger than 5 mm are washed with the contaminant mobilizing solution, rinsed with water supplied through line 14, checked for residual contaminants, and returned to the site as recovered soil. The contaminant mobilizing solution (or process stream) used to wash the soil will be dependent upon the contamination to be removed. For soluble contaminants, the solution will contain a leaching agent. Many suitable leaching agents are known and common leaching agents suitable for leaching radioactive compounds include for example potassium carbonate, sodium carbonate, acetic acid, sodium hypochloride, and others. Leaching agents for contaminants typically found in contaminated soils and the like are well known. For dispersible contaminants, the contaminant mobilizing solution contains a suitable surfactant. Again, suitable surfactants for dispersing contaminants such as oil, grease, polychlorinated biphenyls, etc., are also known. The contaminant mobilizing solution may contain various combinations of leaching agents and surfactants, again, depending on the contaminants in the soil to be cleaned. The effluent of soil particles smaller than 5 mm and contaminant mobilizing solution discharged from the mechanical separator 10 through line 16 is then processed in a countercurrent flow size separator such as the mineral jig 18. In the jig 18, additional contaminant mobilizing solution supplied through line 13 flows upwardly countercurrent to the effluent. The fines are carried upwardly with the upward flow of contaminant mobilizing solution to form a slurry which is discharged through a line 20. These fines typically include heavy metal particles. The velocity of the upward flow of contaminant containing solution in the mineral jig 18 is set to separate fines of a desired size, for example fines smaller than 60 microns in diameter. The slurry discharged in the line 20 includes, in addition to the fines, contaminant mobilizing solution which contains leached and dispersed metals and organics. Heretofore, mineral jigs such as that disclosed in U.S. Pat. No. 4,783,253, have only been operated in a concurrent flow mode. We operate the mineral jig 18 in a countercurrent flow mode. For such countercurrent flow operation, the jig can be operated with a stroke length of 1/2 to 3/4 inch, a pulse frequency of 300 to 400 per minute, an upflow rate of contaminant mobilizing solution of 1 to 8 liters per minute an underflow rate of 1 to 3 liters per minute, with one layer of balls 3/16 inch in diameter or greater to provide a soil under flow of 80 to 95 percent and soil over the top of 20 to 5 percent. The intermediate sized particles between 5 mm and 60 microns in diameter, which are discharged from the bottom of the mineral jig 18, are abraded in an attrition scrubber 22 which dislodges mineral slime or fines from them. The intermediate sized particles and the dislodged fines discharged from the attrition scrubber 22 through line 24 are rinsed in a second countercurrent flow size separator such as the second mineral jig 26 operated in the manner discussed above in connection with jig 18. The countercurrent flow in the second mineral jig 26 is wash water which flows upwardly at a velocity again selected to separate the dislodged fines, typically of 60 microns in diameter and smaller. The slurry of fines and wash water is discharged through line 28. The remaining intermediate sized particles discharged from the second mineral jig 26 are processed in a density separator such as a cross-current flow jig 30 to extract higher density heavy metal solid waste particles. The mineral jig 30, which is similar to the jigs 18 and 26 is operated in the cross-current flow mode with a stroke length of 1/8 to 3/16 inch, a pulse frequency of 100-400/min, a water upflow rate of 1 to 8 liters/min, one to three layers of balls less than 3/16 inch to provide soil over the top of 80 to 95 percent and a soil underflow of 20 to 5 percent. The cross-current flow carrying the intermediate sized soil particles is discharged through a line 32 into dewatering apparatus such as, for instance, a clarifier 34 or a hydroclone. Sludge from the clarifier 34 is pumped by a pump 36 onto a drying pad 38. The dried particles recovered from the drying pad are checked for cleanliness and returned to the site as additional cleaned soil. Water removed by the clarifier 34 is circulated by a pump 40 through a line 42 as the countercurrent wash water for the second mineral jig 26, and through line 44 as the cross-current flow for the density separator jig 30. The two waste slurry streams in the lines 20 and 28 from the first and second mineral jigs 18 and 26, respectively, are discharged into precipitation equipment 46 to which is added a precipitant to precipitate the dissolved metals. A sulfide or other suitable agent can be used to precipitate the dissolved metals present in a particular contaminated soil. These precipitates and fine soil particles will be highly contaminated with organics and heavy metals. A flocculant, such as for example Nalco 7182, an anionic polymer that does not interfere with trace metal absorption and co-precipitation, supplied by the Nalco Chemical Company, Naperville, Ill., is added to the precipitates and fines conveyed from the precipitation equipment 46 through a line 48 to dewatering apparatus 50 which may include for instance Bardles-Mozley concentrator 52 which separate micron size particles of high specific gravity. Simultaneously, fine particles are washed by the high shear, orbital shaking of the table. Fine soil solution which is washed from the table is passed through high intensity matrix magnetic separators which remove micron sized particles coated with weakly paramagnetic hydroxides containing inorganic contaminants. Solids from the remaining solution are then separated from the stream by either filtration or flocculation settling and pelletizing in apparatus 54. The organically contaminated fractions can be further treated biologically, chemically or thermally and returned to the site. Concentrated solids removed by the Bartles-Mozley concentrator 52 can be disposed of or sold as a concentrate. The filtrate is passed through the line 55 to an activated carbon bed 56 to remove all organics before being sent through line 58 for recycling. The recycled solution is discharged in the one of two contaminant containing solution makeup tanks 60 and 62 which is not currently being used to feed the process. Makeup chemicals 61 may be supplied to the makeup tanks 60 and 62. The contaminated activated carbon in the bed 56 can be thermally or chemically treated or buried. The recycled contaminant mobilizing solution is analyzed and an active component such as caustic or emulsifier are made up on a batch basis in the off-line makeup tank 60 or 62. Contaminant mobilizing solution from the active one of the tanks 60 and 62 is pumped by the pump 64 or 66, respectively, through the line 12 to the mechanical size separator 10 and through the line 13 to the first mineral jig 18. FIG. 2 illustrates a modified embodiment of the invention in which the contaminated soil, after large pieces have been removed, is fed to a mechanical size separator in the form of the screw washer/classifier 68 where the soil is washed with the contaminant mobilizing solution supplied through a line 70, and where the larger particles are rinsed with a water based cleaning solution introduced through line 72 and discharged as clean large solids. The intermediate sized particles and fines are passed through a line 74 to a first attrition scrubber 76 where attached fines are dislodged from the intermediate sized particles. The abraded particles are then discharged into a countercurrent flow size separator in the form of a first mineral jig 78. The countercurrent flow in mineral jig 78 is provided by contaminant mobilizing solution supplied through the line 79. A slurry of fines and contaminant mobilizing solution containing dissolved and or dispersed contaminants is discharged from mineral jig 78 through the line 80. The intermediate sized particles are passed through a second attrition scrubber 82 where they are again abraded to dislodge additional attached fines, and a second countercurrent flow size separator in the form of a mineral jig 84 which uses an upward flow of wash water to separate the additional dislodged fines in a waste slurry which is discharged through line 86. The remaining intermediate sized particles are dewatered in a hydroclone 88 and then clarified in a tank 90. Sludge from the tank 90 is deposited through a line 92 on a drying bed 94 by a pump 93 to produce additional cleaned soil to be returned to the site. Water removed by the cyclone 88 is recycled as the wash water through line 96 to the second mineral jig 84. Makeup water is added as required through line 97. The two waste slurry streams in lines 80 and 86 are delivered through line 98 to dewatering apparatus which includes hydroclones 100. The cleaned fines from the hydroclones 100 are discharged through a line 102 into a precipitation reactor 104 to which a flocculant is added. Dewatered fines can be removed from the reactor 104 for disposal, or for further treatment. Overflow solution from the tank 104 and discharged from the cyclone 100 is recycled. Where the contaminants include radioactive compounds or heavy metals, the recycled solution can be passed through an ion exchange bed 106 to remove the soluble metals before being discharged into the contaminant mobilizing solution makeup tanks 108 and 110. Again, while makeup chemicals 61 are being added to one makeup tank 108 or 110, contaminant mobilizing solution is being pumped by a pump 109 or 111 from the other tank to the screw washer/clarifier 68 and the first mineral jig 78. FIG. 3 illustrates yet another embodiment of the invention. This embodiment utilizes a screen/washer mechanical size separator 112 similar to that used in the first embodiment to wash the feed soils with contaminant mobilizing solution supplied through line 113 and to separate and rinse with water provided through line 115 the large particles such as those over 5 mm. The intermediate sized particles and fines are then carried through a line 114 to a first attrition scrubber 116 which dislodges attached fines from the intermediate sized particles. The fines including those dislodged in the attrition scrubber 116 are then separated from the intermediate sized particles in a countercurrent flow size separator such as the first mineral jig 118 where the countercurrent flow is contaminant mobilizing solution provided through the line 120. The waste slurry containing the fines and solubilized and dispersed contaminants is discharged through the line 122. The remaining particles are passed through a second attrition scrubber 124 and then through a line 126 to a second mineral jig 128 for size separation by the countercurrent flow of rinse water. The waste slurry containing the fines is discharged from the second mineral jig 128 through line 130. The intermediate sized particles discharged from the second mineral jig 128 are passed through a classifier or gravity separator such as a cross-current flow jig 132 to remove heavy metal particles for disposal. The remaining intermediate sized particles are dewatered such as in clarifier 134. Again, the sludge from the clarifier 134 is discharged by pump 136 onto a drying pad 138 to produce additional clean soil. Water removed in clarifier 134 is recirculated by the pump 140 through a line 142 to supply the countercurrent flow to the second mineral jig 128 and through a line 144 to the cross-current flow jig 132. As in accordance with the invention, the waste slurry stream in lines 122 and 130 is treated to remove the contaminants and recirculate the contaminant mobilizing solution. The particular treatment of this waste slurry depends on the type of contaminants extracted from the soil. In the embodiment shown in FIG. 3 dissolved metal contaminants are precipitated in reactor 146 and the resulting precipitants and fines are separated by dewatering which includes the addition of a flocculant. The dewatering apparatus 148 may comprise the apparatus used in the embodiments in FIGS. 1 and 2 or other dewatering apparatus. Organic contaminants are removed from the recycled contaminant mobilizing fluid in a carbon bed 150 while the soluble radioactive contaminants which were not removed by precipitation are extracted in an ion exchange bed 152. Again, the recycled contaminant mobilizing solution is returned to the one of two makeup tanks 154 and 156 which is not currently in use, and is pumped by a pump 158 or 160 from the active tank to the screen/washer 112 and the first mineral jig 118. Makeup chemicals 155 may be supplied to the makeup tanks 154 and 156. In a preferred embodiment of the invention, the contaminant mobilizing solution, or process stream, is provided with an oxidizing or reducing agent, for example, selected from the group Cl.sub.2, ClO.sub.2, O.sub.3, which increase the solubility of the contaminants, most particularly the metal contaminants, in the process stream. This, in turn, assists in removing such contaminants from the feed soils, or contaminated particulate material fed to the soil washing system. A preferred embodiment of this aspect of the invention is illustrated in FIG. 9. As illustrated, feed soils, or contaminated particulate material 200 is fed to a soil washing process, 201, which may be any of the processes described herein, as well as those known in the art. The contaminated particulate material may contain various heavy metals, such as Hg, U, Pb, Ag, As, Cd, Cr, Cu, Ra, Th as well as organics, such as oils, PCBs, flue soot and radioactive compounds. The contaminants are removed from the particulate material by a contaminant mobilizing solution or process stream 202, contained in a makeup tank 210, which may contain a leachate and/or surfactant as previously described. Preferably, the process stream, which at this point has a pH of 6-10, contains an oxidizing agent or a reducing agent, for example, Cl.sub.2, ClO.sub.2, O.sub.3 or H.sub.2, which increases the solubility of at least one of the contaminants in the process stream, thereby effecting more efficient removal of the contaminants from the contaminated particulate matter and also improving the mobility of the contaminants through the process. Most preferably, when the heavy metal component is to be removed, an oxidant such as Cl.sub.2 is used in a high pH stream (8-10, preferably 9), which favors Cl.sub.2 absorption. In this case, a precipitant, such as Na.sub.2 SiO.sub.3 is used to precipitate the heavy metals, which remain in solution in said process stream following removal of clean particulate matter therefrom. The precipitant may also flocculate any precipitated heavy metal hydroxides, fines and/or precipitated soaps. Referring now to FIG. 9, the process stream 202 passes through the soil washing process 201, and clean soil or particulate matter 203 is removed from the process stream 202. If surfactants are used, and are not to be recovered for recycle, a surfactant precipitating agent 204, such as CaCl.sub.2 or other soluble calcium salt, is introduced to the process stream 202 at a surfactant removal system 211 following removal of the clean particulate material 203, and contaminated surfactants 205 are removed from the process stream 202 for further treatment or disposal. If the surfactants are to be recovered for recycle, or if no surfactants are used, no surfactant precipitating agent is introduced to the process stream 202. At this point in the process, fines may be removed via a fixed removal means 206. Fines removal may be by known methods, such as electro-coagulation, for example, using an ACE Separator manufactured by Electro-Pure Systems, Amherst, N.Y., followed by cyclones, which separate the contaminated, coagulated fines from the process stream. As fines removal generally requires adjusting the pH of the process stream to 5.5-6, and precipitation of heavy metals occurs generally at a higher pH, the pH is preferably adjusted to 8-10, preferably 9 for metals precipitation, for example by adding NaOH to the process stream 202, along with the addition of a precipitant, such as Na.sub.2 SiO.sub.3. The precipitated metals are removed from the process stream, via a precipitant removal means 207, for example, by electro-coagulation followed by cyclones, or filtration, classification, centrifugation or high efficiency cyclones. An advantage of the invention is that it does not require large, permanent settling ponds, rather, can utilize portable equipment which can be moved from one job site to another. The precipitated heavy metals may be re-dissolved in NaOH solutions to recover the heavy metal and regenerate the Na.sub.2 SiO.sub.3, as disclosed in U.S. Pat. No. 5,077,020, which issued on Dec. 31, 1991, from U.S. patent application Ser. No. 652,475, filed Feb. 8, 1991, which is a continuation of U.S. patent application Ser. No. 453,744, filed Feb. 20, 1989, and now abandoned. Following removal of the heavy metal precipitants, the process stream becomes a recycle stream 208, which may be used to recycle leachate and/or surfactants for washing of additional contaminated particulate matter. In the case where all contaminated surfactants have been removed from the process stream 202, the recycle stream 208 may be recycled directly to the soil washing process after addition of makeup chemicals, such as leachates and/or surfactants, if any. In the case where the recycle stream contains organics and/or surfactants, it is desirable to add oxidizing agent and/or reducing agent 209 to the recycle stream for the destruction of such organics using an organic destruction system 212. The preferred oxidizing agent is Cl.sub.2, which is highly absorbed in the high pH recycle stream. The preferred reducing agent is H.sub.2. Preferably, H.sub.2 may be used to reduce all organics contaminants, while Cl.sub.2, ClO.sub.2 and O.sub.3 are used to oxidize non-aliphatic organic contaminants preferentially to the surfactants. The oxidizing and/or reducing agent may be added to the process stream at any convenient point in the process. Preferably when Cl.sub.2 is used it is introduced to the recycle loop, after removal of particulate matter, under pressure through a diffuser which assists in dissolving the Cl.sub.2 into the process stream. When H.sub.2 is used, it is preferably introduced to the process stream via a catalytic bed, which assists in dissolving the H.sub.2 into the process stream. The amount of oxidizing/reducing agent used depends upon a variety of factors, including the concentration of contaminants in the process stream, the nature of those contaminants and the rate at which they use up the oxidizing/reducing agent(s). Of course, it is necessary to use the oxidant and reducing agent in separate treatment steps, if both are used in the process, for example by using the oxidizing agent first to remove the heavy metals and then removing any remaining oxidizing agent, and then use the reducing agent in the process stream to treat the organics. Reducing agents are especially useful in treating organics which are resistant to oxidation, such as PCB's. If the surfactants are to be recovered for recycle, it is preferred that aliphatic surfactants be used. If the surfactants are not to be recovered for recycle, aromatic surfactants may be used, preferably with H.sub.2 reduction, and aliphatic surfactants may be used preferably with oxidation. As can be readily appreciated, there are numerous possible combinations of the process of the present invention. Several of these these combinations are summarized in Table 1 below. TABLE 1 __________________________________________________________________________ Various Configurations Of This Process Contaminant Recover Metal Recover Surfactant Recover Fines __________________________________________________________________________ Metal No-precip. with Na.sub.2 SiO.sub.3 No-remove with precipitated metals Metal No-precip. with Na.sub.2 SiO.sub.3 Yes-remove before precipitated metals Metal Yes-precip. with Na.sub.2 SiO.sub.3 No-remove before precipitated metals Metal Yes-precip. with Na.sub.2 SiO.sub.3 Yew-remove with precipitated metals Organic No-precipitate with CaCl.sub.2 No-remove with precipitated surfactant Organic Yes-treat with H.sub.2 or oxidant No-remove before H.sub.2 /oxidant treatment Organic No-precipitate with CaCl.sub.2 Yes-skim precipitated surfactant Organic Yes-treat with H.sub.2 or oxidant Yes-remove before H.sub.2 /oxidant treatment Metal/Organic No-precip. with Na.sub.2 SiO.sub.3 No-precipitate with CaCl.sub.2 No-remove with precip. metals/surfactants Metal/Organic No-precip. with Na.sub.2 SiO.sub.3 Yes-treat with H.sub.2 or Cl.sub.2 No-remove before H.sub.2 /oxidant treatment Metal/Organic No-precip. with Na.sub.2 SiO.sub.3 No-precipitate with CaCl.sub.2 Yes-skim precipitated surfactant Metal/Organic No-precip. with Na.sub.2 SiO.sub.3 Yes-treat with H.sub.2 or Cl.sub.2 Yes-remove before precipitated metals Metal/Organic Yes-precip. with Na.sub.2 SiO.sub.3 No-precipitate with CaCl.sub.2 No-remove before precipitated metals Metal/Organic Yes-precip. with Na.sub.2 SiO.sub.3 Yes-treat with H.sub.2 or Cl.sub.2 No-remove before precipitated metals Metal/Organic Yes-precip. with Na.sub.2 SiO.sub.3 No-precipitate with CaCl.sub.2 Yes-skim precipitated surfactants Metal/Organic Yes-precip. with Na.sub.2 SiO.sub.3 Yes-treat with H.sub.2 or Cl.sub.2 Yes-remove before precipitated __________________________________________________________________________ metals In general, there are three primary cases which may be employed in practicing the invention, which include: (1) leached metal is to be recovered separately from fines; (2) metal and fines are recovered together; and (3) organics are recovered with the fines and metal may be covered separately from the fines or together with the fines and organics. The first case is used when it is desired to recover the leached metal separately from the fines. In this case, the fines are sent to either a high efficiency cyclone or to a filter or are electro-coagulated and cycloned for removal from the process stream. The cleaned process stream is then pH adjusted to about 9 using sodium hydroxide or other suitable base. Sodium silicate or other precipitant is then added to precipitate the heavy metal and to flocculate the metal hydroxides which precipitated due to the pH adjustment. The precipitated metals are then removed, for example, using either filtration, clarification, centrifugation or a cyclone. The treated water is then chlorinated to regenerate the required active chlorine level, pH adjusted to 6-10 and recycled to the soil washing process. The second case is used when the metal is not to be recovered separately from the fines. In this case, the process stream (after removal of clean particulate material, but not fines) is pH adjusted to about 9 using sodium hydroxide or other suitable base. Sodium silicate or other precipitant is then added to precipitate the heavy metal and to flocculate the metal hydroxides which precipitated due to the pH adjustment as well as the fines. The precipitated metals and fines are then removed from the process stream, for example, using either filtration, clarification, centrifugation or a cyclone. The treated water is then chlorinated to regenerate the required active chlorine level, pH adjusted to 6-10 and recycled to the process. The third case is used when an organic contaminant has been removed from the soil or particulate material and is not to be recovered separately from the fines. In this case, the process stream is pH adjusted to about 9 (following removal of clean particulate material) using calcium chloride plus sodium hydroxide or other suitable base. If heavy metals are not to be recovered separately from the fines, sodium silicate or other precipitant is then added to precipitate the heavy metal and to flocculate the metal hydroxides which precipitated due to the pH adjustment as well as the fines and precipitated soaps. The precipitated metals, soaps and fines are then removed, for example, using either filtration, clarification, centrifugation or a cyclone. The treated water, or recycle stream, is then chlorinated to regenerate the required active chlorine level, pH adjusted and recycled to the process. If the metals are to be recovered separately from the fines, the sodium silicate is added after the fines and precipitated soaps are removed. After sodium silicate is added the metal precipitate is removed before the process stream is chlorinated, pH adjusted and recycled to the process. The precipitates and fines will generally be highly contaminated with organics and heavy metals. Using the process described above, a variety of treatment methods are available for concentrating or recovering the metals from the organics or non-contaminated fines. These methods include, but are not limited to, shaking table, high gradient magnetic separation, or sodium dissolution of the silicate. The organically contaminated fraction can then be further treated biologically, chemically or thermally and returned to the site. The heavy metal fraction can be leached and returned to the site, disposed of or sold as a concentrate. There are several advantages attendant the preferred embodiments of the invention. The first is the lower chemical costs. Due to the use of chlorine oxidant, chemical transportation costs are reduced as compared to the use of hypochlorite-containing chemicals. In addition, the use of chlorine minimizes the use of both the NaOH and HCl, further reducing chemical costs. Sodium silicate and calcium chloride are also available as highly concentrated solutions in bulk quantities in trailer trucks at low cost. For example, in a recent case in which uranium and mercury were to be removed from a soil, the use of chlorine instead of either sodium or calcium hypochlorite reduced the cost of chemicals 50%. A second advantage is the character of the sodium silicate-heavy metal precipitate which is produced by this process. This precipitate has been shown in both laboratory and production application to be dissolvable in 50.degree. C. NaOH solutions. This dissolution process leaves behind a sludge with a very high concentration of the heavy metal which was removed from the soil, and allows the sodium silicate to be reused. This sludge is often high enough in concentration to allow use as a feed stock in mining/smelting operations. This approach changes a potentially hazardous material (the heavy metal precipitate) into a valuable feedstock which reduces the future liability of the owner of the decontaminated soils or particulate material. Another advantage of the invention is that it permits the selective removal of heavy metals and organics, thereby allowing mixed wastes, such as those containing both metals and organics, to be effectively treated. Finally, if the precipitated solids must be disposed of, the washed precipitates which result after dissolution of the waterglass are low in sodium. This sludge results in a more leach-resistant matrix when mixed with concrete, glass or other fixative material, since the amount of leachable sodium is low. Examples of soil cleanup using the various embodiments of the invention follow. The standards for these examples were the toxic chemical leaching procedures (TCLP) established for the particular site by the Environmental Protection Agency. For the first three examples, the results are illustrated in line graph form to show a continuum of the effect of the settings of the countercurrent flows in the mineral jigs which determines the size of fines removed, and consequently the percentage of the feed soil recovered. EXAMPLE 1 Industrial site soil contaminated with about 11,000 ppm of copper was treated in accordance with the embodiment of the invention set forth in the flow chart of FIG. 1. The contaminant mobilizing solution was a one percent by weight aqueous solution of acetic acid which was used in the initial wash phase in the screen/washer 10 and in the first mineral jig 18. Water recovered from the clarifier 34 was used as the rinse in the second mineral jig 26 and the cross-current density separator 30. The results of the tests are shown in FIG. 4. The untreated soil is represented by the trace 162, the results of soil washed only with water shown by the trace 164 and the results of the use of acetic acid as the contaminant mobilizing solution which dissolves the copper which is then carried off with the waste slurry from the mineral jigs 18 and 26 is shown by the trace 166. While the initial contamination was about 11,000 ppm of copper, it can seen that with the use of the invention, most of the copper was removed. The clean soil limit for this site was 250 ppm. It can be seen that by adjusting the countercurrent flow in the mineral jigs so that 80% of the initial soil was recovered that this clean soil limit was satisfied. Even at 90% recovery, the residual copper contamination was only 50 ppm above the clean soil limit. EXAMPLE 2 Soil contaminated with 69 ppm of radium was treated according to the embodiment of the invention shown in FIG. 2 using a 0.1 molar aqueous solution of potassium carbonate and a 0.1 molar solution of sodium carbonate as the contaminate mobilizing solution. The rinse water was the water recovered by the dewatering hydroclone 88. In FIG. 5, which illustrates the results of this example, the trace 168 represents the untreated soil, trace 170 represents soil washed only with water, and the trace 172 shows the results of the soil treated with the potassium carbonate and sodium carbonate chemical wash and rinsed with water. It can be seen from FIG. 5 that most of the contamination resides in the fine fraction so that even untreated soil from which only about 25% of the smaller particles are removed meets the clean soil limit of 42 ppm of uranium shown by the dotted line. With the invention, over 90% of the soil was recovered within the clean soil limit of 42 ppm of uranium. EXAMPLE 3 Soil contaminated with approximately 295 ppm of polychlorinated biphenyls was treated according to the embodiment of the invention illustrated in FIG. 2. The contaminant mobilizing solution in this example was a one percent by weight solution of NP90 a surfactant produced by Henkel Corporation together with a one percent by weight solution of Adsee 799, a surfactant supplied by Witco Corporation. The results of the test are shown in FIG. 6 where trace 174 is the untreated soil, trace 176 is soil washed only with water, and the cross hatched area 178 shows the results of soil washed with the surfactant solution. As can be seen, only soil treated in accordance with the invention met the clean soil limit of 25 ppm shown by the dashed line, and virtually all of the soil was recovered by this process. EXAMPLE 4 Sewer sediment having the following initial contaminant levels: ______________________________________ Uranium 140 to 200 ppm Mercury 900 to 1000 ppm PCBs 5 to 10 ppm ______________________________________ the remediation requirements were: ______________________________________ Uranium 50 ppm Mercury 12 ppm PCB 2 ppm Pass TCLP ______________________________________ The sewer sediment was treated by attrition scrubbing and initial fines separation using a sodium hypochloride solution (20 g/l), washing with water and density separation using the embodiment of the invention illustrated in FIG. 3. The results of the test are shown in the bar chart of FIG. 7. The uranium target of 50 ppm was easily met using the invention. The chemical limit of 12 ppm of mercury was not met. However, this limit was arbitrarily set on the assumption that the mercury contamination was in the form of elemental mercury. In fact, the mercury was in the form of an intermetalic amalgam of uranium and mercury which is highly insoluble. As a result, the mercury level achieved passed the TCLP. EXAMPLE 5 Oil land farm soil with the following initial contamination levels: ______________________________________ Uranium 120 ppm PCB 7 to 14 ppm Oil/Grease 3 to 6 wt. % ______________________________________ was treated according to the embodiment of the invention shown in FIG. 3. The remediation requirements were as follows: ______________________________________ Uranium 80 ppm PCB 2 ppm Pass TCLP Test ______________________________________ The contaminant mobilizing solution was a surfactant mixture of 0.1 wt. % APG--325 available from Henkel Corporation and 0.1 wt. % ASO available from Witco Corporation. This surfactant mixture was mixed with a leaching solution containing sodium hypochloride (20 g/l) and sodium carbonate (21 g/l). The results of this example for virtually 100% recovered soil were: ______________________________________ Uranium 60 ppm PCB <2 ppm Passed TCLP ______________________________________ The uranium levels for untreated soil, water washed soil and soil treated in accordance with the invention are shown in FIG. 8. From the above, it can be seen that the invention provides a versatile method and apparatus for treating various types of particulate materials contaminated with various substances. While specific embodiments cf the invention have been described in detail, it will be appreciated by those skilled in the art that various modifications and alternatives to those details could be developed in light of the overall teachings of the disclosure. Accordingly, the particular arrangements disclosed are meant to be illustrative only and not limiting as to the scope cf the invention which is to be given the full breadth of the appended claims and any and all equivalents thereof. |
claims | 1. An apparatus for generating extreme ultraviolet light, the apparatus comprising:a reference member;a chamber fixed to the reference member, the chamber including at least one window;a laser beam introduction optical system configured to introduce an externally supplied laser beam into the chamber through the at least one window; anda positioning mechanism configured to position the laser beam introduction optical system to the reference member, the positioning member including:three legs configured to support the laser beam introduction optical system;three mounts fixed to the reference member, the three mounts being configured to respectively support the three legs so as to position the laser beam introduction optical system on a predetermined plane; andtwo stoppers fixed to the reference member, the two stoppers being configured to position the laser beam introduction optical system in the predetermined plane while the three mounts respectively support the three legs. 2. The apparatus according to claim 1, further comprising a moving mechanism configured to move the laser beam introduction optical system and the three legs relative to the reference member such that the three legs respectively reach the three mounts. 3. The apparatus according to claim 2, wherein the moving mechanism includes:a rail anda wheel moving along the rail, andthe positioning system is configured to position the laser beam introduction optical system while the wheel is distance from the rail. 4. The apparatus according to claim 1, wherein the positioning mechanism includes a pressing member configured to bias the laser beam introduction optical system against both of the two stoppers. 5. The apparatus according to claim 1, further comprising two biasing members attached to the laser beam introduction optical system, one of the two biasing members having a groove formed in a direction of gravity, another one of the two biasing members having a planer surface parallel to the direction of gravity, the groove and the planer surface being respectively biased against the two stoppers so as to position the laser beam introduction optical system. 6. The apparatus according to claim 1, wherein each of the two stoppers has a columnar shape and is fixed such that an axis of each of the two stoppers coincides with a direction of gravity. 7. The apparatus according to claim 1, wherein each of the three legs has a hemispherical bottom. 8. The apparatus according to claim 1, wherein each of the three mounts has a planar upper surface. 9. The apparatus according to claim 1, further comprising two biasing members attached to the laser beam introduction optical system, one of the two biasing members having a groove formed in a direction of gravity, another one of the two biasing members having a planer surface parallel to the direction of gravity, the groove and the planer surface being respectively biased against the two stoppers so as to position the laser beam introduction optical system, whereineach of the two stoppers has a columnar shape and is fixed such that an axis of each of the two stoppers coincides with the direction of gravity. 10. The apparatus according to claim 1, wherein each of the three legs has a hemispherical bottom and each of the three mounts has a planar upper surface. 11. The apparatus according to claim 1, further comprising two biasing members attached to the laser beam introduction optical system, one of the two biasing members having a groove formed in a direction of gravity, another one of the two biasing members having a planer surface parallel to the direction of gravity, the groove and the planer surface being respectively biased against the two stoppers so as to position the laser beam introduction optical system, whereineach of the two stoppers has a columnar shape and is fixed such that an axis of each of the two stoppers coincides with the direction of gravity, andeach of the three legs has a hemispherical bottom and each of the three mounts has a planar upper surface. 12. An apparatus for generating extreme ultraviolet light, the apparatus comprising:a reference member;a chamber fixed to the reference member, the chamber including at least one window;a laser beam introduction optical system including a plurality of optical elements, the laser beam introduction optical system being configured to introduce at least one laser beam into the chamber through the at least one window; anda positioning mechanism including a single plate configured to support the laser beam introduction optical system, the positioning mechanism being configured to position the single plate so as to position the plurality of optical elements to the reference member. 13. The apparatus according to claim 12, wherein the moving mechanism includes:a rail provided on the reference member; anda wheel attached to the positioning mechanism to move along the rail. 14. The apparatus according to claim 12, whereinthe positioning mechanism includes an engagement unit attached to the interior of the reference member for suspending the laser beam introduction optical system. 15. The apparatus according to claim 12, wherein the plurality of optical elements include:a beam splitter for splitting the at least one laser beam into first and second beam paths, the second beam path leading to the chamber; anda laser beam measuring unit provided in the first beam path to receive the at least one laser beam traveling through the first beam path. 16. The apparatus according to claim 12, whereinthe at least one laser beam includes a pre-pulse laser beam output from a first laser apparatus and a main pulse laser beam output from a second laser apparatus, andthe plurality of optical elements includes:a beam combiner configured to control a direction of the pre-pulse laser beam and a direction of the main pulse laser beam to coincide with each other; anda laser beam measuring unit configured to receive a part of the pre-pulse laser beam output from the beam combiner and a part of the main pulse laser beam output from the beam combiner. |
|
047642815 | summary | FIELD OF THE INVENTION The present invention is directed to a method for removing radioactive nuclear wastes from wastewater, oil or other liquids. This invention is especially useful in removing low levels of radiation, such as less than 1.times.10.sup.10 Becquerels per liter, or disintegrations per second per liter. More particularly, the present invention is directed to a method for treating these radioactive heavy metal-containing liquids, such as liquids containing the radioactive nuclear isotopes of radium, uranium, cesium, strontium, ruthenium, neptunium, technetium, iodine and/or other elements, with a carboxymethylcellulose, particularly an insoluble metal carboxymethylcellulose, such as aluminum carboxymethylcellulose, to remove radioactive heavy metals therefrom as a part of and along with the solid carboxymethylcellulose. BACKGROUND OF THE INVENTION Federal, state and local governmental bodies reacting to constituent pressures have instituted a series of laws and regulations aimed at preventing the continued contamination of the environment. Heavy metals are generally defined as hazardous and, therefore, must be removed from industrial effluent streams. Once removed from these streams, the heavy metals-containing waste has been containerized and then disposed of in government-sanctioned landfills. These special landfills are now being more closely monitored thereby forcing alternative methods of disposal of these solid heavy metal wastes. It is toward both the clean-up of these effluent streams and discontinued pollution of soil and ground waters that the invention of this method is aimed. Progressively stricter regulatory criteria have forced industry to drastically reduce the residual metal content in wastewater discharges. Obviously, regulations pertaining to wastes containing radioactive isotopes are among the most severe, and among the costliest with which to comply. Increased cost for disposal of any solid metal wastes have also forced industries to examine present treatment techniques and to demand more efficient and cost effective alternatives to those currently available. The ability of conventional wastewater treatment methods to achieve the low levels of residual metals required by the higher standards for wastewater purity in many cases is marginal. Recent legislation has made the disposal of sludge material extremely difficult and expensive, with no near term solution to the sludge disposal problem being apparent. Because of these problems, industry in general, and the nuclear reaction segments in particular, have been forced to consider alternative methods for heavy metals removal from wastewater streams. The major characteristics required in heavy metals removal from wastewater streams are: ability to reduce residual metal contents to extremely low levels (ultimately to the parts-per-billion range); production of minimal amounts of sludge; economical operation; production of effluent suitable for recycle to process operations; and ability for maximum retrofit into existing installations. Some of these problems were addressed in an analysis of the processes used in treating drinking water for the removal of radioactive contaminants, and of the disposal of wastes generated by these processes in TREATMENT, WASTE MANAGEMENT AND COST FOR REMOVAL OF RADIOACTIVITY FROM DRINKING WATER, G. W. Reid and P. Lassovszky, Health Physics, 48 (1985) pp. 671-694. The alternative processes, including ion exchange, reverse osmosis or electrodialysis, lime and lime-soda softening, greensand, manganese fiber, coagulation techniques and activated alumina, were evaluated in terms of cost, efficiency, reliability, process control and feasibility for the removal of u, Ra, and Rn from water. Each of these processes has disadvantages requiring the continued search for a safe, effective method of radioactive metals removal with a minimum of waste product formation. One of the more promising new alternative approaches that possesses the potential of fulfilling to a significant degree these desirable requirements for treating meal-bearing wastewaters is xanthate technology. A patent to John Hanway Jr. et al, U.S. Pat. No. 4,166,032, discloses the use of cellulose xanthate for heavy metals removal from wastewater streams. While cellulose xanthate is very effective for the removal of heavy metals from wastewater, the cellulose xanthate adds an amount of sludge equal to the dry weight of the cellulose xanthate added to the wastewater stream further increasing both the weight and volume of the sludge generated. Also, cellulose xanthate cannot be used successfully in a column through which a solution containing heavy metal ions is poured. In accordance with the present invention, it has been found that one or more water-insoluble cation-exchange carboxylated cellulosics, such as an aluminum salt of carboxymethylcellulose, can remove radioactive heavy metal isotopes from liquids, such as nuclear fuel manufacturing wastewater streams, natural waters, and other wastewaters and nuclear-contaminated oils in new and unexpected proportions, leaving a substantially non-polluted solution or effluent capable of plant recycle or legal discharge. In addition, the resulting radioactive carboxymethylcellulose bed from the column can be easily treated using existing technology, producing a small volume, radioactive ceramic fiber. The overall radioactive waste is thus reduced in volume by several factors, allowing for easier and less expensive disposal. It is known that insoluble cation-exchange forms of cellulose, such as carboxymethylcellulose, are effective in removing certain heavy metals such as Al, Cr, Sn, Pb, Fe, Cu, Ni and Zn from a wastewater, as disclosed in A SYSTEM OF ION-EXCHANGE CELLULOSES FOR THE PRODUCTION OF HIGH PURITY WATER, Horwath Zs, Journal of Chromatography, 102 (1974) pp. 409-412. However, such insoluble celluloses have not been used for removal of the radioactive isotopes of elements such as U, Cs, Sr, Ra, Ru, Rh, Np, Tc or I from waste streams. As disclosed in the Horwath article, the insoluble carboxymethylcellulose is disposed in a column in a sandwich-type arrangement with other forms of ion-exchange celluloses and the wastewater passed through the column, with the ion exchange celluloses acting as a filtering media for absorption of the heavy metals therein. U.S. Pat. No. 4,260,740, assigned to Pfizer, Inc., also discloses that insoluble carboxylated cellulose is useful as an ion exchange material for removal of heavy metals from an industrial effluent and for precious metal recovery. The process disclosed in U.S. Pat. No. 4,260,740 teaches a reaction of cellulose with polycarboxylic acids followed by a hydrolysis step in dilute alkali at a pH of 8 to 11 to bind each polycarboxylic acid moiety to the cellulose and thereby increase the ion exchange capacity towards heavy metal ions. U.S. Pat. No. 4,537,818 teaches the manufacture of thin free-standing metal oxide films by absorbing cations such as U, Zn, Nd, Ce, Th, Pr, Cr onto carboxymethylcellulose. The heavy metal-impregnated film is first heated in an inert atmosphere and then oxidized to form a carbonized metal oxide membrane useful as a nuclear acceleration target material. SUMMARY OF THE INVENTION In brief, the present invention is directed to a method for treating a radioactive metal-containing natural water or liquid such as a radioactive metal-containing wastewater stream, an oil containing one or more radioactive metals or other nuclear metal-bearing liquid. The process of the present invention has been found to be unexpectedly effective on radioactive wastewaters or any other liquid containing one or more radioactive heavy metal ions such as U, Ce, Sr, Ru, Ra, Np, Tc, as well as radioactive ions such as I. In accordance with the principles of the present invention, the radioactive heavy metal-containing liquid is contacted with a water-insoluble carboxylated cellulose to separate the heavy metals from the liquid. Accordingly, an object of the present invention is to provide a method for treating a liquid containing one or more radioisotopes to cause removal in an unexpected large proportion of the radioisotopes therefrom. Another object of the present invention is to provide a method for treating nuclear waste bearing water or other liquids with a water-insoluble form of a carboxylated cellulose for removal of the nuclear wastes therefrom. Yet another object of the present invention is to provide a method for contacting a liquid containing one or more nuclear isotopes of a heavy metal, with an insoluble form of carboxymethylcellulose to remove a substantial portion of the nuclear isotopes for recycle of the treated liquid to an industrial process. |
056231091 | description | DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS FIG. 1 is a block diagram for explaining the operation of a plant monitoring and diagnosing method according to one embodiment of the present invention. In FIG. 1, taking a nuclear power plant as an example, information about an operating condition 1 of the nuclear power plant, an operating condition 2 of each of component apparatus, environment 3, etc. is detected by respective detection means and accumulated in appropriate storage means every moment through an on-line monitor 6. These data are combined and brought together into a set of plant status variables 8. Water chemistry information 4 about cooling water represented by radioactivity and chemical parameters such as dissolved oxygen concentration, pH, conductivity, metal ions, various anions and suspended substances, is detected by respective detection means and measured by respective chemical and radiation (.alpha., .beta., .gamma.-ray) analysis through the on-line monitor 6 for part of the information, but through an off-line monitor 7 for most thereof. The set of plant status variables 8 is exchanged and updated to new data every moment. Past data of the set of plant status variables 8 are accumulated in the storage means as a set of past plant status variables 9. Further, inspection information 5 resulted from an overhaul during the periodical inspection of the nuclear power plant and water quality/chemical information 4 measured by the off-line monitor 7 are also accumulated in the set of past plant status variables 9. The set of past plant status variables 9 is put together (compressed) into a plant chart 11 and preserved as well as a personal clinical chart. For nuclear power plants, there are models for predicting various conditions of the plant. These models are employed to predict the current condition of the plant based on the past plant data. While the prediction using the models is usually performed based on the plant design data, a status variable prediction 12 in FIG. 1 is performed based on the plant chart 11, i.e., in consideration of the personality of the plant. Data A represented by the set of plant status variables (current) 8 and data B represented by the set of plant status variables (predicted current values) 10 are compared with each other by comparison means 100. If the equation .vertline.A-B.vertline..ltoreq.R, which indicates the deviation between the data A and B within a certain range of error R, is not satisfied, the plant is diagnosed as abnormal condition 100A. If the equation .vertline.A-B.vertline..ltoreq.R is satisfied, it is determined by the comparison means 101 whether the data A is within the allowable area (AL.ltoreq.A.ltoreq.AH) or not. Notice that AL is the lower allowable limit and AH is the upper one. If the value of data A is within the allowable range, then the plant is diagnosed as normal condition 101B. If the value of data A is outside the allowable range, then the plant is diagnosed as abnormal condition 101A. When the plant is diagnosed as including an abnormality, the abnormal apparatus and factor are identified by detailed collation between the data A and B, analysis of the plant chart, and evaluation based on the prediction models. Also, events which might ensue from the abnormality are predicted using the models to clarify the time frame until the countermeasure such as shut-down of the plant or check, repair or replacement of the apparatus should be taken, thereby making it possible to take systematic actions (as indicated by 14). More specifically, in addition to the characteristic relating to the generation of energy, i.e., the intrinsic purpose of the plant, the relationship among number of revolution, delivery pressure and flow rate of each pump, the relationship between positions of control rods and power, and the correlation among fluctuations in vibration and number of revolution of each pump, temperature fluctuations and power fluctuations are analyzed to evaluate and diagnose whether the abnormality is attributable to change in any characteristic of the individual apparatus or change in the plant characteristics. Whether the operating condition of each of the apparatus and locations is proper or not is checked to diagnose a characteristic deterioration or abnormality for each apparatus and detect abnormal signs. Further, the information about the operating conditions of the individual apparatus is promptly collected and combined mutually to diagnose them for earlier discovery of an abnormality, provide a highly reliable data base, and to prepare the chart of the plant condition automatically. Such collection and evaluation of the plant data are not limited to for the objects under control of a computer. Information about the operating conditions of all the plant apparatus and information about the specification and inspection data of each apparatus are collected to a central control room or the like and are combined with the operation record and mode of the plant, thereby synthesizing the information. A description will now be made of the case where the present invention is applied to a nuclear reactor system. FIG. 2 shows main measuring elements for use in the nuclear reactor system. In FIG. 2, the nuclear reactor system comprises a core A2 made up of fuel assembly in a reactor pressure vessel A1, and a control rod A3 and a control rod drive unit A4 for adjusting reactivity of the core and controlling power. The nuclear reactor system further comprises a recirculation pump AS, a jet pump A6 and a recirculation system A7 for circulating cooling water through the core to cool the core and take thermal energy as steam out of the core, and a turbine A8 and an electric generator A9 for converting thermal energy of the steam generated in the core into electric energy. Further, the nuclear reactor system comprises a main steam system A10 for introducing the steam to the turbine A8, a condenser A11 for condensing the steam discharged from the turbine A8, and a feed-water system A12 for reinjecting the cooling water, which has been returned to water again in the condenser All, to the reactor. Incidentally, A14 is a drier and A15 is a separator. The most important parameter indicating the reactor condition is a power of the reactor. The reactor power is usually represented by an electric generating power and a thermal power. While the power directly produced from the reactor is in the form of heat, the reactor power is generally obtained in the form of electric energy for the purpose of generating electricity. In usual BWR power plants, the heat efficiency is slightly fluctuated depending upon, e.g., the temperature of sea water introduced to the condenser, but is approximately 33.3% with the definite correlation existing between the electric generating power and the thermal power. It is generally thought that when the electric generating power is fluctuated, this is resulted from fluctuations in the thermal power. Gross measurement of the reactor thermal power is made based on the temperature, pressure and flow rate of the main steam. The enthalpy is calculated from the temperature and the pressure, and is then multiplied by the flow rate to calculate the total enthalpy. Since the reactor power is originally produced by nuclear fission in the reactor, the thermal power can also be calculated from a fission rate. Thus, in reactor fluctuations in distribution of the neutron flux and distribution of the fission rate during the operation of the reactor are calculated every moment through nuclear physical calculations for the reactor. A neutron flux monitor A13 disposed in or around the reactor always monitors the neutron flux, and the measured value is used to calibrate the above fluctuation values, whereby the in-core fission rate and the thermal power are calculated with high accuracy. Thus, the thermal power of the reactor is calculated from the neutron measurement. The thermal power obtained from the neutral measurement (hereinafter referred to as nuclear thermal power) must be coincident with the thermal power calculated from the aforementioned enthalpy of the main steam (hereinafter referred to as macroscopic thermal power). If both the powers do not coincide with each other, this means that an error is caused in the nuclear thermal power or the macroscopic thermal power due to an abnormality or the like in the measuring unit. It is therefore required to find the cause of the error and to obviate the cause. The third parameter for checking which of the nuclear thermal power and the macroscopic thermal power is proper is the aforementioned electric generating power. When two of the three powers (i.e., the nuclear thermal power, the macroscopic thermal power and the electric generating power) coincide with each other, the remaining one is abnormal. In the case of the reactor, the core reactivity is changed with the operation time. With the elapse of the operation time, there proceeds nuclear fission of U-235 in the fuel assembly loaded in the core. As a result, the fuel assembly are consumed and the fission products produced by the nuclear fission absorb neutrons, whereupon the reactivity is lowered. On the other hand, the reactivity is also lowered not only upon withdrawal of the control rod inserted to the core, but also upon an increase in the flow rate circulated. When the flow rate is increased, the flow rate per power is reduced and the average coolant temperature in the core is lowered, thereby reducing a void fraction in the core. Since BWR has a negative void factor, the reactor power is increased with a reduction in the void fraction. The reduction in the void fraction is also caused with an increase in the flow rate through the feed-water system. Thus, the thermal power of the reactor is changed upon burn-up of the fuel, withdrawal/insertion of the control rod, an increase/decrease in the flow rate circulated, and an increase/decrease in the flow rate of the feed water. The change rate depends on the design and personality of the plant. In practice, plants are different in their personality even with the same design because of errors in manufacture of each plant. With due consideration paid to the personality of the plant, the thermal power of the reactor can be estimated from the position of the control rod inserted if the flow rate circulated remains the same, or from variations in the flow rate circulated if the position of the control rod inserted remains the same. The thermal power of the reactor thus estimated is also compared with the aforementioned nuclear thermal power, macroscopic thermal power and electric generating power to check the normal operating condition of the reactor from the viewpoint of balance of the entire plant. To evaluate the plant balance, characteristic changes in the individual component apparatus are indispensable. FIG. 3 shows one example of a diagnosis flow and a chart of the recirculation pump. More specifically, measurement items include measurement of the amount of dissolved metal ions, measurement of the number of valve revolutions, measurement of the pump current and voltage, measurement of the pump rotational speed, measurement of the difference pressure across an orifice, and measurement of the acceleration. Information obtained from the measurement items includes valve sheet wear information from the measurement of the amount of dissolved metal ions, valve opening information from the measurement of the number of valve revolutions, power load information from the measurement of the pump current and voltage, flow rate information from each of the measurement of the pump rotational speed and the measurement of the difference pressure across an orifice, and vibration information from the measurement of the acceleration. Then, correlation evaluating data are created from the valve sheet wear information, the valve opening information, the power load information, the flow rate information, and the vibration information. These correlation evaluating data are accumulated as a pump chart. The flow rate recirculated is variable upon change in the number of revolutions of the recirculation pump A5. By calculating the flow rate from the number of revolutions and measuring the flow rate directly from a flow meter, the correlation between the number of revolutions and the flow rate due to fluctuations in the pressure loss in the entire recirculation system is calibrated every moment. These data of the number of revolutions and the flow rate are separately measured in an automatic manner and are preserved as plant data primarily in the form of chart paper. To preserve such raw data as the flow rate data is itself important. However, if those data are preserved as separate data, the data volume stored becomes so large that it is difficult to immediately take out the corresponding data when a check is required upon the occurrence of trouble in some day. Therefore, the data of the number of revolutions and the flow rate are put together into time-dependent correlation data of both the number of revolutions and the flow rate immediately after the sampling, as shown in FIG. 4, the correlation data being preserved as a chart of the recirculation pump A5. This makes it possible to grasp the pump characteristics and to diagnose an abnormality of the pump. More specifically, in FIG. 4, the vertical axis represents the flow rate Y(t) and the horizontal axis represents the number of revolutions X(t). The time-dependent correlation data between the number of revolutions and the flow rate, as shown, are preserved in a storage. In the correlation analysis therebetween, if a plotted point deviates 10% or more from the correlation specific to the plant, it is judged that any abnormality exists. Such abnormality diagnosis can be used for future estimation of the pump characteristics so that the time at which the abnormality will exceed the allowable characteristic range may be predicted to schedule repair or replacement of the pump till that time. In addition to the correlation between the number of revolutions and the flow rate, the power consumption, vibrations, noise and valve related data are also included in the chart of the recirculation pump for enabling even slight variations to be evaluated based on a plurality of parameters. Specifically, vibrations are generated in various ways, e.g., in transient states of the number of revolutions and the flow rate, at the number of revolutions and the flow rate above certain values, and after a certain time regardless of the number of revolutions and the flow rate. For the vibration generated regardless of the number of revolutions and the flow rate, it is also required to check reliability of the vibration system itself. For the vibration generated at the number of revolutions and the flow rate above certain values, the correlation among the number of revolutions, the number of vibrations and the magnitude is analyzed and, if a significant correlation is found, the pump is diagnosed as having an abnormality in relation to its rotation. FIGS. 5 and 6 show one example of a chart for a large-scaled plant. As to the reactor thermal power, as described above, there are; (1) thermal power estimated from the electric generating power (voltage and current of the generator), PA1 (2) thermal power estimated from characteristics (temperature, pressure and flow rate) of the main steam, PA1 (3) thermal power estimated from the neutron flux measured value (nuclear fission rate), and PA1 (4) thermal power estimated from the position of the control rod inserted, the flow rate recirculated, and feed-water characteristics (feed-water temperature and flow rate). PA1 (a) the actual control rod position is shifted from the indicated value toward the withdrawal side, PA1 (b) the control rod poison is deteriorated, PA1 (c) the jet pump efficiency is lowered, or PA1 (d) the fuel reactivity is lowered with an iron crud abnormally adhering to the fuel surface. The correlation among these thermal powers (1) to (4) is determined every moment and is put together into a function of the elapsed time or a function of any one of the four thermal powers (1) to (4). In theory, the four thermal powers (1) to (4) should coincide with one another. But even if the respective data have no intrinsic errors, it is usual that the four thermal powers (1) to (4) do not exactly coincide with one another. Whether the individual thermal powers are proper or not is confirmed by checking the data from which the thermal powers are derived and, thereafter, the compressed data are stored as data having been evaluated in the form of a time-dependent function, as shown in FIG. 6(A). In other words, the data of the thermal powers (1) to (4) are stored in the form of a graph in which the vertical axis represents thermal power and the horizontal axis represents the time. By carefully evaluating whether the shifts between the four thermal powers are changed or not over time and whether the shifts between the four thermal powers are changed or not at the same thermal power, it is possible to find a slight characteristic deterioration of the apparatus (as illustrated in FIG. 6(B)) which can not be judged from only one of the thermal powers. When the value of the thermal power (4) is significantly larger than the values of the other thermal powers (1) to (3), any of the following events can be thought as a possible reason: The characteristics of the recirculation pump can be confirmed by the above checks. The temperature and flow rate of the feed-water can also be cross-checked, i.e., confirmed by detailed reviews from various angles. The above events of (a) false indication of the control rod position and (b) poison deterioration can be confirmed by inserting the relevant control rod and withdrawing the other plural control rods one at a time. The above event of (c) lowering of the jet pump efficiency is difficult to check on-line, but a reduction in smoothness of the nozzle inner surface due to deposition of an iron crud onto the nozzle portion, which is thought as one of factors responsible for the efficiency reduction, can be analytically estimated from time-dependent data of the iron crud concentration in the feed-water and the recirculated water. A nozzle clearance failure, block-off by a loosened part, and so on are confirmed by overhaul inspection. The above event of (d) fuel contamination can also be evaluated from analysis of the iron crud concentration in the reactor water. A set of multi-item data relating to the above (1) to (4) are referred to as a power data pattern. Analysis on time-dependent variations of this pattern is expected to achieve more prompt diagnosis than analysis and evaluation tracing back to the individual original data. In addition, the volume of data to be stored can be reduced. From comprehensive judgment based on the accuracy in measuring the reactor thermal power, the accuracy in calculating the reactor reactivity, the accuracy in measuring the neutron flux and so on, it is appropriate that a decision criterion for determining an abnormality is set to the time at which the measured data deviates or will possibly deviate 10% or more from the correlation specific to the plant. By comparing the chart with the above plant condition data and carrying out automatic diagnosis using an expert system in parallel, it is possible to promptly detect abnormal signs and identify the abnormal factor with high reliability under flexible adaptation to changes in the characteristics of the plant itself every moment. Generally, reliability of apparatus and materials used in a plant tend to lower over time. Since the amount of information and reliability of a chart indicating the plant characteristics are increased and improved with the elapse of the plant operation time, the diagnosis accuracy is improved with an increase in the operation time and hence is complimentarily to reliability of apparatus and materials that is lowered with an increase in the operation time. Furthermore, it is possible not only to inform plant operators of the presence or absence of an abnormality and the abnormal factor in an easily apprehensible manner, but also to promptly and exactly present the gravity of the occasion to the operators by quantitatively predicting incidental events which might occur if the abnormality spreads and then displaying them on the CRT screen. Additionally, as a result of the prompt and highly reliable detection of abnormal signs and identification of the abnormal factor, the number of equipment and systems subject to the periodical inspection can be reduced, and the equipment and systems to be newly subject to the periodical inspection can be automatically picked up based on the detected deterioration signs. When displaying the predicted results of the events incidental to the abnormality, the predicted events can be indicated on a display in the form of graphs of related physical variables or moving pictures visually representing the events themselves. This makes it possible for the plant operators to more promptly and exactly grasp the occasion in visual way, and to prevent the occurrence of a trouble, such as escalation of the abnormal condition, due to erroneous judgment of the plant operators. By simultaneously displaying selectable measures to deal with the occasion and results of the measures, it is possible to support selection of the appropriate measure and to create a wide margin for the subsequent measure. The selection of the measure can be made while confirming various situations of the plant on the display. Moreover, by installing another display in, for example, a monitoring center for monitoring operating situations of plural plants other than the central control room of the relevant plant, the countermeasure can be selected with the aid of judgment by many other concerned people and experts. As a result, even such an abnormal event as not expected can be exactly dealt with after grasping the event more closely. The plant chart contains the data specific to the plant, i.e., corresponding to the personality of the plant, and exact abnormal diagnosis is enabled depending upon each of plants having different records in production, operation and repair by utilizing the plant chart. By additionally storing trouble occasions in other plants as reference data in the plant chart, those reference data contribute to assisting estimation of the cause of an abnormality and selection of the countermeasure, and to further improving reliability of the abnormality diagnosing system. FIGS. 7 and 8 are block diagrams of a monitoring and diagnosing apparatus according to another embodiment of the present invention. Referring to FIG. 7, monitored data from a plant 60 are taken in by a monitoring and diagnosing system 61 including the plant chart 11, and the diagnosis result based on the plant chart 11 is transmitted to an operation/control system 62 for operating and controlling the plant 60. The plant 60, the monitoring and diagnosing system 61, and the operation/-control system 62 cooperatively make up a plant in the broad aspect and are all installed in the same site. FIG. 8 is a block diagram of the monitoring and diagnosing system 61. In FIG. 8, the plant condition monitoring data from the plant 60 are taken in by a monitoring system 64 utilizing various sensors, monitors, etc. and are subject to data processing such as correlation analysis, if necessary. The monitored data thus processed to be suitable for diagnosis are taken in by an abnormality diagnosing system 45, a condition predicting system 65 and the plant chart 11. Supplied to the plant chart 11 are record information such as about the plant operating condition and the apparatus operating condition through the monitoring system 64, and the inspection results and engineering conditions through an inspection system 66. Then, characteristics specific to the plant, representing the personality of the plant, are accumulated in the plant chart 11 as various kinds of data. Supplied to the abnormality diagnosing system 45 are current operating condition data of the plant or apparatus obtained directly as the monitored data, condition data predicted from the monitored data to be so by the condition predicting system 65 in which prediction models are incorporated, and the plant personality data written in the plant chart 11. Then, the abnormality diagnosing system 45 diagnoses the plant condition based on the operating condition data, the expected condition data, and the personality data. Further, the data of the plant chart 11 and material degradations quantitated by a degradation evaluating system 46 from the chart data are taken in by a residual life evaluating system 47 which calculates the residual life for each of the apparatus and members using models adapted to analyze deterioration of the material strength and behavior of the crack propagation. The diagnosis and evaluation results by the abnormality diagnosing system 45 and the residual life evaluating system 47 are both supplied to the plant chart 11 as update data. The data from the abnormality diagnosing system 45 and the residual life evaluating system 47 also provide operation/control information. Further, the data from the residual life evaluating system 47 provide security program information. The abnormality diagnosing system 45 may be arranged such that the weights are allocated depending upon importance of objects to be monitored, such as various apparatus, to diagnoses them in the order of the weights. In this case, it is possible to change the weights depending upon the result of abnormal diagnosis and to supply the changed data to the plant chart 11 as update data. FIGS. 9 and 10 show another example of the monitoring and diagnosing system. In FIG. 9, an abnormality monitoring and diagnosing system 68 comprises the monitoring system 64, the inspection system 66, the condition predicting system 65, the plant chart 11, and the abnormality diagnosing system 45. In FIG. 10, a life monitoring and diagnosing system 69 comprises the monitoring system 64, the inspection system 66, the degradation evaluating system 46, the plant chart 11, and the residual life evaluating system 47. By using the abnormality monitoring and diagnosing system 68 or the life monitoring and diagnosing system 69, shown respectively in FIGS. 9 and 10, as one kind of the monitoring and diagnosing system, the system size can be reduced corresponding to the limited functions. On the other hand, the arrangement of FIG. 8 in which the abnormality monitoring and diagnosing system 68 and the life monitoring and diagnosing system 69 are combined with each other has an advantage of filling up the plant chart 11 to support reliability of the diagnosis result because of the combined systems. Furthermore, as shown in FIG. 11, an operation planning system 63 can be added to the arrangement shown in FIG. 7. The operation planning system 63 takes in the result of the residual life evaluation from the monitoring and diagnosing system 61, prepares a plant security program and a plant operation program based thereon, and supplies the programs to the operation/control system 62. In the operation planning system 63, as shown in FIG. 12, the result of the residual life evaluation from the monitoring and diagnosing system 61 and data from other plants relating to attainment of personals and materials necessary for the security program and the security operation are supplied to a security program system 70 which prepares the security program based on the supplied result and data. Further, an operation program system 71 prepares the operation program using the security program, operation programs of the other plants, and data relating to predicted demand for the plant product, followed by feeding it back to the operation/control system 62. In FIG. 11, the operation planning system 63 serves to determine countermeasures for a relatively long term. The operation planning system 63 and the residual life evaluating system 47 as part of the monitoring and diagnosing system 61 are not necessarily included in the aforementioned plant of the broad aspect (i.e., installed in the same site as the plant 60), and may be installed in a different place as a facility for handling the operation planning control and the security program. The arrangement of FIG. 8 will be described in more detail with reference to FIG. 13. Note that the same apparatus, members and so on in the embodiments of FIGS. 1 and 8 are denoted by the same reference numerals. In FIG. 13, data such as material, performance and specification data 37 and engineering condition data 38 of apparatus/members, which were installed during construction of the plant, during inspection such as the periodical inspection and during repair/replacement, are input through a terminal 41 of a chart data processor 44 for preparing and sustaining the plant chart 11. The data input during inspection are entered as numerical values for, e.g., constituent elements of materials which can be represented by numerical values, and as codes for attributes such as material names which can not be quantitated. The engineering condition data 38, e.g., the welding condition and so on, are also input in accordance with the set code. In addition to key entry through a keyboard, data may be input from a recording medium such as a card by using an optical or magnetic code reader 40. By marking respective codes in parts of surfaces of apparatus/members by such means as engraving or printing and inputting those codes using the code reader directly from the apparatus/members themselves as recording media, it is possible to prevent a trouble caused by an accidental missing or mix-up of the recording medium, and to carry out data entry itself quickly and surely. In the case of a nuclear power plant, the above method provides the advantages that the possible problems (of leaving cards or the like in the reactor or increasing contaminants) which may be caused with operators carrying the cards into the reactor can be avoided and radiation exposure can be reduced by a cutdown of the working time. Through the above process, a portion of the plant chart 11 relating to the specification data, etc. of the apparatus/- members is automatically updated. On the other hand, current monitored values of plant operating condition data 1, apparatus operating condition data 2, and in-plant environment data 3 including water quality data 4, as well as trends of the past monitored values thereof are processed by a data processor 43 for monitoring through an on-line monitor 6, an off-line monitor 7 and a process computer 39 to be automatically taken into the plant chart 11. The data taken into the plant chart 11 are not always the monitored signals themselves, but the plural kinds of monitored signals are subject to data processing, such as regression analysis, correlation analysis and frequency analysis, for conversion into advanced monitoring information signals which are then taken into the plant chart 11. This serves to achieve data compression and to increase sensitivity of the monitoring. Based on the data of the plant chart 11 updated upon occasion and the past and current plant operating condition data obtained from the monitoring system, main factors (material properties, stress and environment) of material deterioration are quantitated in a degradation evaluating system 46. In the case of a nuclear power plant, material properties at the time of installation (or inspection) are evaluated from both the chart data of material properties and compositions of the apparatus/members before the installation (or inspection) and the chart data of engineering conditions such as welding in accordance with an evaluation model prepared beforehand. Further, using the chart data of the plant operating condition such as time-dependent variations in a neutron flux distribution, current and future changes in the material properties such as radiation embrittlement and radiation induced segregation are evaluated in accordance with an evaluation model also prepared beforehand. From the future changes in the material properties, the time of the next periodic inspection, the time of the periodic inspection after the next, etc. are evaluated. Likewise, as to stress, the residual stress at the time of installation (or inspection) is evaluated from both the chart data of material machining and the chart data of the engineering conditions. Then, using the chart data of the plant operating condition, relaxation and generation of the stress, fluid induced vibration, the thermal stress through the plant operation, etc. are evaluated. As to environment, the radical concentration, the corrosion potential, etc. are evaluated primarily from the current monitored data of the plant operating condition using an evaluation model also prepared beforehand. In the residual life evaluating system 47 which receives the thus-quantitated material degradations as input parameters, crack generation, joining and progress behavior 471 and strength deterioration behavior 472 are analyzed by a residual life evaluating section 473 to predict the remaining lives of the apparatus/members. Based on the prediction result of the residual life evaluating section 473, a countermeasure selecting section 474 prepares a preventive maintenance program. When the remaining lives predicted in consideration of a safety factor with errors in the various chart data and various evaluation and analysis models taken into account exceed the design lives of the apparatus/members, the security program is not required to be corrected. However, if the predicted residual life is shorter than the design life, the residual life evaluating system 47 selects an optimum preventive maintenance process among from various maintenance processes, such as material properties improvement and stress relaxation by repair/replacement and environmental mitigation, in view of safety and economy. In this case, it is not always required to prolong the predicted residual life up to the design life by only one kind of security engineering process. For example, if hydrogen is first injected to mitigate the corrosive environment in the plant and the repair/replacement is performed in the next periodic inspection, it is possible to avoid shut-down of the plant other than scheduled and to achieve stable supply of electric power. As to the apparatus/members for use in the repair/replacement, by recording chart information in the form of codes on recording media such as cards beforehand and storing them to provide for preventive maintenance, the recorded information can be utilized as one kind of data base for prompt and proper selection of the optimum engineering process in case of accidental trouble. It is sufficient to perform the residual life evaluation at the frequency of approximately once a month. If the residual life evaluation data of the apparatus/members are also supplied to the plant chart 11 and stored as plant chart data, this is effective in abnormality monitoring from the plant status variables described in the above embodiment. More specifically, the residual life evaluation data can be utilized as supplementary information to confirm abnormal signs estimated from other monitored information, and changes in -trend of those data can also be utilized as plant abnormality monitoring information to improve reliability of the diagnosis result of the abnormality condition predicting/abnormality diagnosing system 67. Likewise, by taking the diagnosis result as well into the plant chart 11, reliability of the evaluation result of the residual life evaluating system 47 can be improved. Incidentally, the condition predicting/abnormality diagnosing system 67 comprises an abnormality detecting section 671, a cause identifying section 672, an incidental event predicting section 673, and a countermeasure guidance section 674. With the embodiment of FIG. 13, as described above, since the chart data specific to the plant are also updated upon occasion, i.e., at the time of inspection, repair and replacement, and the material degradations are quantitated based on the updated data, the accuracy of evaluating remaining lives of the apparatus/members is improved, and changes in the remaining lives of the apparatus/members due to material deterioration can be early detected to prepare the countermeasure with a wide margin. Therefore, the plant can be safely and economically operated for a long term. Particularly, in a nuclear power plant which requires an extensive maintenance, a corresponding maintenance program can be prepared to be executed in the periodical inspection while avoiding shut-down of the plant other than scheduled, making it possible to assign workers and prepare parts, materials and equipment necessary for repair/replacement with a wide margin, and hence to lighten the inspection work. Further, because of the remaining lives being predicted with high accuracy, when the predicted lives of apparatus/members are sufficiently longer than design lives thereof, the initial repair/replacement program can also be changed so as to prolong the period of use continuously. Accordingly, it is possible to avoid the preventive maintenance which is neither necessary nor urgent, reduce the period and cost required for periodical inspection of the plant, and to achieve a reduction in the frequency of periodical inspection and an extension of the interval thereof. While the life and reliability are generally increased when only a member such as a pipe is repaired or replaced, there is a possibility that when an apparatus is subject to repair, replacement and/or overhaul check, the occurrence of troubles called initial failures may be increased on the contrary since then. Also, because apparatus characteristics are changed, the data accumulated so far in the plant chart as characteristics specific to the apparatus are totally renewed, which lowers the accuracy of apparatus diagnosis. From this viewpoint as well, therefore, it is desirable to avoid the preventive security operation which has been diagnosed as neither necessary nor urgent. In addition, by allocating costs and persons to other important security operation, reliability of the preventive maintenance can be further improved. The result of the residual life evaluation by the residual life evaluating system can be displayed in various ways. By indicating the result on the screen of a display such as CRT, by way of example, it is possible not only to inform plant operators and so on, who are looking at the screen, of the occurrence of future possible trouble in the plant, but also to make them visually understand the urgency of taking any measure and the effect of the measure. More specifically, as shown in FIG. 14, the whole or part of the plant is indicated on a display screen 48 and, by selecting a location of which residual life is to be evaluated, the result of the residual life evaluation on the selected location is displayed. The result can be displayed, e.g., in the form of a graph showing crack progress behavior, or with pseudo-color indication of a residual life distribution in the selected location or surroundings thereof so that the residual life and the location to be dealt with can be visually recognized in a moment. Further, by displaying the kind and time of an applicable security measure, and simultaneously providing the result of the residual life evaluation after implementing the security measure upon selection of the operator, the effect of the countermeasure can also be confirmed. In the embodiment of FIG. 14, a degradation is quantitated by a group of support programs 49 using the data in the plant chart 11, comprised of plant data 51 and material data 52, to thereby prepare degradation data 50. The plant data 51 include plant parameters (power, flow speed, radiation dose, etc.), operation, repair and periodical inspection records, material specifications, structures and so on. The material data 52 include characteristics of repaired and welded portions, characteristics of improved materials and so an. The group of support programs 49 evaluates such factors as residual stress, flow induced vibration, grain boundary segregation, radiation embrittlement, H and He behavior in irradiated material, and water chemistry in the reactor. The degradation data 50 and the data in the plant chart 11 are combined to make up a data base 53. The residual life evaluating system 47 comprises an input/output routine 54, a residual life evaluating routine 55, and a countermeasure evaluating routine 56. The input/output routine 54 serves to select the location to be evaluated and to display the evaluation result. The residual life evaluating routine 55 includes, as an inner hierarchy, a crack incubation time evaluating routine 57, a crack propagation length evaluating routine 58, and critical crack length evaluating routine 59. The crack incubation time evaluating routine 57 evaluates the time until microscopic cracks coalesce together through repeated breakdown and repair of the surface oxide film to become a macroscopic crack which steadily propagates. The crack length evaluating routine 58 evaluates a crack propagation length from the crack propagation rate, based on active dissolution, hydrogen embrittlement, fatigue, etc. On the other hand, the critical crack length evaluating routine 59 evaluates, from fracture toughness etc., a critical crack length leading to fracture based on the evaluation result of material deterioration during the period of use. Further, based on the above evaluation results, the countermeasure evaluating routine 56 evaluates the necessity of any security action, a proper candidate for a preventive maintenance 60 (hydrogen injection, surface improvement, or repair/welding) and the implementation time thereof, as well as the resultant effect. The evaluation result is indicated on the display screen 48. As shown at one exemplified screen 48a, the period till a time t.sub.1 represents a crack incubation time, and a time t.sub.2 at which the crack propagation length 58 intersects the critical crack length 59 corresponds to the life. Then, a time t.sub.3 corresponds to the extended life after the preventive maintenance 60. By displaying the evaluation result as described above, there can be obtained advantages of enabling operators to visually understand the necessity, urgency and effectiveness of a preventive maintenance, and to get a support for proper judgment. In addition, reliability of the residual life evaluation is improved since the material degradation is quantitated depending on the personality of the plant. A description will now be made of a practical example of the material residual life evaluation. The residual life evaluation is performed in two stages concerned with the crack generation behavior (crack incubation time evaluation) and the crack propagation behavior (crack propagation length evaluation). The crack incubation time is generally longer than a period in which the crack propagation leads to fracture, and is most important in the material residual life evaluation. For the crack incubation time, the method of evaluating generation possibility of a stress corrosion crack (SCC) proposed by Yamauchi, et al. (38-th Fushoku-Boshoku Toronkai (Corrosion and Anticorrosion Meeting), October 1991) can be utilized. Specifically, the relationship among a crack incubation time .tau.i determined by an experiment under accelerated condition for each of degradations, a crack incubation time .tau.s determined under reference condition, and an acceleration factor Fi in material deterioration, i.e., .tau.i=.tau.s.times.Fi (where n=1, 2, 3 . . . , n). When a plurality of degradations exist, a crack incubation time .tau. can be expressed as Equation 1 below. Also, a material deterioration acceleration factor F can be expressed as Equation 2 below, i.e., the product of the acceleration factors Fi: EQU .tau.=.tau.s.times.F (1) EQU n.LH4 EQU F=.PI.(Fi) (2) EQU i=1.LH8 A reference time for the crack incubation time is defined, for example, as the time until the crack length reaches 50 .mu.m. If the material deterioration acceleration factor is standardized such that the crack incubation time .tau. is one year at F=1, the material deterioration acceleration factor F itself can be regarded as the crack incubation time. The material deterioration acceleration factor is defined on an assumption that a material stays under constant conditions, so that changes in the plant operating conditions are taken in as follows in the actual plant. It is assumed that plant operation starts with normal water chemistry and that F value of an apparatus to be evaluated is 10, i.e., a 50 .mu.m crack is expected to appear in 10 years. It is also assumed that after 7 years, the operating conditions are changed to Hydrogen water chemistry by hydrogen injection and F is changed to F=50 under this environment. In this case, 7/10 of F=10 has been consumed under the old environment after the elapse of 7 years, and the residual life under the new environment is expressed by F=50.times.(3/10)=15. Thus, it is predicted that a crack having a length of 50 .mu.m will appear 15 years later. In this way, by utilizing the data in the plant chart and taking into account changes in the plant operating conditions in the integral form, the crack incubation time of each component apparatus of the plant. From the viewpoint of ensuring safety of the plant, it is desirable to perform the residual life evaluation based on prediction of only the crack incubation time. Particularly in the nuclear power plant, the presence of a crack is not allowed. However, the above-described evaluation using the material deterioration acceleration factor is not free from a prediction error due to utilization of the acceleration experimental data. The longer the prediction period, the greater is an absolute value of the error. On the other hand, if a safety factor in consideration of the error is set to be too large, the above-described purpose of avoiding the preventive maintenance which is neither necessary nor urgent cannot be sufficiently achieved. Furthermore, when the abnormality diagnosing system of the present invention has not yet been installed at start-up of the plant operation and is introduced after the plant operation, the crack propagation may have been already actualized. Accordingly, predicting all of the crack generations until actualization of microscopic cracks is thought to be practically difficult, and hence evaluation of the crack propagation behavior is also important in the residual life evaluation. In this case, a crack whose length is larger than a detection limit is detected during inspection and check. The detection limit of the crack length is approximately 1 mm. An important point in the residual life evaluation when a crack is detected is the crack propagation rate. How the crack propagation rate will change in future is evaluated using the data in the plant chart. For a stress corrosion cracking (SCC), several models adapted for, e.g., an active dissolution at the crack tip are proposed, and the data required for evaluation, such as a strain rate at the crack tip, are taken out of the plant chart. In the case where the plant chart data before introduction of the abnormality diagnosing system are not present, the record until the present time is estimated as with the future estimation, and the crack propagation rate is evaluated based on the estimated record. While the above description is made in connection with the SCC in a nuclear power plant, SCC's in other plants and other deterioration mechanisms such as fatigue cracking can also be handled in a like manner. In the above-described embodiment, the crack propagation behavior in the reactor internals of the nuclear power plant is evaluated by separating the behavior into two stages of generation and propagation. However, the evaluation may be performed by further dividing each of the generation and the propagation into, e.g., generation and coalescence of microscopic cracks, or by correlating them depending upon the evaluation accuracy of a residual life and the material deteriorating mechanism. This is similarly applied to the material degradations described above in connection with FIG. 14. In other words, the material degradations are omitted, selected and added at need. In selecting the location to be evaluated and the countermeasure, by carrying on a dialogue through a display, the evaluation can be performed while visually confirming all of the procedures. When the location to be evaluated is selected in a plant structure image on the display screen using a pen, a mouse or the like, the items to be confirmed, such as the apparatus/member name and the material name, are displayed. Upon confirming the items, as shown in FIG. 15, an enlarged image of the selected location and its vicinity is displayed in an increasing enlarged scale, e.g., in order of 48A to 48B to 48C. By so repeating the step of confirming the items such as the apparatus/member name and the material name, the objective apparatus/member is finally selected. By gradually enlarging the location to be evaluated, it is possible to clarify which portion of the objective apparatus/member is subject to problematic deterioration and how the situation is improved by which countermeasure. Of course, by displaying and reviewing an entire image of the plant, soundness of the whole plant can be grasped. The place where the residual life evaluating system is installed is not limited to a central control room of the plant. Generally, with a possibility of material deterioration progressing quickly being small as opposed to an apparatus abnormality, if the plant periodical inspection is surely performed, the evaluation result is often only required to be available in determining a relatively long-term action such as a maintenance program till the next periodical inspection or the periodical inspection after the next. The information resulted in such a case is not to be handled by plant operators. Therefore, the residual life evaluating system may be installed in an other plant facility, e.g., a preventive maintenance center, so that a maintenance program including, e.g., how to ensure apparatus and materials used in repair/replacement of the apparatus/members to be dealt with by the maintenance program, equipment required for engineering, and persons can be determined in coordination with maintenance programs for other plants. This enables the long-term plant security to be achieved while making best use of resources including manpower. While the above embodiments are described as applying the present invention to a nuclear power plant, the invention is also applicable to other facilities, such as various types of thermoelectric power plants and chemical plants for producing ethylene, in addition to the nuclear power plant. The present invention arranged as described hereinabove has the following advantages. In the plant monitoring and diagnosing method, a plant operating condition, an apparatus operating condition and an environment condition are detected, detection data are accumulated, plant inspection data are accumulated, and the plant condition is diagnosed based on plant record information consisted of the accumulated detection data and inspection data. Therefore, it is possible to realize the plant monitoring and diagnosing method which can exactly analyze and diagnose an abnormality with high reliability, taking into account the production record, the check/repair record and the operation record of a plant, and can simplify the periodical inspection, resulting in a higher availability factor of the plant. Also, in the plant monitoring and diagnosing system, the system comprises a first input section for receiving detection data of a plant operating condition, an apparatus operating condition and an environment condition, a second input section for receiving plant inspection data, a first input data processing section for preparing data for use in plant monitoring and diagnosis based on the detection data from the first input section, a second input data processing section for preparing data for use in plant monitoring and diagnosis based on the inspection data from the second input section, a plant chart for storing the data prepared by the first and second input data processing sections, and a monitoring and diagnosing section for monitoring and diagnosing the plant condition based on the data stored in the plant chart. Therefore, it is possible to realize the plant monitoring and diagnosing system which can exactly analyze and diagnose an abnormality with high reliability, taking into account the production record, the check/repair record and the operation record of a plant, and can simplify the periodical inspection, resulting in a higher availability factor of the plant. |
abstract | A housing (100, 300, 600, 700) for storing and protecting items comprises a photoreactive material (106) that selectively and irreversibly changes colors upon exposure to activating radiation (124, 324); and an ultraviolet attenuation coating (102, 702) disposed over the photoreactive material (106). Radiation (124, 324) is selectively applied to the photoreactive material (106) to irreversibly change the color of the photoreactive material (106) and therefore the housing (100, 300, 600, 700). An optional patterned layer (332) may be disposed between the photoreactive material (106) and the selective application of radiation (124, 324), and a background color (108) may be included, to affect the visual presentation of the housing (100, 300, 600, 700). |
|
abstract | Example embodiments relate to a method and apparatus for reducing electrostatic deposition of charged particles on wetted surfaces that are exposed, periodically or substantially continuously, to high velocity fluid flow within a coolant flow path in a nuclear reactor. The method may include depositing a first or base dielectric layer and a second or outer dielectric layer on a conductive surface that forms a portion of a high velocity flow path to attain the apparatus. The first dielectric layer material is selected to provide improved adhesion and insulation to the conductive surface and the second dielectric layer material is selected to provide suitable adhesion to the first dielectric layer and improved corrosion and/or mechanical resistance in the anticipated operating environment. |
|
claims | 1. A method for producing no-carrier-added radioactive tin (NCA radiotin), the method comprisingirradiating a target with at least a 10 μA beam of accelerated charged particles, wherein the target comprises a metallic antimony monolith sample encapsulated by a hermetic shell comprising a material substantially resistant to interaction with antimony to form an irradiated antimony sample,removing the irradiated antimony sample from the shell by dissolving said irradiated antimony sample to form a dissolved antimony sample in an aqueous phase resulting in NCA radiotin with a specific activity of at least 500 Ci/g, andrecovering NCA radiotin from the dissolved irradiated antimony sample by adding an organic phase to said aqueous phase and recovering said radiotin in said aqueous phase. 2. The method of claim 1 wherein the target comprises antimony monolith sample is obtained bymelting antimony inside the shell at 631° C. to 700° C., ormelting antimony outside the shell at 631° C. to 700° C. and inserting the cooled antimony monolith sample into the shell. 3. The method of claim 1 wherein the shell comprises stainless austenitic high-alloy steel. 4. The method of claim 1 wherein the shell comprises metallic molybdenum. 5. The method of claim 1 wherein the shell comprises hard non-porous graphite. 6. The method of either claim 4 or claim 5 wherein the shell external surface is protected from exterior coolant by a material stable to this coolant under irradiation. 7. The method of either claim 4 or claim 5 wherein the shell external surface is coated with metallic nickel at a thickness ranging from 20 μm to 60 μm. 8. The method of claim 3 wherein the metallic antimony has a thickness of from 2 mm to 30 mm, and the shell has a beam inlet window and an outlet window, the shell at the inlet and outlet windows having a thickness of from 50 μm to 300 μm. 9. The method of claim 5 wherein the thickness of metallic antimony ranges from 2 mm to 30 mm, and the shell has a beam inlet window and an outlet window, the thickness of the shell at the inlet and outlet windows ranging from 0.5 mm to 1.5 mm. 10. The method of claim 3 further comprising dissolving the shell with 8 M HCl to 12 M HCl. 11. The method of claim 7 wherein the nickel coating is etched by 0.5 M to 2 M nitric acid, and the molybdenum shell is dissolved in 3 M to 8 M NaOH with adding hydrogen peroxide. 12. The method of claim 1 wherein the shell comprises hard non-porous graphite that is opened after irradiation by mechanical destruction and irradiated antimony is mechanically isolated from graphite. 13. The method of claim 1 wherein the aqueous phase includes hydrohalogenic acid and nitric acid. 14. The method of claim 13 wherein the organic phase includes hydrohalogenic acid. 15. The method of claim 14 wherein the aqueous phase includes hydrochloric acid (HCl) at a concentration ranging from 9 M to 12 M. 16. The method of claim 14 wherein the aqueous phase antimony concentration of the first extraction stage ranges from 0.3 M to 0.9 M. 17. The method of claim 14 using three to five stages of extraction. 18. The method of claim 14 wherein the volume ratio of aqueous phase to organic phase ranges from 1:1 to 1:1.5. 19. The method of claim 14 wherein at least one extraction stage comprises mixing the organic phase and the aqueous phase for 5-10 min., followed by a phase separation period of 30-60 min. 20. The method of claim 14 wherein NCA radiotin is further separated from at least one of antimony, a radioisotope of tellurium, or a radioisotope of indium by adding an extracted aqueous phase to a sorbent layer in a chromatographic column and running a sorbent washing solution through said column. 21. The method of claim 20 using two to three chromatographic runs. 22. The method of claim 20 wherein the sorbent layer in the chromatographic column is silicon dioxide having grain dimensions ranging from 0.05 mm to 0.4 mm. 23. The method of claim 20 wherein the sorbent layer in the chromatographic column ranges from 5 cm to 15 cm height and 0.5 cm to 1.5 cm diameter. 24. The method of claim 20 wherein sorption is processed in a solution containing citric ions at a concentration at least five times higher than an antimony concentration, but not lower than 0.5 M. 25. The method of claim 24 wherein the citric solution pH ranges from pH 4.5 to pH 6.0. 26. The method of claim 24 wherein the citric solution pH ranges from pH 5.4 to pH 5.6. 27. The method of claim 20 wherein, after sorption, the column is washed by 20 ml to 70 ml 0.5 M sodium citrate, and then by 30 ml to 100 ml water containing citric acid, wherein both solutions have a pH ranging from pH 4.5 to pH 6. 28. The method of claim 27 wherein the pH value of the citric solutions ranges from pH 5.4 to pH 5.6. 29. The method of claim 20 wherein NCA radiotin is subsequently eluted from the chromatographic sorbent by HCl at a concentration ranging from 5 M to 7 M. 30. The method of claim 29 wherein the elution is processed with from 5 ml to 20 ml of HCl. 31. The method of claim 20 wherein said sorbent washing solution passes through said column at an elution rate from 0.1 ml/min to 3 ml/min. 32. The method of claim 1 resulting in NCA radiotin with a specific activity of 500-1500 Ci/g. |
|
description | The present invention relates to a method of producing a nuclear fuel comprising low—enriched uranium and aluminium and to the nuclear fuel product obtained with said method. Such a nuclear fuel product can be particularly used as a primary target to produce elements such as molybdenum-99 (hereafter referred to as “Mo99”), which can in turn serve as a source of technetium-99 which is a standard beta emitter and therefore used for instance for equipment calibration, and in particular of metastable nuclear isomer of technetium-99 (Tc99m) used as radioactive tracer in nuclear medicine and biology. Such a nuclear fuel product can also be used as nuclear fuel for research nuclear reactors. The nuclear fuel product generally takes the shape of a plate or a cylinder with a core sealed by a cladding. It is intended to be put in a nuclear reactor to be irradiated, in order to recover Mo99 as a fission product of enriched uranium or to provide neutrons for research applications. In the prior art, highly enriched uranium (hereafter referred to as “HEU”), that is to say with a content of U235 above 20 wt % and for instance around 93 wt %, is generally used. Particles of UAlx alloys, mostly containing UAl3 and UAl4 phases, are produced and mixed with an aluminium powder. The mix is then pressed to produce a core comprising UAlx particles within an Al matrix, the UAlx particles representing around 20-30% of the final core volume. The core is then hot-rolled along with cladding plates to seal it. As a result, its length is increased by a factor of about 400 to 600%, such plasticity coming from its high aluminium powder content. In case of cylindrical shape, after hot-rolling the plate is bent and welded for instance by arc welding such as Gas Tungsten Arc Welding (GTAW) also known as Tungsten Inert Gas (TIG) welding, by resistance welding . . . . Due to growing concerns about potential misuse of HEU, there is a need for switching from HEU to low-enriched uranium (referred to as “LEU”), that is to say with a U235 content below 20 wt %, usually around 19.75%. However, nuclear fuel product with LEU leads to less U235 content than HEU nuclear fuel product and thus to a lower Mo99 recovery in primary targets and lower neutron emission of nuclear fuel for research reactor. For this reason, the particles of mostly UAlx, with x above or equal to 3, are replaced by particles mostly containing the UAl2 phase, which provides a higher uranium-alloy density than both UAl3 and UAl4, hence a higher U235 content to compensate the lower U235 enrichment of the uranium. The UAl2 particles represent about 20-30% of the initial core volume. The nuclear fuel product, after being rolled, undergoes a thermal treatment in order to convert the UAl2 phase into UAlx in the core, with x above or equal to 3 by using part of the Al matrix. The UAlx particles represent about 30-40% of the final core volume, the UAl2, UAl3 and UAl4 phases amounting in total to about 50 wt % of the core and the aluminium phase and the other aluminium compounds amounting to about 50 wt %. This thermal treatment generates huge geometrical deformations leading to additional flattening steps with subsequent risks of cladding failures or delamination. The obtained uranium loading in the core ranges approximately from 2.7 to 3.0 gU/cm3, 3.0 gU/cm3 being the technological limit achievable for nuclear fuel products made of UAlx alloy, with the described prior art manufacturing processes. An aim of the invention is to provide a method of producing a cost effective nuclear fuel product based on LEU which, when used as a primary target, provides an improved Mo99 recovery and, when used as a nuclear fuel for research reactor, provides a higher quantity of neutrons. To this end, the invention proposes a method of producing a nuclear fuel product, the method comprising the steps of: providing a core comprising aluminium and low-enriched uranium; and sealing said core in a cladding;wherein said core has a low-enriched uranium loading strictly higher than 3.0 gU/cm3 and comprises less than 10 wt % of aluminium phase and/or aluminium compounds other than UAl2 phase, than UAl3 phase, and than UAl4 phase. In other embodiments, the method comprises one or several of the following features, taken in isolation or any technical feasible combination: said cladding comprises one or several of an aluminium alloy, a zirconium alloy such as Zircaloy-2, Zircaloy-4 or Zr—Nb alloy, a Ni-based alloy such as Alloy 600, stainless steel such as AISI 304L or AISI 316L; said cladding is an aluminium alloy comprising more than 95 wt % of aluminium; wherein said core comprises more than 80 wt % of a mixture of UAl3 phase and UAl4 phase, said mixture having a weight fraction of UAl3 phase higher than or equal to 50%; said core comprises more than 80 wt % of UAl3 phase; said core comprises more than 50 wt % of UAl2 phase, preferably more than 80 wt % of UAl2 phase; the step of providing said core comprises the substep of melting low-enriched uranium and aluminium in a furnace to form a melt, the proportion of low-enriched uranium in the melt being higher than or equal to 68 wt % and lower than or equal to 82 wt %; the proportion of low-enriched uranium in the melt is higher than or equal to 71 wt % and lower than or equal to 75 wt %; the proportion of low-enriched uranium in the melt is higher than or equal to 73 wt % and lower than or equal to 75 wt %; the proportion of low-enriched uranium in the melt is higher than or equal to 75 wt % and lower than or equal to 82 wt %, preferably higher than or equal to 78 wt % and lower than or equal to 82 wt %; the step of providing said core comprises the substeps of: providing a ingot from the melt; grinding said ingot to produce a powder; compacting said powder to produce a compact; and sintering said compact to obtain the core; the step of providing said core comprises, prior to the substep of compacting said powder, the substep of adding aluminium to said powder, the weight proportion of aluminium in the powder being lower than or equal to 10 wt %; the step of sealing said core in said cladding comprises the substeps of: enclosing said core in framing elements to obtain a sandwich; and rolling said sandwich in order to extend a core length along a rolling direction by a factor between 1% and 50%, preferably between 5% and 30% and more preferably around 10%; the step of providing said core comprises the substep of casting the melt in order to make a compact; said core also comprises an additional element, the weight proportion of said additional element in the core being lower than or equal to 3 wt %; as an alternative to said substeps of melting LEU and aluminium in a furnace, providing an ingot from said melt, and grinding said ingot to obtain powder, said powder is obtained by an atomization process, for example the atomization process described in patent FR 2 777 688. The invention also relates to a nuclear fuel product comprising: a core comprising aluminium and low-enriched uranium; and a cladding sealing the core;wherein said core has a low-enriched uranium loading strictly higher than 3.0 gU/cm3 and comprises less than 10 wt % of aluminium and/or aluminium compounds other than UAl2 phase, than UAl3 phase, and than UAl4 phase. In other embodiments, the nuclear fuel product comprises one or several of the following features, taken in isolation or any technical feasible combination: said cladding comprises one or several of an aluminium alloy, a zirconium alloy such as Zircaloy-2, Zircaloy-4 or Zr—Nb alloy, a Ni-based alloy such as Alloy 600, stainless steel such as AISI 304L or AISI 316L; said cladding is an aluminium alloy comprising more than 95 wt % of aluminium; said core comprises more than 80 wt % of a mixture of UAl3 phase and UAl4 phase, said mixture having a weight fraction of UAl3 phase higher than or equal to 50%; said core comprises more than 80 wt % of UAl3 phase; said core comprises more than 50 wt % of UAl2 phase, preferably more than 80 wt % of UAl2 phase; said core also comprises an additional element, the weight proportion of said additional element in the core being lower than or equal to 3 wt %. The invention also relates to using the nuclear fuel product as a nuclear fuel in a nuclear research reactor, for example in order to produce neutrons. The invention also relates to using the nuclear fuel product as a primary target, for example to produce elements such as molybdenum-99. FIG. 1 illustrates a nuclear fuel product 1 which is intended to be used as a primary target in order to obtain Mo99 and, as such, to be put in a nuclear reactor, or as a nuclear fuel for a research nuclear reactor in order to obtain neutrons. Typically, the nuclear fuel product 1 has the shape of a plate with a length of e.g. 180 mm for a primary target and until around 800 mm for a nuclear fuel for research reactor, a width of e.g. 60 to 90 mm, and a thickness of e.g. 2 mm. To obtain a cylindrical nuclear fuel product 1 the plate is bent and welded on a diameter usually about 20 to 50 mm, e.g. 30 mm. As illustrated by FIG. 2, the nuclear fuel product 1 comprises: a core 3 comprising aluminium and LEU; and a cladding 5 sealing said core 3. By “LEU”, it is meant that the proportion of U235 in the uranium is below 20 wt %, for example around 19.75 wt %. The core 3 has a LEU loading strictly higher than 3.0 gU/cm3, preferably more than 4.0 gU/cm3, and comprises, in addition to unavoidable impurities resulting from manufacturing processes, less than 10 wt % of Al phase and/or aluminium compounds other than UAlx phase with x above or equal to 2. Advantageously the core 3 comprises more than 90% of a mixture of UAl2, UAl3, and UAl4 phases. In specific embodiments, the nuclear fuel product 1 may be used as a primary target or as a nuclear fuel, for example in a research reactor and the core 3 comprises more than 80 wt % of a mixture of the UAl3 and UAl4 phases, said mixture having a weight fraction of UAl3 phase higher than or equal to 50%, and preferably the core 3 comprises more than 80 wt % of the UAl3 phase. In another specific embodiment, the nuclear fuel product 1 is dedicated to primary targets and the core 3 comprises more than 50 wt % of UAl2, preferably more than 80 wt % and even more than 90 wt % of UAl2. Advantageously, the core 3 also comprises an additional element, such as silicon, tantalum, niobium, or more generally any of the elements disclosed in patent FR 1 210 887, or a mixture thereof, the weight proportion of said additional element(s) in the core 3 being lower than or equal to 3 wt % and preferably lower than or equal to 1 wt %. The cladding 5 prevents the LEU from migrating from the core 3 to outside the nuclear fuel product 1. It also holds the fission products generated in the core 3 during its irradiation. The material used for the cladding 5 may be any materials generally used in nuclear reactor, i.e. an aluminium alloy, a zirconium alloy such as Zircaloy-2, Zircaloy-4 or Zr—Nb alloy, a Ni-based alloy such as Alloy 600, or stainless steel such as AISI 304L or AISI 316L. Advantageously the material used for the cladding 5 is an aluminium alloy comprising more than 95 wt % of aluminium. For example the following alloys may be used: EN AW-5754, also known as AG3, comprising about 3 wt % of magnesium (ASTM B209/B308M), EN AW-6061, comprising about 1 wt % of magnesium and 0.6 wt % of silicon (ASTM B308/B308M), or an AlFe1Ni1 alloy. The method for producing the nuclear fuel product 1 generally comprises two main steps: a first step of providing the core 3, and a second step of sealing said core 3 in the protective cladding 5. Examples of a method for producing the nuclear fuel product 1 will now be disclosed. The core 3 is first produced through several substeps. In a first substep, LEU and aluminium are melted in a furnace to obtain an U—Alx for instance in an arc furnace, an induction furnace or a resistance furnace. Advantageously, the proportion of LEU in the melt is higher than or equal to 68 wt % and lower or equal to 82 wt %, preferably higher than or equal to 71 wt % and lower than or equal to 75 wt % and more preferably higher than or equal to 73 wt % and lower than or equal to 75 wt %. In an alternative, the proportion of LEU in the melt is higher than or equal to 75 wt % and lower or equal to 82 wt % and more preferably higher than or equal to 78 wt % and lower than or equal to 82 wt %. If any, the above mentioned additional element(s) may be added to the melt in this first substep. In a second substep, the melt is poured into a mould in order to form an ingot. In a third substep, the ingot is grinded in order to obtain a U—Alx powder. Advantageously the average size of the U—Alx powder particles is less than 100 μm, for example around 40-70 μm. For determining the aluminium powder particle size, laser granulometry can advantageously be used, in accordance with standard NF ISO 13320. The U—Alx particles size is advantageously set using two sieves with 40 μm and with 125 μm mesh. Using the sieves the U—Alx powder is separated into three fractions (below 40 μm, between 40 μm and 125 μm, and above 125 μm). The fraction above 125 μm is removed and the fractions between 0-40 μm and between 40-125 μm can be mixed in a given proportion, for example 60 wt % of 0-40 μm and 40 wt % of 40-125 μm, leading to an average particle size of around 40-70 μm. In a fourth substep, aluminium powder is added to the U—Alx powder, the added aluminium being dispersed in the U—Alx powder and representing less than 10 wt % in the mixed powder. If any and if not already added in substep 1, the above mentioned additional element(s) may be added during this fourth substep. In a fifth substep, the mixed powder is compacted to obtain a compact, for example having the shape of a parallelepiped. Advantageously, the compact has approximately the final width of the core 3 in the nuclear fuel product 1, 80% to 90% of the final length of the core 3 and around twice the final thickness of the core 3. In a sixth substep, the compact is sintered, advantageously under vacuum at a temperature ranging between 500° C. and 1000° C., to obtain the core 3, which has a porosity below or equal to 10%, preferably below 5%. Advantageously, the core 3 has a thickness comprised between 110% and 120% of the thickness it will have in the nuclear fuel product 1. The porosity of the compact is advantageously determined by weighing the compact in air, then in water. During the latter measurement, the compact is completely immersed in water, with no air bubbles present on the suspension mechanism or on the compact. The porosity can then be calculated, knowing the theoretical density of the UAlx material that the particles are made of. As an alternative, to shorten treatment duration and/or reduce the quantity of residual porosities, the sintering substep can be performed under high pressure, advantageously between 200 and 1000 bars, and at a temperature in the 400° C.-900° C. range. As an alternative to the first, second and third substeps, the U—Alx powder may be obtained by an atomization process, for example the atomization process described in patent FR 2 777 688. As an alternative to the fifth and sixth substeps, the mixed powder may be cold-sprayed on a surface, preferably a surface of the cladding 5, advantageously at a temperature between 300 and 500° C. Cold-spraying results in a dense and high quality deposit. As an alternative no aluminium powder may be added in the fourth substep. As an alternative to the second to sixth substeps, the melt may be directly cast in a mold having directly the size of the core 3, the additional elements, if any, being added in the first substep. The step of sealing the core 3 with the cladding 5 also comprises several substeps. In a first substep, as illustrated by FIG. 3, the core 3 is put in a frame 7, made of the same material as the cladding 5 or a material allowing further sticking of the frame and the cladding, positioned along the sides 10 of the core 3. It should be noted that, on FIGS. 3 and 4, the width of the plates 9 and frame 7 have been exaggerated. The frame 7 may comprise several pieces and preferably consists of one piece. In a second substep, the core 3 and the frame 7 are enclosed by an upper and a lower plates 9, the upper and the lower plates 9 forming the cladding, in order to form a sandwich 11 comprising the core 3, the frame 7, and the upper and lower plates 9. The upper and lower plates 9 will form the cladding 5, once the sandwich 11 is sealed as disclosed hereunder. The frame 7 and the upper and lower plates 9 are also referred to as “framing elements”. The upper and lower plates 9 may be obtained by folding a sheet as shown on FIGS. 3 and 4. In FIG. 4, the sandwich 11 is in the process of being closed, by pressing on both external faces of the plates 9. When using a folded sheet such the one shown on FIGS. 3 and 4, only three sides of the folded sheet must be bonded together in order to seal the sandwich 11. When two separate plates are used, four sides must be bonded together to seal the sandwich 11. In a third substep the sandwich 11 is hot-rolled to bond the frame 7 and the upper and lower plates 9 together. The hot-rolling is preferably performed at a temperature higher than or equal to 300° C. and preferably between 400-450° C., and preferably performed along a direction R. The rolling rate, defined as the increase in length of the core 3 along the rolling direction R during the third substep, is advantageously comprised between 1% and 50%, preferably between 5% and 30% and more preferably between 8-15%. The third substep (hot-rolling in this example) brings the core 3 to its final thickness and size and ensures a proper sealing of the core 3 inside the cladding 5 formed by the frame 7 and the upper and lower plates 9. In a fourth substep, the final dimensions of the nuclear fuel product 1 are adjusted by cutting its edges, by any cutting mean such as press cutting, water cutting, laser cutting . . . . In a fifth substep, the nuclear fuel product 1 is submitted to a chemical cleaning according to known processes. Optionally cold-rollings at room temperature may be performed between the third and fourth substeps to adjust the thickness and the length of the core 3. If needed, an additional substep may be added after the fourth substep to adjust the thickness of the nuclear fuel product 1, for instance by machining, chemical etching . . . . As an alternative, in the second substep, an intermediate material, for instance of Ni-alloy, may be positioned around the core 3 to avoid sticking of the core 3 on the cladding 5 during the third substep. As an alternative to the third substep, the cladding 5 is welded on the frame 7 under vacuum, typically by electron beam welding, in order to seal the core 3 inside the cladding 5. To reduce the porosity of the core 3 and the gaps between the components, the welded sandwich 11 is then submitted to Hot Isostatic Pressing (HIP). The relatively small rolling rate, advantageously between 1% and 50%, preferably between 5% and 30% and more preferably around 10%, makes the rolling possible without cracking of the core 3, despite the relatively low content, indeed the absence, of aluminium powder in the core 3. The risk of an accumulation of the cladding material towards the ends of the core 3 during rolling, known in the art as “dog-boning”, is reduced, leading to a possible reduction of the thickness of the cladding 5. As a consequence of the reduced amount or the absence of aluminium powder in the core 3, the achievable technological limit for the uranium loading in the core 3 is shifted from 3.0 gU/cm3 to strictly more than 3.0 gU/cm3 for 80 wt % of a mixture of the UAl3 and UAl4 phases having a weight fraction of UAl3 phase higher than or equal to 50% in the core 3. The technological limit is even shifted to more than 4.5 gU/cm3 with more than 80 wt % of the UAl3 phase in the core 3 and even above or equal to 6.0 gU/cm3 with more than 90 wt % of UAl2 phase in the core 3, allowing to compensate for the decrease of uranium enrichment in U235 isotope. Thanks to the relatively high LEU loading in the core 3, the nuclear fuel product 1, provides an improved recovery of Mo99 when used as a primary target, and a higher quantity of neutrons when used as a nuclear fuel in a nuclear research reactor. Furthermore, the overall aluminium content of the nuclear fuel product 1 being low, the amount of aluminium in effluents after dissolution of the irradiated nuclear fuel product 1 used as a primary target to recover Mo99 is moderate. With an enhanced Mo99 recovery and less aluminium in the effluents, the nuclear fuel product 1 used as a primary target is very cost effective. In case the nuclear fuel product 1 is used as nuclear fuel in a nuclear research reactor, the content of the UAl2 phase in the core 3 being low, the risk of instability during irradiation is reduced. Adding additional element(s) in the melt, such as silicon, tantalum, niobium . . . , the weight proportion of said additional element(s) in the core 3 being lower than or equal to 3 wt %, allows reducing the weight proportion of the UAl4 phase in the melt, compared to a melt without such additional element. Adjusting the proportion of LEU in the melt at a level higher than or equal to 68 wt % and lower or equal to 82 wt % enables to obtain a core 3 comprising at least 90 wt % of a mixture of UAl2, UAl3, and UAl4, without any additional thermal treatment on the nuclear fuel product 1. Adjusting the proportion of LEU in the melt at a level higher than or equal to 71 wt % and lower or equal to 75 wt % enables to obtain a core 3 comprising at least 80 wt % of a mixture of UAl3 and UAl4. Adjusting the proportion of LEU in the melt at a level higher than or equal to 73 wt % and lower or equal to 75 wt % enables to obtain a core 3 comprising at least 80 wt % of UAl3. Using the nuclear fuel product 1 without UAl2 phase avoids any modifications of the existing manufacturing, irradiation and dissolution processes and associated equipments and reactors. Adjusting the proportion of LEU in the melt at a level higher than or equal to 78 wt % and lower or equal to 82 wt % enables to obtain a core 3 comprising at least 80 wt % of UAl2 without U metal phase. Adding a small amount, less than 10 wt %, of aluminium powder in the U—Alx powder obtained after grinding improves the plasticity of the compact in view of further rolling. Grinding the ingot into powder and then sintering the compact made from the powder provides a good homogeneity of the core 3 and allows reducing its porosity to a desired level. Setting the porosity level below 10%, preferably below 5%, helps increasing the LEU loading in the core 3. Direct casting of the core 3 leads to a non-porous core 3 with a reduced Al content (no addition of Al powder) obtained by a simplified manufacturing route. HIP process allows working directly in the core geometry avoiding failures of the nuclear fuel product 1 during rolling steps. |
|
061920967 | description | DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT Next, the preferred embodiment of the magnetostrictive wire control rod position detector assembly according to the present invention will be explained with reference to the attached drawings. In the drawings, use of the same numeral indicates identical or corresponding portions. In the following explanation, compositional elements which are similar throughout the figures will be given the same reference numeral. Moreover, in the following explanation, the terms "left", "right", "up", "down", etc., will be used for convenience, but these terms should not be interpreted to limit the invention in any way. FIG. 1 is a perspective view showing the overall construction of a magnetostrictive wire control rod position detector assembly 20 mounted at the upper end of a control rod drive unit 5, FIG. 2 is a vertical cross-section of part thereof, and FIG. 3 is an enlargement of part of FIG. 2. In these figures, the magnetostrictive wire control rod position detector assembly 20 comprises: permanent magnets 21 mounted on an upper end portion of a drive shaft 3 positioned within a pressure housing 1 of the control rod drive unit 5; and magnetostrictive wire detectors 22 secured to the outside of the pressure housing 1 by support structures 23. The magnetostrictive wire detectors 22 are also provided with receivers on the upper end portions thereof above a support member 25. Moreover, support cylinders 24 are disposed on the outside of the support structures coaxial to the pressure housing 1, and spaces 27 are defined between the support cylinders 24 and the magnetostrictive wire detectors 22. The magnetostrictive wire detectors 22, the lengths of which cover the drive stroke of a control rod 4, are disposed longitudinally along the pressure housing 1 and are secured in the circumferential direction by a plurality of annular support structures 23 so as to be in close contact with the outside of the pressure housing 1. The magnetostrictive wire detectors 22 can be placed in close contact with the pressure housing 1, whose internal temperature is approximately 300 degrees Celsius, because the detectors 22 are treated to make them heat-resistant to high-temperature specifications. The reason for placing the detectors 22 in close contact is to improve precision during detection of the position of the control rod. Furthermore, the mounting of one magnetostrictive wire detector 22 is sufficient from the viewpoint of measurement. However, in order to improve precision further, it is preferable to mount detectors 22 in sets of two. Moreover, when used as a protective system, four detectors should be mounted around the pressure housing 1 in sets of two. In this embodiment, four magnetostrictive wire detectors 22 are disposed with even spacing around the circumference of the pressure housing 1 as shown in the horizontal cross-section in FIG. 4 (a). Consequently, the magnetostrictive wire detectors 22 are able to detect the drive shaft 3 very precisely and accurately even if the drive shaft 3 is inclined within the pressure housing 1. This is desirable not only for a reactor control system, but also from the viewpoint of a reactor protection system, as will be explained in detail below. As shown in FIG. 3, the magnetostrictive wire detectors 22 comprise magnetostrictive wires 28 and protective tubes 29 consisting of stainless steel tubing with a diameter of about 10 mm. Moreover, the magnetostrictive wires 28 are constructed so as to prevent contact with the protective tubes 29 on the outside thereof by the method described below. As shown in FIGS. 1 and 2, the magnetostrictive wire detectors 22 comprise receivers 26 for detecting torsional waves (explained below) which propagate through the magnetostrictive wires 28 disposed at the upper end of the magnetostrictive wire detectors 22. FIG. 5 is a structural diagram showing part of one of these receivers 26. In this diagram, the receiver 26 comprises a casing 30 connected to the protective tube 29 of a magnetostrictive wire detector 22. A support member 31 is disposed within the casing 30. The magnetostrictive wire 28 is held at the center of a magnetostrictive wire holder 32 secured to the support member 31. The magnetostrictive wire 28 is prevented from contacting the protective tube 29 by being held in the center of the tube and by means of guide rings 33 disposed within the protective tube 29. Detector coils 34 are mounted on the support member 31 slightly lower than the middle of the casing 30 in the longitudinal direction so as to surround the magnetostrictive wire 28. Moreover, the signals detected by the detector coils 34 are sent to a control portion (not shown) by means of a cable 35. Furthermore, the upper end of the magnetostrictive wire 28, in other words, the end held by the magnetostrictive wire holder 32, is connected through the cable 35 to a pulsed current generator circuit or circuits 37. Furthermore, the magnetostrictive wire holder 32 is composed of a vibration absorbing material in order to prevent reflection of the torsional waves propagated in the magnetostrictive wire 28. Returning to FIG. 3, the permanent magnets 21 mounted on the upper end portion of the drive shaft 3 are formed into a ring shape. Furthermore, in order to apply the principle of position detection by means of a magnetostrictive wire (explained below) without major modifications to the conventional control rod drive unit 5, the permanent magnets 21 are mounted in a cylindrical formation longitudinally on the outer circumference of the drive shaft 3. That is to say that, whereas a conventional magnetostrictive wire detector 50 like that shown in FIG. 7 places a magnetostrictive wire 52 inside a ring-shaped permanent magnet 51, in this embodiment, there is a certain distance between the drive shaft 3 and the pressure housing 1, and furthermore, the permanent magnets 21 are relative to one side of the magnetostrictive wires 28 outside, and therefore the permanent magnets 21 are disposed in a cylindrical formation so that the magnetic field outside the pressure housing 1 is strong. Moreover, as shown in FIG. 3, the permanent magnets 21 comprise three magnets. However, using one integrated cylindrical permanent magnet strengthens the magnetic field and improves performance. Furthermore, when a magnetic jack control rod drive unit is used as the control rod drive unit 5, there is a possibility that the drive shaft 3 will vibrate during operation. As a result, there is a possibility that the drive shaft 3 may move radially and contact the inside of the pressure housing 1, damaging the permanent magnets 21. Consequently, the permanent magnets 21 are protected by mounting a protective tube 36 made of the same material as the drive shaft 3 onto the outside of the permanent magnets 21 after mounting the ring-shaped permanent magnets 21 onto the drive shaft 3. Furthermore, by sealing the permanent magnets 21 between the drive shaft 3 and the protective tube 36, oxidation of the permanent magnets 21 can be prevented. Moreover, magnetic material was used in the conventional drive shaft 3, but in the present embodiment, the upper end portion of the drive shaft 3 and the protective tube 36 are composed of a non-magnetic metal in order to make position detection signals from the permanent magnets 21 clear. Next, the method of detecting the position of a control rod 4 by means of the magnetostrictive wire control rod position detector assembly 20 will be explained using FIGS. 6 (a) to (c). As shown in FIG. 6 (a), in its initial state, the magnetostrictive wire 28 of the magnetostrictive wire detector 22 is positioned within the magnetic field M of the permanent magnets 21 mounted on the drive shaft 3. However, the magnetostrictive wire 28 is not twisted by the magnetic field M of the permanent magnets 21 alone and remains in its initial state. First, in this condition, a pulsed current 40 is imparted towards the magnetic field M in the longitudinal direction of the magnetostrictive wire 28 from the receiver 26 end by the pulsed current generator circuit 37. In so doing, a torsional magnetic field MP is generated around the magnetostrictive wire 28 in accordance with the right-hand rule, and the torsional magnetic field MP propagates along the magnetostrictive wire 28 towards the magnetic field M of the permanent magnets 21. Because the propagation velocity is extremely fast, this is taken as the "0" count for measurement purposes. Next, as shown in FIG. 6 (b), when the pulsed current 40 approaches the permanent magnets 21 mounted on the drive shaft 3, that is to say, when the torsional magnetic field MP of the pulsed current 40 interferes with the magnetic field M of the permanent magnets 21, the magnetostrictive wire 28 is instantaneously twisted. As a result, torsional waves 41 are generated along the magnetostrictive wire 28 in both directions from the point at which the two magnetic fields interfere and twisting occurs. Then, as shown in FIG. 6 (c), the propagation time from the imparting of the pulsed current 40 to the arrival of the torsional wave 41 is measured by the receiver 26 disposed at the upper end of the magnetostrictive wire detector 22. By calibrating the measured propagation time against the longitudinal propagation velocity in the magnetostrictive wire 28, it is possible to calculate the absolute value of the distance from the receiver 26 to the permanent magnets mounted on the upper end portion of the drive shaft 3. Using this method, it is possible to precisely and accurately measure the physical position of the control rod 4 to within the length of one control rod drive step (approximately 16 mm). As a result, it is possible to check for the presence of discrepancies in the position of the control rod 4. Furthermore, it is also possible to reduce the design margins introduced into core designs to compensate for uncertainties in the positions of the control rods, leading to merits such as increased output. Next, the measurement principle shown in FIGS. 6 (a) to (c) can be used to measure the descent times (insertion times) of a control rod 4. That is to say, by generating pulsed currents 40 at predetermined time intervals and continuously measuring the physical position of the control rod 4 by means of the magnetostrictive wire detectors 22, the changes in the position of the control rod 4 corresponding to the commencement of descent of the drive shaft 3 until the drive shaft 3 stops can be accurately measured. As a result, the descent times (insertion times) can be stored as high-precision digital data. Using these data, the times taken for the control rod 4 to reach the position of the dashpot, the fully inserted position, or any intermediate position from the commencement of descent can be accurately calculated. For that reason, the precision of measurement of the descent times of the control rod 4 can be improved, ensuring reliability of the reactor. Furthermore, since the changes in descent velocity of the control rod 4 and the confirmed positions of the control rod 4 are stored as digital data, even in the rare event that the control rod 4 stops during descent, it is possible to ascertain the rest position of the control rod 4 (fully inserted or partway) accurately. Consequently, as the accuracy and reliability of the detection of the position of the control rod 4 are improved, it is also possible to determine easily whether the control rod 4 has been completely inserted or not. Because the longitudinal position of the control rod 4 can be continuously ascertained with high precision and the lowest inserted position (fully inserted position) of the control rod can be accurately detected in this manner, it is possible to reduce the design margins introduced into core designs to compensate for uncertainties in the positions of the control rods, and the design merits of the control system enable increased safety margins, increases in output, etc., to be considered in the operation of the reactor. In this embodiment, as explained above, four magnetostrictive wire detectors 22 are disposed with even spacing around the circumference of the pressure housing 1 (see FIG. 4 (a)). Here, one system is composed of a pair of magnetostrictive wire detectors 22 disposed in positions 180 degrees opposite to each other, and two such systems are installed. A plurality of magnetostrictive wire detectors 22 are mounted in this manner so that the position of the drive shaft 3 can be precisely and accurately detected even if the drive shaft 3 is inclined within the pressure housing 1, as shown in FIG. 4 (b). For example, as shown in this figure, if the drive shaft 3 is positioned centrally within the pressure housing 1 (see center line 3a), the magnetic field M1 of the permanent magnets 21 is equal on the left and right, but when the drive shaft 3 is inclined to the right (see center line 3b), the magnetic field M2 of the permanent magnets 21 is stronger on the right, and when the drive shaft 3 is inclined to the left (see center line 3c), the magnetic field M3 of the permanent magnets 21 is stronger on the left. Consequently, if a magnetostrictive wire detector 22 were mounted only on the right, when the drive shaft 3 was inclined to the left the magnetic field M3 of the permanent magnets 21 would be weak on the right and there would therefore be a risk that the torsional magnetic field of the pulsed current propagated in the magnetostrictive wire detector 22 would interfere in a different position and precision would deteriorate. However, when a pair of magnetostrictive wire detectors 22 are disposed in positions 180 degrees opposite to each other, the detection function is multiplied, and even if the drive shaft 3 is inclined within the pressure housing 1, the precision of detection of the position of the drive shaft 3 can be increased by averaging the positional data detected by the pair of magnetostrictive wire detectors 22. Nevertheless, even if only one magnetostrictive wire detector 22 is mounted and the drive shaft is inclined to the maximum, the position of the drive shaft 3 can still be measured precisely to within a drive step (16 mm). Furthermore, by installing a plurality of systems of pairs of magnetostrictive wire detectors 22, even if one detector fails, the position of the drive shaft 3 can be detected by the other detectors, enabling the invention to be applied not only to reactor control systems, but also to reactor protection systems. Also, by incorporating more precise position signals for control rods 4 into reactor protection systems, operability can be improved, the number of thermocouples, etc., can be reduced, output can be increased further, etc., contributing significantly to plant rationalization. Furthermore, in this embodiment, as explained above, support cylinders 24 are disposed coaxial to the pressure housing 1 on the outside of the support structures 23 which seal and secure the magnetostrictive wire detectors 22 to the pressure housing 1. A layer of air exists in the space 27 sealed by the magnetostrictive wire detectors 22, the support cylinders 24 and the support member 25. Consequently, a heat insulating effect is generated by the layer of air (see FIGS. 1 and 2). As a result, a large amount of the radiant heat from the high-temperature interior (approximately 300 degrees Celsius) of the pressure housing 1 can be shut out, enabling the volume of the cooling equipment for the control rod drive unit to be reduced. In this embodiment, the permanent magnets 21 mounted on the drive shaft 3 are ring-shaped, but the permanent magnets are not limited to this shape, and half-rings or flat bars can also be mounted. Furthermore, the permanent magnets 21 are mounted on an upper end portion of the drive shaft 3, but the permanent magnets 21 can be mounted on any position on the drive shaft 3, provided that the position of the control rod can be detected from the fully withdrawn position to the fully inserted position. In addition, in this embodiment, only the upper end portion of the drive shaft 3 is composed of non-magnetic material, but the entire body thereof can be composed of non-magnetic material. As explained above, in this embodiment, the magnetostrictive wire control rod position detector assembly 20 can be constructed simply by mounting magnetostrictive wire detectors 22 and receivers 26 on the outside of the pressure housing 1 of the control rod drive unit 5 and mounting permanent magnets 21 on an upper portion of the drive shaft 3, enabling the existing control rod drive unit to be used without modification. The embodiment of the present invention presently considered to be ideal and other alternative embodiments have been explained in detail with reference to the drawings, but the present invention should not be limited to these embodiments, and additional applications and modifications of any sort to the magnetostrictive wire control rod position detector assembly can be easily conceived and realized by those skilled in the art without deviating from the spirit and scope of the present invention. |
description | This application claims the benefit and priority of prior United Kingdom Patent Application No. 1720403.3, filed on Dec. 7, 2017, the entire contents of which are incorporated by reference herein. The invention relates to the determination of the shape of a radiotherapy beam at a target position. In particular, the invention provides methods for determining the effect of the leaves of a multi-leaf collimator on the radiation pattern projected onto a tumour (target) of a patient. In radiotherapy, a beam of x-rays is directed at a target to be treated in a patient. The objective of radiotherapy is to attempt to irradiate the target, typically a tumour located on or in the body of a patient, with sufficient radiation to shrink the tumour while minimizing irradiation and damage of surrounding healthy tissue. One way to achieve this is to introduce a beam shaper or collimator between the x-ray source and the patient. The collimator blocks parts of the beam so that the shape of the beam applied to the patient more closely matches the shape of the target presented to the beam, i.e. the tumour. One form of collimator is a multi-leaf collimator (MLC) comprising an array of moveable leaves that may be positioned to intersect and block parts of the radiation beam so as to define the shape of the radiation beam at the target. WO 2008/141667 discloses MLCs. It has been proposed to integrate a radiotherapy system with a magnetic resonance imaging (MRI) system to allow the target to be imaged and to provide guidance for the shaping and positioning of the radiation beam to obtain effective treatment. Image guided radiation therapy (IGRT) comprises obtaining an image of the patient that includes the target, and using this image to design a radiotherapy treatment plan involving irradiating the target from different positions around the patient, while adjusting the MLC to obtain an appropriate beam shape at the target for each irradiation direction. Once the treatment plan has been created, the patient is then placed in the IGRT system and irradiation commences. By obtaining further images simultaneously with irradiation, any changes in the shape and location of the target from that in the initial image (for example due to patient movement, growth or shrinkage of the tumour, etc.) can be determined and the beam shape modified by adjustment of the MLC during the treatment so that the applied radiation beam can correspond to that required in the treatment plan. EP 2359905 discloses an IGRT apparatus. This invention addresses issues in the determination of the beam shape at the target. A first aspect provides a method of determining the shape of a radiation beam of a radiotherapy system at a target position, the system comprising a radiation source for projecting the radiation beam towards the target position along a beam axis; and a multi-leaf collimator disposed between the radiation source and the target position, the multi-leaf collimator comprising an array of moveable leaves that may be positioned to intersect and block parts of the radiation beam so as to define the shape of the radiation beam at the target position, wherein at least some of the leaves in the array are aligned such that the planes of those leaves converge at a point that is displaced laterally from the radiation source; the method comprising for each leaf in the array that is positioned to intersect the radiation beam, determining a projected width with respect to the radiation beam, wherein the projected width is greater than the thickness of the respective leaf, and for leaves of substantially the same thickness, determining a wider projected width for a leaf further from the beam axis than the projected width for a leaf closer to the beam axis; and using the projected leaf width to determine the shape of the radiation beam at the target position. Where all of the leaves of the multi-leaf collimator have substantially the same thickness, the method comprising determining a progressively wider projected width for leaves the further they are from the beam axis. The method can comprise using the projected leaf width to determine the position of an edge of the radiation beam at the target position. The projected leaf width can be determined as the distance, in a plane perpendicular to the beam axis and passing through the leaf, between (i) the lateral edge extremity of the leaf furthest from the beam axis in a plane extending through the source, and (ii) a plane extending through the source and including the a lateral edge extremity of the leaf closest to the beam axis. The target position can be defined for a subject (e.g. a patient) that is moveable into and out of the radiation beam. In this case, the method can further comprise identifying a target position in a subject; determining an initial desired beam shape necessary to irradiate the target position; positioning the subject for irradiation by the radiation beam; positioning the leaves of the multi-leaf collimator based on the initial beam shape at the target position; irradiating the target position with the beam; determining a revised beam shape at the target position; and adjusting the position of one or more leaves of the multi-leaf collimator to change the beam shape from the initial beam shape to the revised beam shape. This method optionally comprises adjusting the position of the radiation source with respect to the subject and irradiating the target position from at least one of different direction, optionally further comprising adjusting the position of the leaves of the multi-leaf collimator to define the shape of the beam at a current irradiation direction to compensate for differences between the initial radiation beam shape at a previous irradiation direction, and the revised radiation beam shape for the current irradiation direction. The method can comprise storing values of the projected width for each leaf in a lookup table, and consulting the values stored in the lookup table when determining the shape of the radiation beam at the target position. A further aspect provides radiotherapy system comprising a radiation source for projecting the radiation beam towards a target position along a beam axis; a multi-leaf collimator disposed between the radiation source and the target position, the multi-leaf collimator comprising an array of moveable leaves that may be positioned to intersect and block parts of the radiation beam so as to define the shape of the radiation beam at the target position, wherein at least some of the leaves in the array are aligned such that the planes of those leaves converge at a point that is displaced laterally from the radiation source; and a control system for controlling the multi-leaf collimator to move the leaves to provide a desired beam shape at the target position; wherein the control system is configured to operate the radiotherapy system in accordance with a method according to the first aspect. All of the leaves of the multi-leaf collimator can have substantially the same thickness. Another aspect provides a computer-readable medium storing instructions that, when read by a suitably programmed device, provide instructions for performance of a method according to the first aspects. Further aspects, including methods of treating a patient, and methods of treating tumours are also provided. FIG. 1 shows a system comprising a radiotherapy apparatus and a magnetic resonance imaging (MRI) apparatus of the type described in EP 2359905. The radiotherapy apparatus 6 and MRI apparatus 4 are shown schematically in FIG. 2. The system includes a couch 10, for supporting a patient in the apparatus. The couch 10 is movable along a horizontal, translation axis (labelled “I”), such that a patient resting on the couch is moved into the radiotherapy and MRI apparatus as described in WO 2009/007737. The system 2 also comprises an MRI apparatus 4, for producing real-time images of a patient positioned on the couch 10. The MRI apparatus includes a primary magnet 16 which acts to generate the primary magnetic field for magnetic resonance imaging. The magnetic field lines generated by the magnet 16 run substantially parallel to the central translation axis I. The primary magnet 16 comprises one or more coils with an axis that runs parallel to the translation axis I. The one or more coils may be a single coil or a plurality of coaxial coils of different diameter, as illustrated. The coil(s) in the primary magnet 16 is arranged such that a central window of the magnet 16 is free of coils. The magnet 16 may further comprise one or more active shielding coils, for generating a magnetic field outside the magnet 16 of approximately equal magnitude and opposite polarity to the primary magnetic field. The more sensitive parts of the system 2, such as the accelerator, are positioned in this region outside the magnet 16 where the magnetic field is cancelled, at least to a first order. The MRI apparatus 4 further comprises two gradient coils 18, 20, which generate the gradient magnetic field that is superposed on the primary magnetic field. These coils 18, 20 generate a gradient in the resultant magnetic field that allows spatial encoding of the protons so that their position can be determined from the frequency at which resonance occurs (the Larmor frequency). The gradient coils 18, 20 are positioned around a common central axis with the primary magnet 16, and are displaced from one another along that central axis. This displacement creates a gap, or window, between the two coils 18, 20. In an embodiment where the primary magnet 16 also comprises a central window between coils, the two windows are aligned with one another. An RF system 22 transmits radio signals at varying frequencies towards the patient, and detects the absorption at those frequencies so that the presence and location of protons in the patient can be determined. The RF system 22 may include a single coil that both transmits the radio signals and receives the reflected signals, dedicated transmitting and receiving coils, or multi-element phased array coils, for example. Control circuitry 24 controls the operation of the various coils 16, 18, 20 and the RF system 22, and signal-processing circuitry 26 receives the output of the RF system, for generating images of the patient supported by the couch 10. The system 2 further comprises a radiotherapy apparatus 6 which delivers doses of radiation to a patient supported by the couch 10. The majority of the radiotherapy apparatus 6, including at least a source of radiation 30 (e.g. an x-ray source) and a multi-leaf collimator (MLC) 32, is mounted on a chassis 28. The chassis 28 is continuously rotatable around the couch 10 when it is inserted into the treatment area, powered by one or more chassis motors 34. In the illustrated embodiment, a radiation detector 36 is also mounted on the chassis 28 opposite the radiation source 30 and with the rotational axis of the chassis positioned between them. The radiotherapy apparatus 6 further comprises control circuitry 38, which may be integrated within the system 2 shown in FIG. 1 or remote from it, and controls the source the radiation source 30, the MLC 32 and the chassis motor 34. The radiation source 30 is positioned to emit radiation through the window defined by the two gradient coils 18, 20, and also through the window defined in the primary magnet 16. The source 30 emits a divergent beam of radiation. The radiation beam is collimated to a rounded corner rectangular section with appropriate shielding prior to arrival at the MLC 32. The radiation beam is relatively narrow in the axial direction, and is relatively wide in azimuthal direction (both with respect to the axis of rotation of the chassis). Thus, the beam takes a “fan” shape that is suited to the geometry of the system 2, in which two gradient coils 18, 20 are displaced from one another in order to allow the radiation access to the patient. A fan-shaped beam provides substantial radiation to the patient through the narrow window, meaning that the gradient coils 18, 20 can be placed closer together than with conventional integrated radiotherapy/imaging systems. This allows the gradient coils 18, 20 to generate stronger gradient fields than would otherwise be the case, increasing the quality of the images obtained by the MRI apparatus 4. The radiation detector 36 is configured for the geometry shown in FIG. 1, and can be used for imaging and daily calibration. The detector 36 is positioned outside the magnetic coils 16, 18, 20 on the chassis 28, aligned with the radiation beam exit. It therefore has a fixed position relative to the radiation source 30 and MLC 32. In operation, an MRI image is obtained of the patient, including the desired target region (e.g. a tumour). On the basis of this image, a treatment plan is designed that includes irradiating the target region from a number of different directions, with the beam shape defined for each irradiation direction so as to fully irradiate the target region while limiting irradiation of healthy tissue as much as possible. Subsequently, a patient is placed on the couch 10 and the couch is inserted into the treatment area defined by the magnetic coils 16, 18 and the chassis 28. The control circuitry 38 controls the radiation source 30, the MLC 32 and the chassis motor to deliver radiation to the patient through the window between the coils 16, 18. The control circuitry 38 controls the source to deliver radiation in a fan beam, in the usual pulsed manner. The chassis motor 34 is controlled such that the chassis 28 rotates about the patient, meaning the radiation can be delivered from different directions. The MLC 32 is controlled to take different shapes, thereby altering the shape of the beam that reaches the patient. Simultaneously with rotation of the chassis 28 about the patient, the couch 10 may be moved along a translation axis into or out of the treatment area (i.e. parallel to the axis of rotation of the chassis). The MRI apparatus 4, and specifically the signal-processing circuitry 26, delivers images of the patient in real-time (or near real-time with a delay in the order of milliseconds) to the control circuitry 38. This information allows the control circuitry to adapt the operation of the source 30, MLC 32 and/or chassis motor 34, such that the radiation delivered to the patient accurately tracks the motion of the patient, for example due to breathing. FIG. 3 shows an MLC 32 comprising a housing 46 which is effectively shaped as an elongate rectangular aperture. Pairs of leaves (for example as indicated with reference numerals 48a, 48b) are located along the housing 46, and are movable into and out of the aperture in a substantially continuous number of positions by action of a plurality of actuators. The actuators may be operated by electromagnetic motors, placed outside the coils 16, 18, 20 to minimize interference with the magnetic fields present in the MRI apparatus 4. At one extreme, each leaf may be positioned entirely outside the aperture; at the other, each leaf may be positioned entirely within the aperture. As illustrated, each leaf may be separately controllable to move into and out of the housing (i.e. the movement of the leaves in each pair is not linked). This embodiment allows the target position to be tracked more accurately, as it does not assume that the target is in the centre of the field of view. The leaves are manufactured from a dense material with a relatively high atomic number (e.g. tungsten) and represent a considerable barrier to the radiation due to their relatively thick cross section along the beam axis. The leaves are sheet-like structures having a width defined by the physical dimension along a minor axis that lies orthogonal to the major axes of the sheet. The thickness can be considered to be is the maximum physical width. The projected width of the leaf is the width dimension of the portion of the beam occluded by the leaf at the furthest point of the leaf from the source. The projected width will depend upon the angle of alignment of the leaf with respect to the direction of projection of the beam. The leaves 48 move only in the longitudinal direction. This makes the leaves short as they only have to traverse the small dimension of the collimator 32. As they are only moving a small distance the tip of each leaf can have a large radius and thereby minimize the radiation penumbra. Also, moving in this direction facilitates target tracking as targets generally move due to breathing and this is in a predominantly longitudinal direction. Because the leaves 48 are relatively thin compared to the beam width, and because the MLC is relatively far from the target region/treatment location, the shadow from a leaf can be significantly wider than the physical width of the leaf. All of the leaves 48 of the MLC can have the same (maximum) thickness. While the leaves at or near the beam axis provide a relatively consistent shadow, the leaves further from the beam axis need to be angled to accommodate the divergence of the beam while projecting the same shadow, the angle becoming progressively greater the further from the beam axis a leaf is positioned. For example, the centre of each leaf is positioned on a common line and the leaves progressively angled to achieve this effect. A small air gap is also present between each pair of leaves in order to allow movement relative to each other. This air gap provides a potential unobscured path from the source to the target region, even when the leaves are positioned to block the beam. To avoid this open path, the leaves are defocused from the beam source. Instead of each leaf being aligned with the source, the leaves are aligned on a point laterally displaced from the source by a small distance. More than one defocused point can be used, for example, each leaf being focused on a different point that it spaced a small distance laterally (x direction) from and in a direction (z direction) parallel to the beam axis. For example, for an MLC with 80 leaves, each leaf can focus on a separate point that is 2.0-4.0 mm in the x direction and 0.0-6.0 mm in the z direction from the source. FIGS. 4a and 4b shows an example of such a defocused array of leaves in an MLC. The array 60 comprises 80 leaves. For ease of understanding, these are indicated in eight groups 60a-60h. The ray traces 62 for each group to the focus of the leaves 64 is shown. FIG. 4b shows the foci in detail relative to the beam axis 66. As can be seen, each group 60a-60h has a different focus, A-H, each being displaced laterally (x direction) and parallel to the beam axis 66 (z direction). As will be appreciated, if each leaf has a different defocussing, there will be a separate focus for each leaf, the points A-H serving to illustrate how the focus may vary from leaf to leaf. The angling of the leaves and the defocusing has an effect on the shadow cast by each individual leaf. FIG. 5 shows a schematic view of the MLC array illustrating this effect, for simplicity illustrating the optical leaf edge projections. FIG. 5 shows the relative arrangement of the source 70, the MLC 72 (showing only three leaves 72a, 72b, and 72c, each having the same maximum width), and the target region, here represented as a flat surface 74. Leaf 72a is close to the beam axis 66 and produces a shadow 76a at the target region 74. Leaf 72b is shown further from the beam axis 66 and angled to face the source 70 (this arrangement is shown for illustrative purposes and may not be present in a complete array). Because of the divergent nature of the beam, the shadow 76b produced at the target region 74 is wider than that of leaf 72a. Leaf 72c is a similar distance from the beam axis 66 as leaf 72b, but is defocused so as to align with a point 64 that is laterally displaced to one side of the source 70. This leads in turn to an even wider shadow 76c at the target region 74. While the treatment regions 74 is shown as a flat surface in FIG. 5, in practice it will be a tumour having a non-planar surface defining a volume (the tumour volume). In treatment planning, one important factor is to be able to determine the position of the edge of the beam at the target region. FIG. 6 illustrates this schematically. For treatment of a target tumour 80, one important aspect is determine whether or not the edge 82 of the treatment beam is accurately positioned at the edge of the tumour 80. If the beam edge is at position 82a, the tumour is under-treated. Conversely, if the beam edge is at position 82b, healthy tissue is also irradiated unnecessarily. As is discussed above, while the shape and position of tumour 80 might have been known in an initial image, the size, shape, and position may change up to a during radiotherapy treatment, meaning that the MLC will need to be reconfigured accordingly. Certain previous treatment planning systems have worked on the basis of the actual leaf width (i.e. the same physical width of each leaf in the array) to determine the edge position. In many cases, this is a valid assumption as the difference in shadow widths 76a, 76b, and 76c are within acceptable tolerances for beam shape determination. However, this difference is magnified where the MLC is relatively close to the source, but relatively far from the treatment region, such as in the arrangement shown in FIG. 1. FIG. 7a illustrates error in the edge position (y axis) vs. distance from beam axis (x axis) from a model using a fixed width for the MLC leaves. The error is similar whether using simple ray tracing from the source to the edge of the leaf (line x), or when using Monte Carlo modelling to account for scatter (line y). Lines E+ and E− provide an example of possible limits of acceptable error for the system. As can be seen, the edge errors at the extremes, as well as near the beam axis, can exceed these limits. In the present method, instead of using the same width for each leaf in the MLC, the beam shape is determined using the surface projected to the beam by each leaf (the “projected” width, 78 of FIG. 5). The projected width can be determined for each leaf in the array. For example, the projected leaf width can be determined as the distance, in a plane perpendicular to the beam axis and passing through the leaf, between (i) the lateral edge extremity of the leaf furthest from the beam axis in a plane extending through the source, and (ii) a plane extending through the source and including the a lateral edge extremity of the leaf closest to the beam axis. As the separation and alignment of the source and the MLC is fixed, the projected width will be essentially constant. Therefore, one approach is to determine the projected width for each leaf and store it in a look-up table in the treatment planning system. Using this approach leads to the edge errors shown in FIG. 7b which shows a comparative plot to that in FIG. 7a and compares the ray trace edge error using a fixed leaf width (line x2, corresponding to line x of FIG. 7a), with the corresponding edge error using the projected width for each leaf (line x1), In this case, the edge errors lie well within the limits E+ and E−. Dose calculation is often based on the computation of leaf boundary values (LBVs). LBVs are used to describe somehow how the edge of a leaf affects the penumbra of a field that is collimated by the respective edge. There is no LBV per leaf edge, instead a single value is used for the two common edges of adjacent leaves (hence the term “boundary”). To determine this experimentally, for example, one would take profiles of a field that has leaves 1-5 open and leaves 6-80 closed, and look for the 50% penumbra point (also known as the “radiation field edge”). Then one would look for the 50% point for the complementary field (leaves 1-5 closed and 6-80 open), The average of the two radiation field edges at the isocenter is the leaf boundary for leaves 5 and 6. Then the dose calculation takes this LBV and uses it to create a model of the two leaf edges. To determine the projected width 78 of a leaf 72 theoretically, one has to choose the particular ray that starts at the source and traverses the edge of the leaf such that it is attenuated by 50%. That ray has to travel through the leaf by exactly the 50% attenuation length for that particular energy spectrum. Due to the slight defocusing, one of the 50% rays will cut the top corner (source side) of one of the leaves, while the other will cut the bottom corner (patient side) of the other leaf. There is a symmetry in this: the amount by which the first 50% ray cuts the upper corner of one leaf equals approximately the amount by which the second 50% ray cuts the lower corner of the second leaf. The two rays considered for averaging are almost parallel. Thus instead of the rays that correspond to the 50% radiation attenuation one can use with good precision the rays as given by the optical field (as shown in FIG. 5). Another alternative is to use the rays that pass through the middle of the leaf edge face (middle in the vertical direction). All of these approaches result in essentially the same value for the LBV, any differences being smaller than would be measurable for radiation field profiles or film. In certain implementations, the general symmetry of the MLC leaves about a central leaf boundary is used to reduce the processing burden when determining a projected width for all the leaves with respect to the radiation beam. The central leaf boundary is the boundary formed at the two common edges of adjacent central leaves of the MLC. This central boundary may be described as the closest leaf boundary to the beam axis. The remaining leaf boundaries then fall into one of two groups: a first group located on a first side of the central leaf boundary, and a second group locating on a second, opposing side of the central leaf boundary. For example, with reference to FIG. 5, leaf 72b would form leaf boundaries which fall into the first group, while leaf 72c would form boundaries which fall into the second group. Using the methods disclosed herein, it is possible to determine the LBV for each leaf boundary in the first group, i.e. on one side of the central boundary, and thus to determine the projected width for the leaves on that side of the MLC using the methods disclosed herein. Because all of the leaves of the multi-leaf collimator have substantially the same thickness, due to the symmetry of the MLC about the central leaf boundary it is then possible to assign LBVs for the leaves in the second group, i.e. on the other, opposing side of the central leaf boundary by essentially mirroring the leaf boundary values about the beam axis 66. In other words, the LBVs for the first, second and third LBVs closest to the central leaf boundary in the first group may correspond with the LBVs for the first, second and third closest LBVs closest to the central leaf boundary in the second group, and so on. This approach is a useful approximation for implementations where all of the leaves of the multi-leaf collimator have substantially the same thickness. This method reduces not only the processing power required but also the time required to build the model, while producing results within acceptable margins for error. In other implementations, the set of LBVs may instead be slightly asymmetric with respect to the isocenter position and/or the beam axis as the defocusing of the central leaves shared surface breaks the symmetry of the model. In other words, in an alternative implementation to that described above, the LBV for each boundary is determined independently and separately from the other LBV determinations and does not rely on symmetry about a central leaf boundary position to provide an approximation. This approach advantageously increases the accuracy of the model. In all other respects, the system can be operated in the same manner as before. The look up table is a relatively quick and simple way to provide the improved estimation of leaf width that allows the initial and revised MLC configurations to be set in accordance with the instructions from the treatment planning system. Various changes can be made to the methods and systems described above within the scope of the invention. |
|
description | Referring now to the figures of the drawing in detail and first, particularly, to FIG. 1 thereof, there is seen a nuclear reactor fuel assembly 3 in an elongated storage container 2. The square outline of the nuclear reactor fuel assembly 3 is suggested by dashed lines. The nuclear reactor fuel assembly 3 has fuel rods 4 and guide tubes 5. The fuel rods 4 are filled with spent nuclear fuel, such as UO2 and/or U/PuO2, which contains radionuclides. The nuclear fuel is located in each case on a cladding tube of the fuel rods 4 which for instance comprises a zirconium alloy sealed with a plug of zirconium alloy on each of the two ends of the tube. These zirconium allow plugs are welded in gas-tight fashion to the cladding tube. The guide tubes 5 served to guide control rods and are open on at least one end. They do not contain any radionuclides. The storage container 2 is filled with a bulk fill 6 of zeolite granulate. The bulk fill 6 need not be compacted, and the spaces around the fuel rods 4 and hence necessarily the guide tubes 5 of the nuclear reactor fuel assembly 3 are also filled with it. The container 2 comprises steel and is welded in gas-tight fashion to a steel plate on both ends. Referring now to FIG. 2, the container 2 that contains the nuclear reactor fuel assembly 3 with the spent fuel rods is inserted into a bore, located for instance in a salt dome of an ultimate storage site. Also located in this bore on the outside of the container 2 is a further bulk fill 7, once again a zeolite granulate. The container 2 is completely embedded in the bulk fill 7. Activated charcoal can also be admixed with the bulk fills 6 and 7 of zeolite in FIGS. 1 and 2. With regard to zeolites, reference is had to Ullmanns xe2x80x9cEnzyklopxc3xa4die der Technischen Chemiexe2x80x9d [Ullmann""s Encyclopedia of Industrial Chemistry], vol. 24, pp. 575-578, 1983, and Ullmanns xe2x80x9cEnzyklopxc3xa4die der Technischen Chemiexe2x80x9d, vol. 17, pp. 9-17, 1979. Zeolite type A, preferably of at least one of the substances in the group comprising MgA, CaA and SrA, is especially suitable for the bulk fills 6 and 7. Type A zeolite of this kind can also be doped with silver, rendering it especially suitable at trapping radioactive iodine that might possibly escape from a leak in the cladding tube of a fuel rod 4 but is then already trapped in front of the wall of the container 2, whose retention action is accordingly still further increased by the zeolite of the bulk fill 6 in the container 2. Chabazite and mordenite are also well-suited as zeolite bulk fills. For example, zeolites with the tradename xe2x80x9cZeolon Molecular Sievesxe2x80x9d of the 400 Series, 500 Series, 700 Series and 900 Series, which bind via an ion exchange of Cs and Sr, are especially highly suitable. Particles of at least one of the substances in the group comprising metal grit, MnO2, Al2O3, MgO, SuO2, ZrO2 and silicate, which are admixed with at least one of the bulk fills 6 and 7, increase the thermal conductivity of these bulk fills 6 and 7 in order to dissipate the afterheat of the fuel rods 4. Referring now to FIG. 3, the container 2 is formed from a suitable steel with steel walls 2a and steel plates 2b closing off the ends. The steel plates 2b are tightly welded to the steel walls 2a at weld seams 2c. |
|
042773610 | claims | 1. In a reprocessing plant for depleted fuel rods, the combination of: a plurality of quasi-hermetically sealed compartments in which appropriate steps of the reprocessing are conducted; an air processing facility for each said quasi-hermetically sealed compartment; at least one means for introducing air into the combination of said quasi-hermetically sealed compartment and its air processing facility; an exhaust manifold system for withdrawing stale air from each of a plurality of said quasi-hermetically sealed compartments and directing such stale air to a central air cleaner; a central air cleaner for the stale air collected by said exhaust manifold system; turnover rate control means for each said quasi-hermetically sealed compartment maintaining the air inventory turnover rate in said combination of quasi-hermetically sealed compartment and its air processing facility at one turnover in a time interval greater than one day but less than one year, such turnover rate defining the quasi-hermetic sealing of the compartment; and filtering means and cooling means in each said air processing facility adapted uniquely to deal with the air characteristics recirculated to its corresponding quasi-hermetically sealed compartment. 2. The reprocessing plant of claim 1 in which at least one specialized air processing facility includes means for filtering iodine vapor whereby the air entering the exhaust manifold system contains significantly less radioactive iodine than if such localized filter were not used. 3. The reprocessing plant of claim 1 in which at least one specialized air processing facility includes means for filtering krypton, whereby the air entering the exhaust manifold system contains significantly less radioactive krypton than if such localized filter were not used. 4. The reprocessing plant of claim 1 in which at least one specialized air processing facility includes means for filtering tritium, whereby the air entering the exhaust manifold system contains significantly less tritium than if such localized filter were not used. 5. The reprocessing plant of claim 1 in which at least one specialized air processing facility includes means for filtering compounds containing carbon, whereby the air entering the exhaust manifold system contains significantly less carbon-14 than if such localized filter were not used. |
058928057 | description | DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS An example of a BWR according to this invention will be explained with reference to FIG. 1. The BWR power plant of this example is provided with nuclear reactor 1, high pressure turbine 3, low pressure turbine 4, a condenser 5, a condensate system and a feed water system. Nuclear reactor 1 generates heat by fission of nuclear fuel to produce steam. The steam generated in the nuclear reactor 1 is guided to the high pressure turbine 3 and to the low pressure turbine 4 via main steam piping 2. Condenser 5 condenses the steam which is discharged from the low pressure turbine 4. The water discharged from the condenser 5 is returned to the nuclear reactor 1 by the condensate system and the feed water system. The condensate system is composed of a condensate water pump 7, a condensate filter 8, a condensate demineralization unit 9 and a condensate water pump 10 that are connected to each other by condensate system piping 6. Condensate water pump 7 raises the pressure of the condensate water discharged from the condenser 5. Condensate filter 8 and condensate demineralizer 9 carry out filtration and demineralization of the condensate water. Condensate water pump 10, which further increases the pressure of the condensate water, is disposed at the downstream side of the condensate demineralizer unit 9. The feed water system is connected to the condensate system piping 6 and to the nuclear reactor 1 by way of piping 11. The feed water system is provided with feed water heaters 13 and a feed water pump 12 that are connected with the feed water system piping 11. Feed water heaters 13 elevate the temperature of the condensate water to make feed water. Feed water pump 12 increases the pressure of the feed water. Feed water piping 11 guides the feed water to the nuclear reactor. On the other hand, the reactor water in the nuclear reactor 1 circulates through two primary loop recirculation systems, each comprising a recirculation pump 14 and recirculation system piping 15. A part of the reactor water flowing through the recirculation system piping 15 is guided to the reactor water clean-up system. The reactor water clean-up system comprises a heat exchanger 19, a clean-up system pump 16 and filter demineralizers 18A and 18B that are connected to clean-up system piping 17. Filter demineralizer 18A and filter demineralizer 18B are arranged in parallel with each other. Clean-up system piping 17 is connected to both the recirculation system piping 15 and the feed water piping 11. Filter demineralizers 18A and 18B remove radioactive substances contained in the reactor water. Heat exchanger 19 cools the reactor water that is supplied to filter demineralizers 18A and 18B. The reason for cooling the reactor water is that the temperature of the reactor water must be controlled to 60.degree. C. or less, because filter demineralizer 18A uses an ion exchange resin powder. The impurity which flows into nuclear reactor 1 together with the feed water is concentrated by boiling it in the nuclear reactor 1. A part of this impurity is eliminated by filter demineralizers 18A and 18B, so that the reactor water is kept clean. Filter demineralizer 18A uses a filter resin to which an alkali substance (for example, Na) is added. Filter demineralizer 18B uses a filter resin to which no alkali substance is added. Valves 26 and 27 are provided in front of and after the filter demineralizer 18A, respectively. Valves 28 and 29 are provided in front of and after filter demineralizer 18B, respectively. Water quality monitoring of the reactor water and the feed water in the nuclear reactor 1 is accomplished by analyzing a sample of the water taken from the parts corresponding thereto. Sampling pipings 20 and 21 are connected to both the feed water piping 11 and the piping 17 of the clean-up system. A cooler 22 is installed in each of the sampling pipings. An iron injection system 25 injects iron ions into the condensate water in the condensate system piping 6. The injection quantity of iron ions is controlled so as to be about 3 in a ratio of Fe/Ni ions. Therefore, the clad deposited on the surface of cladding tube 24 of the fuel rods is stabilized. In addition, feed water piping 11 uses carbon steel for parts between the two feed water heaters 13. To prevent corrosion of this piping, an oxygen injection system 23 continuously injects oxygen gas into the condensate water in the condensate system piping 6. The quantity of oxygen being injected is controlled so that the dissolved oxygen concentration of the feed water system is 20 to 200 ppb. An example of the oxygen injection quantity is determined in accordance with the plants involved. However, the injection of oxygen to prevent corrosion of the carbon steel promotes the dissolution of Cr of the stainless steel (SUS material) used for the feed water heater 13. Dissolved Cr is guided into the nuclear reactor 1. Cr ions contained in the reactor water turn into such anionic impurities as CrO.sub.4.sup.2- under the reactor water chemistry environment. An increase of a concentration of CrO.sub.4.sup.2- ions frees hydrogen ions in the reactor water, and the hydrogen ions acidify the reactor water. The above phenomenon has occurred, particularly on the outer surface of the cladding tubes 24 where an impurity dissolved in the reactor water is condensed by boiling the reactor water. That is, the acidity of the reactor water is locally strengthened. The acidification of this reactor water accelerates the dissolution of the deposit on the outer surface of the cladding tubes 24. By acidification of the reactor water, the dissolution of the radioactive substances contained in the deposit also increases. The fuel rods use a cladding tube 24 whose surfaces are formed with no oxide film. During operation of a nuclear reactor loaded with these fuel rods, Cr contained in the reactor water is taken in an oxide film in the process of forming the oxide film on the outer surface of cladding tube 24, and so there is a possibility of the pH being lowered in local areas of the outer surface of cladding tube 24. Consequently, in order to repress the quantity of the radioactive nuclides which are dissolved from the surface cladding tube 24, it is necessary to repress the quantity of Cr, which is taken in the oxide film from the reactor water to as low a level as possible in the term during which the oxide film is formed on the surface of the cladding tube 24. This method will be explained below. FIG. 2 shows the relationship between the operating time of the nuclear reactor, and the oxide film thickness of the cladding tube with respect to the deposition speed of Cr on the cladding tube. From FIG. 2, it is apparent that the oxide film thickness becomes almost saturated with the expiration of 2000 EFPH from the starting operation of the nuclear reactor. It is generally said that the higher the rate of monoclinic crystal structure in the oxide film is, the better the corrosion resistance of Zr is. According to a result of analysis by the inventors, it was proved that the time needed for achieving the rate of monoclinic crystal structure of 90% in the whole oxide film from the starting operation of the nuclear reactor is 2000 EFPH. It was also proved that the growth speed of the oxide film decreases as the monoclinic crystal grows. Therefore, it is effective to prevent deposition of Cr ions on the surface of the cladding tube in the term during which the oxide film is formed on the surface of the fuel cladding tube, especially in the term wherein the growth speed of the oxide film is fast. FIG. 3 shows the relation between corrosion potential and the pH of the Cr ions. The corrosion potential in FIG. 3 shows relative values of the corrosion potential (SHE) that is measured by a standard hydrogen electrode as a reference electrode. The pH in FIG. 3 denotes the value at a reactor water temperature of 285.degree. C. FIG. 3 shows that while a part of the Cr ions exists as Cr oxide ions in the water chemistry environment of an ordinary primary system of the cooling water, some of them precipitate in the reactor water as Cr oxide. FIG. 3 also shows that if the water chemistry environment is shifted to the alkali side, that is if the pH of the primary system cooling water is increased, most of the Cr exists in the cooling water as Cr oxide ions. The inventors paid attention to this phenomenon and concerned that it was possible to reduce the Cr quantity being deposited on the surface of the fuel cladding tube by controlling the reactor water to produce a weak alkali environment. An actual pH control of the reactor water was carried out by using a filter demineralizer filled in advance with a filter resin to which an alkali substance (for example, Na) was added. This alkali substance was supplied to the nuclear reactor with a distributed equilibrium with the hydrogen ions in the reactor water. In this case, after 2000 EFPH from the starting operation of the preoperating test and in each fuel cycle of the nuclear reactor, the amount of Cr taken in the oxide film of the fuel cladding tube surfaces was effectively reduced by exchanging the filter resin containing the alkali substance in the filter demineralizer with another filter resin not containing the alkali substance. Therefore, local acidification of the reactor water was suppressed. The acidification of the reactor water takes place due to the dissolution of the Cr deposited on the fuel cladding tube surface. In the following, the term from the starting operation of the preoperating test or from each fuel cycle to 2000 EFPH is defined as a 2000 EFPH period. Suppression of the acidification of the reactor water mentioned above suppresses the dissolution of the radioactive substances to reduce the concentration of radioactive substances in the reactor water. One of the actual methods for exchanging the filter resin containing the alkali substance with a filter resin not containing the alkali substance is to change the operation of valves 26 and 27 with that valves 28 and 29 in FIG. 1. During the 2000 EFPH period, valves 26 and 27 are opened, and valves 28 and 29 are closed. The reactor water is guided to filter demineralizer 18A through clean-up system piping 17. Na ions shifted into the reactor water from the filter resin due to the distribution equilibrium with the hydrogen ions are guided into the nuclear reactor 1. Therefore, the pH of the reactor water in the nuclear reactor 1 shifts to the alkali side. When the 2000 EFPH period has ended, valves 26 and 27 are closed, and valves 28 and 29 are opened. Then, reactor water is supplied to filter demineralizer 18B, and the supply of the reactor water to the filter demineralizer 18A is stopped. The alkali substance is supplied to the nuclear reactor during the whole of the 2000 EFPH period. However, the supply of the alkali substance during the whole of the 2000 EFPH period is not always necessary. The same effect as mentioned above can be sufficiently achieved by supplying the alkali substance to the nuclear reactor during at least the term from the starting operation to 1000 EFPH, because, as is shown in FIG. 2, the deposition speed of Cr on the fuel cladding tube surface becomes large in the term from the starting operation to 1000 EFPH. The supply of the alkali substance to the nuclear reactor in the 2000 EFPH period further brings about the following effects. That is, the oxide film does not overly grow under the condition that the thickness of the oxide film on the fuel cladding tube surface is saturated. There is a method for injecting the alkali substance, such as the alkali metal or the alkaline earth metal, directly into the clean-up system of the reactor water, or a driving hydraulic system for the control rods, whereby the pH of the reactor water can be controlled. By these methods, the pH of the reactor water is controlled to about 6 at the reactor water temperature of 285.degree. C.; that is, the pH is controlled to about 7.5.about.8.5. A method of controlling the pH of the reactor water described in Japanese Patent Publication No. 6-8914 also can be utilized. The results of pH control of the reactor water in the plant will be explained with reference to FIGS. 4A to 4E, wherein a method for operating a BWR power plant having the structure shown in FIG. 1 was employed. The pH control of the reactor water is employed in the first example. In this example, valves 26 and 27 are opened, and valves 28 and 29 are closed during the 2000 EFPH period. Na ions shifted into the reactor water from the filter resin of the filter demineralizer 18A are guided into the nuclear reactor 1. The 2000 EFPH period is the term of pH control. A desired value of the pH of the reactor water during the 2000 EFPH period is within a range between 7.5 and 8.5 at a room temperature of 25.degree. C. After the 2000 EFPH period, the valves 26 and 27 are closed, and the valves 28 and 29 are opened to stop the pH control of the reactor water. The alkali ion concentration in the reactor water in each operation cycle is controlled in the first example as shown in FIG. 4A. FIG. 4B shows an instability of Cr in the reactor water in each operating cycle. As is mentioned above, the existing form of Cr is changed from Cr.sub.2 O.sub.3 (Cr oxide) to CrO.sup.2- (chromic acid ions) by the shifting pH of the reactor water to the alkali side. The chromic acid ions are easy to dissolve into the reactor water, which is a solution. As a result, as shown in FIG. 4C, the Cr concentration in the reactor water increases during the 2000 EFPH period. A change in the oxide film thickness of the fuel rod surfaces in the new fuel assemblies loaded into each operating cycle is shown in FIG. 4D. As mentioned above, the growth of the oxide film is very fast during the 2000 EFPH period. The Cr quantity taken in the oxide film is reduced over all of the operating cycles, as shown in FIG. 4E, by the instabilization of the Cr in the reactor water carried out during this term, as shown in FIG. 4B. FIG. 4E shows the Cr quantity in the oxide film in both the first example and a comparative example. In the comparative example, the alkali ion concentration is maintained at an ordinary level, as shown in FIG. 4A during the 2000 EFPH period. In this example, the local acidification of the reactor water is lowered by dissolution of Cr deposited on the fuel rod surfaces, thereby to suppress the dissolution of the radioactive substances, as apparent from FIG. 4E. Consequently, the concentration of the radioactive substances in the reactor water is lowered. Furthermore, the surface dose rates of the pipings and devices are reduced so that the dose of radiation to which workers are exposed at the time of periodic inspection of the plant is reduced. Even when oxygen is injected in place of alkali ions from the reactor water clean-up system or the control rod driving hydraulic system, the same effect as mentioned above can be achieved. That is, the oxygen injection into the reactor water during the 2000 EFPH period shifts the corrosion potential shown along the vertical axis of FIG. 3 to the + (plus) side. Therefore, Cr.sub.2 O.sub.3 changes into CrO.sub.4.sup.2-, which is easily dissolved in the reactor water, being a solution. As a result, the Cr quantity taken in the oxide film is lowered, so that the concentration of radioactivity in the reactor water declines. Next, a second example of a method of operating a BWR power plant is described below. In the second example, pH control of the reactor water was employed. The operation method of the second example is the same as in the first example. As is shown in FIG. 5A, the speed of the reactor water clean-up system pump 16 is increased in the second example, so that the flow rate of reactor water in the reactor water clean-up system is increased in the 2000 EFPH period. The flow rate of the reactor water in the reactor water clean-up system is 2% of the reactor water supplied to the core other than in the 2000 EFPH period. In the 2000 EFPH period, the flow rate of the reactor water in the reactor water clean-up system is 3% to 7% of the reactor water supplied to the core. The increase in the flow rate of reactor water in the reactor water clean-up system reduces the Cr quantity included in the reactor water in comparison to that of the first example, as is shown in FIG. 5B. In the second example, the Cr quantity taken into the oxide film of the fuel rod surfaces is smaller than that in the first example, as shown in FIG. 5C. Therefore, the concentration of radioactive substances in the reactor water becomes smaller than that in the first example. The method of operation of the reactor water clean-up system of this example can be the method described in Japanese Patent Publication No. 4-23237. A third example, as applied to an operating method of a BWR power plant, will be described. As is shown in FIG. 3, part of the Cr exists as Cr oxide ions under the water chemistry environment of the ordinary primary system cooling water. Some of the Cr oxide ions precipitate as Cr oxide. However, as is shown in FIG. 6, the oxygen injection mentioned above increases the corrosion potential. This increase in the corrosion potential accelerates dissolution of Cr as Cr oxide ions from the structural materials in the feed water system. The inventors have discovered that Cr can be stabilized again by shifting the pH value to the weak base side even when the corrosion potential is high, based on the corrosion potential--pH chart of FIG. 6. As a result, the inventors have devised a method of suppression of the dissolution of Cr in the condensate system and the feed water system. One of the proposed methods for shifting the pH of reactor water to the weak acid side is by injecting acidic substances, such as carbon dioxide gas, for example, into the feed water system or the condensate system. In this example, as seen in FIG. 7, carbon dioxide gas is injected into the feed water system or into the condensate system during the 2000 EFPH period, in addition to the operating method of the first example. That is, valve 32 is opened during the 2000 EFPH period, and the carbon dioxide gas is supplied into condensate piping 6 through valve 32 from carbon dioxide gas injection equipment 31. In the period between the 2000 EFPH period and the stopping of the BWR power plant, valve 32 is closed, and the supply of the carbon dioxide gas is stopped. FIG. 8A shows the carbon dioxide gas concentration in the feed water in this example. Cr transforms from CrO.sub.4.sup.2- to Cr.sub.2 O.sub.3 by shifting the pH to the weak acid side, as explained with reference to FIG. 6. As a result, Cr becomes hard to dissolve into the feed water from the pipings of the feed water system and so on, so that the Cr concentration in the feed water decreases, as shown in FIG. 8B. Therefore, as is shown in FIG. 8C, the Cr concentration in the reactor water declines more than in the first example. As is shown in FIG. 8E, the third example can further reduce the Cr quantity taken in the oxide film of the fuel rod surfaces than in the first example. Therefore, the concentration of the radioactive substance in the reactor water becomes lower than in the first example. To effect transformation of Cr from CrO.sub.4.sup.2- to Cr.sub.2 O.sub.3, the corrosion potential can be reduced in other ways than by injecting acidic substances, such as carbon dioxide gas, into the feed water system or the condensate system. The reduction in the corrosion potential is shown in FIG. 6. The first method to realize a reduction of the corrosion potential is to minimize the quantity of oxygen injected from the condensate system. The inventors have gained the following knowledge by analyzing data obtained from the BWR power plant. That is, in a BWR power plant in which a high Cr concentration in the feed water is increased, the dissolved oxygen concentration in the feed water is controlled to a range of 40 ppb or more. The inventors also have gained the following knowledge by experiments. Namely, as is shown in FIG. 9, if the concentration of dissolved oxygen in the cooling water is controlled to 10 ppb or more, the corrosion of carbon steel is greatly reduced. Based on this knowledge, the quantity of oxygen injected into the feed water system or the condensate system was controlled so that the dissolved oxygen concentration in the feed water was adjusted to 10 to 30 ppb, preferably 10 to 20 ppb. This concentration of dissolved oxygen is adjusted by controlling the opening degree of valve 30 in FIG. 1, thereby to reduce the dissolution of the Cr from the structural material of the feed water system and/or the condensate system. A second method for lowering the corrosion potential is to inject hydrogen gas into the feed water system. A desirable example of this second method is to inject hydrogen gas into the upper stream side of the feed water system upstream of the feed water heater. As is shown in FIG. 10, hydrogen gas is supplied into the feed water piping 11 from the hydrogen injection equipment 33 during the 2000 EFPH period, and then the supply of hydrogen gas is stopped during the period between 2000 EFPH and the stopping of the BWR power plant. This second method also stabilizes Cr as a Cr oxide on the surface of the structural material so that dissolution of Cr from the structural material of the feed water system is suppressed. A specific example of the method of injecting hydrogen gas is described in Japanese patent publication No. 63-19838. Another method for reducing Cr dissolution in the condensate system and the feed water system is to apply a material which is treated for reducing Cr dissolution to at least the tube of the feed water heaters in the systems. There are three methods of reducing Cr dissolution. A first method is to make the surface of the structural material in contact with the coolant as smooth as possible by electrolytic polishing, mechanical polishing and so on. The smoothness of the surface of the structural materials reduces the contact area with the coolant, so that the dissolution of Cr from the structural material is lowered. A second method is to plate noble metals, such as Pd and so forth, on the surface of the structural material in contact with the coolant by plating, lining or evaporation, for example. This coating film suppresses almost completely a dissolution of Cr from the structural material. A third method is to use a material from which Cr on the surface has been dissolved out in high-temperature reactor water or in high-temperature steam in an alkalized environment or in a high concentration of dissolved oxygen environment. The dissolution of Cr can also be reduced by this method. The quantity of dissolution of Cr from the primary system component into the primary system cooling water decreases by using the material which has been treated with the Cr reduction treatment. The Cr reduction treatment reduces the quantity of Cr that is supplied in the nuclear reactor. Therefore, the quantity of CR deposited on the fuel rods is reduced, and the concentration of the radioactive substance contained in the reactor water can be reduced. |
description | This application is a continuation of, and claims benefit under 35 USC 120 to, international application PCT/EP2008/068220, filed Dec. 23, 2008, which claims the benefit of U.S. Provisional Application Ser. No. 61/016,724, filed Dec. 26, 2007. International application PCT/EP2008/068220 is hereby incorporated by reference in its entirety. The present invention relates to an apparatus and a method for investigating and/or modifying a sample with a beam of charged particles, in particular a beam of electrons. In many microscopy techniques such as scanning electron or scanning ion microscopy, a focused beam of charged particles is scanned across the sample. The particles, which are emitted or scattered from the sample, are collected by a detector to provide a two-dimensional image. Scanned beams of electrons are also used for modifying the surface, for example by selectively removing or depositing material onto or from a sample surface. Such techniques are for example described in the publication of Koops, H. W. P., Kretz, J., Rudolph, M., Weber, M., Dahm, G., and Lee, K. L. “Characterization and application of materials grown by electron-beam-induced deposition” Jpn. J. Appl. Phys., Part 1 33(12), 7099 (1994) and by Koops et al. “Three-dimensional additive electron-beam lithography” in SPIE Vol. 2780 p. 388, as well as in the DE 102 08 043 A1. The selective deposition or removal of material with a high resolution is of particular interest for the repair of masks used in the semiconductor industry, as well as for rapid prototyping in the nano-structurization of devices in technical fields such as medicine and biology. Further disclosure of the prior art can for example be found in L. R. Harriott “Focused Ion Beam XeF2 etching of materials for phase shift masks”, J. Vac. Sci. Technol. B 11, 2200 (1993) and also by “Modelling of focused ion beam induced surface chemistry” by Klaus Edinger and Thomas Kraus, J. Vac. Sci. Technol. B 18, 3190 (2000). Deflection systems for the beam of charged particles, as they are known in the art, are for example disclosed in G. Bonnai et al., Nuclear Instruments and Methods, Vol. 157 (1), November 1978, p. 55-63. It describes a digitally controlled scanning proton and heavy ion microprobe and digital electronics for two pairs of electrostatic deflection plates, being separated along the beam axis. The publication of Shigeo Okayama “Electron-beam Lithography System Using a Quadrupole Triplet”, JVST B, 6, (1) (1988), 199-203 describes an electron beam lithography system, which uses an octopole for beam deflection and minimizes beam deflection distortions. The deflection unit comprises a digital data management and computing unit, and a combination of four 16 Bit-DACs and two 13 Bit-DACs. Charged particle scanning microscopes usually have electrostatic or magnetic lenses for electrons, and magnetic or electrostatic deflection systems between cathode and lens (“pre lens”), in the lens (“in lens”) or behind the lens (“post lens”). Pre lens systems require a double deflection system to hit the coma free point or lens center of the last lens. There is also a pre lens deflection known, which has one deflection system located in the front focal plane of the lens and is single stage, but uses the lens itself as second deflecting field. Post lens deflection systems are single stage and suffer from large deflection aberrations. In lens deflection systems require single stage deflection, but in Variable Axis Lenses (VAL) in general also double stage deflection. Electrostatic in lens deflection systems are in general single stage, and require coupling of deflection voltages on to high voltage. They are therefore rare or not used (cf. the paper of Okayama, as cited above). In addition, the exposure of the surface also has to be controlled with respect to defocusing, stigmation and deflection. Further, an image drift and an image distortion of the primary beam has to be compensated. The image drift is the most severe problem of high precision scanning electron microscopy for metrology applications such as CD-SEM (scanning electron microscopy for the measurement of critical dimensions), since it depends on the geometry of conductive or non-conductive features on the sample. This structure can vary from sample to sample, from field of view (FOV) to FOV and from one set of sample material composition to another. The DE 696 33 505 T2 discloses a magnetic in lens deflection system with triple magnetic shielding. An in lens double stage deflection system to correct for chromatic aberrations and beam blur is also disclosed in the DE 101 22 957 A1. This is also a magnetic system. A similar post lens deflection arrangement is disclosed in the U.S. Pat. No. 6,864,482 B2, where a filter deflection element compensates for chromatic deflection aberrations, produced by the pre lens deflection element. In this prior art document a magnetic objective lens is used with a long working distance to allow mounting of a filter device after the lens and before the sample. In addition it is known to additionally provide an octopole system as deflection system as disclosed by J. A. Felkner et al at AT&T corp. in DE 69 608 219 T2. Another disclosure of such a system can be found in the U.S. Pat. No. 6,797,953, which presents a pre-lens deflection system before the beam reaches an electrostatic objective lens. An in-lens and post-lens, two stage, electrostatic multipole system is disclosed in the U.S. Pat. No. 6,787,772. However, again a magnetic objective lens is used. An electrostatic multipole in the space between a magnetic objective lens and a sample is further disclosed in the U.S. Pat. No. 6,182,605. This patent discloses that the multipole configuration is used as a deflector and a stigmator for the particle beam and that the potentials at the electrodes are arranged and adapted to generate an axial acceleration or deceleration field, which serves for focusing and electron extraction as well. This apparatus also uses the electrodes of the multipole to disperse reactive gases to the sample through the electrodes. Another difficulty when modifying a surface using an electron beam is to blank the beam, which means to switch off the beam intensity. A means to do this is called a beam blanking unit or beam keying element. In SEMs as they are known from the prior art such a unit is composed of a lens for the charged particles, to focus the beam in a plane where a metal semi-plane is mounted close to the beam employed to stop the beam. A plate capacitor follows the lens, when viewing in the beam direction. When the beam passes through the middle plane of the plate arrangement and voltages of opposite sign and equal size are applied to the plates, they deflect the beam onto the catching aperture. In the deflected state the beam intensity is stopped there and can no longer reach the sample. However, during the deflection the virtual source image moves, due to the change of the beam direction by the deflection plates. This motion of the source is imaged to the sample and creates an uncertainty in the edge placement of the beam, which deteriorates the resolution of any etching or deposition process on the sample. A further and more accurate method of beam blanking known in the prior art is also called “conjugate blanking”, which allows to modulate its intensity without changing the spot position on the sample, which is during the modulation exposed to the beam. One approach for providing such an intensity modulation using a conjugate blanking system uses a setup disclosed by Andrew Muray, Dave Colby, Robin Teitzel, and Mark Gesley, in the publication “Experimental evaluation of an electron-beam pulse modulated blanker (160 MHz) for next-generation electron-beam raster scan systems”, J. Vac. Sci. Technol. B 13, 2488 (1995). However, the disclosed solution is very complicated and costly. In view of the above, it is the problem underlying the present invention to provide an apparatus and a method enabling electron beam induced etching and/or deposition with a better resolution than the devices and methods known from the prior art. The system of the invention has a charged particle source and at least one particle optical element forming a charged particle beam of charged particles emitted by the charged particle source. The system according to the invention also has an objective lens which can form a charged particle probe from the charged particle beam. Additionally a first electrostatic deflection element is arranged—in the direction of propagation of the charged particles emitted by the charged particle source—downstream of the objective lens. The electrostatic deflection element should be designed to deflect the charged particle beam in a direction perpendicular to the charged particle optical axis defined by the objective lens with a deflection bandwidth of at least 10 MHz. A deflection bandwidth of 10 MHz means that the charged particle probe can be moved from one position to another in the direction perpendicular to the charged particle optical axis of the objective lens or the system with a frequency of 10 megahertz or more. The deflection element should be a pour electrostatic one, i.e. without providing magnetic deflection fields, to be sufficiently fast for deflecting the charged particle beam with a sufficient high deflection bandwidth. The deflection element should have electrodes which have an electrical capacity with respect to each other and with respect to all further components arranged in the vicinity of deflection element, for example the components of the objective lens, which is less than 50 pF, preferably even less than 10 pF. The objective lens advantageously comprises an electrostatic immersion lens by which the charged particles passing the lens are—in the direction of beam propagation of charged particles emitted by the charged particle source—decelerated from a higher kinetic energy in the range above 10 keV to a lower kinetic energy of 5 keV or less. By arranging the electrostatic deflection element on the low energy side of such immersion lens, i.e. on the side of the immersion lens on which the charged particles have the lower kinetic energy, the electrostatic deflection element can be operated with considerably lower voltages applied to its electrodes for deflecting the charged particle probe a predefined amount or angle. By this the advantage can be used that lower voltages can be applied and switched with considerably higher frequencies than higher voltages which makes it easier to reach high deflection bandwidths. The above advantages of an electrostatic immersion lens also can be reached when the objective lens is a combination or serial arrangement of an electrostatic immersion lens and a magnetic lens. By a deflection element with a deflection bandwidth of 10 MHz or more it is possible to achieve reproducible dwell times well below 1 microsecond. More preferable the deflection bandwidth is several tens or even larger than hundreds of megahertz. In the latter case additional refresh times and a high speed blanking with rise times in the order of a few nanoseconds or less is possible. Both have not been possible with standard SEM deflection systems, which are commonly used in research and applications of electron beam induced surface chemistry. In addition a beneficial and valuable outcome of this arrangement is the possible reduction of system components, because no integrated fast beam blanking system arranged—in the direction of propagation of the charged particle beam emitted by the charged particle source—upstream of the objective lens is necessary. This is accomplished by using the electrostatic deflection element as a beam blanking means by supplying a special deflection signal, which deflects the beam away from the opening of a downstream shielding element and in this way blocks the beam before it reaches the sample. As a result, the preferred embodiment allows to omit conventionally used beam blanking elements and provides a more economic and a technically simpler solution. Furthermore a maintenance which requires venting of the electron-optical column and mechanical cleaning of a metal blade is no longer necessary, since the deflection and blanking element is attached underneath the actual column. In the presently preferred embodiment, the apparatus further comprises a shielding element with an opening for the beam of charged particles to pass through, wherein the shielding element is arranged—in the direction of propagation of charged particles emitted by the charged particle source—downstream of the electrostatic deflection element. The distance d1 between the electrostatic deflection element and the shielding element is preferably in the range of 10 μm<d1<2.5 mm. The diameter of the opening of the shielding element and the distance d2 to the surface of a sample on which the charged particle probe is formed or the plane—perpendicular to the optical axis of the objective lens—in which the charged particle probe is formed by the objective lens, are preferably essentially the same. The shielding element is therefore sufficiently closely positioned to the surface in which the charge particle probe is formed to reduce the influence of charge accumulation effects at the surface of a sample arranged in that plane on the beam of charged particles. For the sake of a short working distance, i.e. the free space distance between the last electrode of the objective lens and the sample, the electrostatic deflection element should be kept short. However, for the required deflection sensitivity, which is directly proportional to the length of the electrostatic deflection field, the working distance should be as long as possible. The above preferred values present a compromise to meet both requirements. In addition, the distance to the shielding element is limited by the requirement to insulate 10 V to 100 V of the electrostatic multipole electrode voltage to the shielding element, and to the objective lens electrode. Accordingly, a gap between these surfaces should be larger than 10 μm to limit the electrostatic field strength between the surfaces to a value below voltage breakdown in vacuum between polished surfaces, which is approximately 10 KV/mm. In a preferred embodiment the electrostatic deflection element has an opening of a sufficient size not to obstruct the primary beam and a secondary beam of charged particles in a field of view having a diameter of preferably d3<100 μm. To this end, the opening of the electrostatic deflection element has preferably an inner diameter d4 in a range of 0.05 mm<d4<5 mm and preferably a length l in a range of 0.05 mm<l<20 mm. Preferably, the electrodes of the electrostatic objective lens and the electrostatic deflection element have a capacity with respect to each other and with respect to further components of the apparatus adjacent to the electrodes of less than 50 pF, more preferably of less than 10 pF. Since the basic principle of the present invention is to provide a means for deflecting, stigmating and focusing the beam at high speed, the capacity of the electrodes with respect to each other and to the surrounding electrodes and metal plates must be kept small, that is preferably in the above indicated range, in order not to require excessively high loading currents from the fast amplifiers of the voltage supply system. With the preferred capacity values a deflection frequency of 100 MHz or up to 10 GHz per pixel can be reached. A full field of view is then scanned in 1 msec using 1000 pixels per line and 1000 lines. According to a further aspect of the invention, the apparatus may further include a second electrostatic deflection element arranged on the side directed to the particle source of the electrostatic objective lens. Preferably, the apparatus comprises means to control the first and the second electrostatic deflection element and the electrostatic objective lens so that the primary beam of charged particles is defleeted without changing the spot position, where the electron beam hits the plane in which the charged particle probe is formed. In addition, a blocking element is preferably arranged between the first deflection element and the plane in which the charged particle probe is formed, which blocks at least partly the primary beam of charged particles, when the beam is deflected, so that the intensity of the remaining electron beam, which reaches the essentially unchanged spot on the surface of the sample, is modulated. The combination of the second electrostatic deflection element (pre lens system), the electrostatic objective lens and the first electrostatic multipole deflection element (post lens system) can make use of a first deflection of the beam by the pre lens system to deflect the beam to an angle so that it enters the lens in an off-axis direction. When the second electrostatic deflection element is arranged such that its real or virtual deflection point lies on the optical axis of the objective lens and in the source-sided focal plane of the objective lens, the charged particle beam is deflected by the objective lens to a direction parallel to its optical axis. The beam then enters the post lens system, which acts as a third deflector to position the beam. The beam is deflected such that it extends preferably close to the rim of an aperture or close to the grid bar of a shielding element such as a mesh. At the same time, the focus of the beam probe is adjusted to be at the surface of a sample in a desired location. Deflecting the beam by the three deflection systems, i.e. pre lens deflection system, lens, and post lens deflection system, now allows to scan a small image field at the sample (or in the plane in which the charged particle probe is formed). In addition, the post lens deflection system can deflect the beam so that it hits the rim of the aperture or the grid bar of a shielding mesh, so that the beam is effectively switched off or at least its intensity is reduced, without any essential changes of the spot position, where the (remaining) beam hits the surface of the sample. Finally, the present invention relates to a method for investigating and/or modifying a sample comprising the steps of directing a primary beam of charged particles onto the surface of the sample, exposing the surface of the sample to one or more gases and deflecting the primary beam of charged particles onto a plurality of positions on the surface of the sample with a minimum pixel dwell time of 100 nanoseconds or less. During the scanning of the charged particles across the sample two fundamental time constants control the chemical reactions on the surface. The time the beam stays at one location or on one pixel is called pixel dwell time. After that dwell time the beam moves on to expose other locations of the pattern. During this time the incident current on the above mentioned location or pixel is essentially zero. The time which passes between two exposures at the same location or on the same pixel is called refresh time. It consists of the number of pixels or positions to be exposed of the pattern times the dwell time plus an optional extra refresh time during which the beam is blanked entirely. The present inventors have for the first time realized that short dwell times not only increase the yield of some reactions but are in fact necessary to enable certain types of reactions. For example for the removal of the absorber material on a binary photo mask with electron beam induced etching short dwell times are needed to achieve any significant etch rates. Additionally the refresh time (combined with certain scan strategies and the even harder to control line refresh time) has to be kept at a certain value to avoid several unwanted side effects like river-bedding and over etch. Preferably, the minimum pixel dwell time is ≦60 nanoseconds, wherein the dwell time for a pixel or location can preferably be controlled independently from a refresh time for the pixel. According to a further aspect of the disclosed method, the plurality of pixels or locations are scanned so that consecutive scan paths are essentially decoupled, for example by scanning the plurality of pixels or locations in one or more serpentines with a line step size >1 pixel, preferably between 2 and 20 pixel. According to still another aspect of the disclosed method, the sample is at least partially covered by an additional material layer, preferably an absorber layer on a lithography mask, wherein the pixels or locations on the sample are scanned under the control of a unit, which evaluates a secondary beam of charged particles generated on the sample to avoid the further scanning of areas of the sample, which are already sufficiently modified. In the preferred embodiment, the sample comprises a chromium layer and the gases comprise a compound including a halogen and an oxygen releasing compound such as XeF2 and H2O. The partial pressure of the one or more gases is preferably controlled by cooling a reservoir, which supplies the gas to the sample. H2O is preferably kept in a reservoir at a temperature between −30° C. and −40° C. and XeF2 is preferably kept in a reservoir at a temperature of approximately 0° C. Further modifications of the claimed apparatus and the claimed method are the subject matter of further dependent claims. In the following, presently preferred embodiments of the claimed apparatus and the claimed method are described with particular reference to a scanning electron microscope. However, it is to be understood that the present invention can be used for any apparatus, wherein electrically charged particles are used to study, image or modify a sample either on its surface or in its interior regions. A particular important field of use is the repair of masks for the semiconductor industry. In this case the scanned electron beam is used to selectively deposit or remove material, in particular a chromium layer from the surface of the mask, which is typically made out of quartz. FIG. 1 presents a schematic vertical cross-section of an embodiment of the invention. This system is used to process a work piece 403, namely a photo mask. This photo mask serves for use in a photolithographic process and carries structures which are photographically transferred to a radiation sensitive layer (resist) with which a semiconductor substrate (wafer) is coated. In relation to the wavelength of light used to transfer the structures from the mask to the wafer the critical dimensions of the structures are relatively small. Therefore, the structures on the mask are not merely embodied as alternatively transparent and absorbent structures, but also can provide a defined phase shifting effect for the light used for the imaging process. Accordingly, the structures of the mask 403 must relatively precisely comply with predetermined limits for location-dependent material densities. The material processing system 1 allows to produce such structures by material deposition at selected locations and by material removal from selected locations. The material deposition is effected herein by supplying a reactive gas (precursor) to the proximity of the location selected for the process. At the same time, an electron beam of primary electrons is directed to the selected location. Primary electrons, or backscattered or secondary electrons released from the work piece by the primary electrons, activate the reactive gas so that components of the reactive gas are deposited at the selected locations or in close proximity thereto. As a result, the desired material deposition is effected in the area of the selected location. The material removal is effected in a similar way. However, a different reactive gas is supplied which is activated by the primary electrons, or backscattered or secondary electrons generated by the primary electrons, such that the reactive gas reacts with the material of the work piece at the selected location or in close proximity thereto and converts components of the material to a gaseous or vapour compound which escapes from the work piece. Thus the desired material removal is achieved in the area of the selected location. To this end, the work piece is mounted on a work piece holder 405. The work piece 403 and the work piece holder 405 are disposed in a processing chamber 407 which may be evacuated by means of a turbo molecular pump 409 and a further pre-vacuum pump not shown in FIG. 1. A spatial position of the work piece holder relative to the processing chamber can be changed in the three spatial directions x, y, z by means of actuators not shown in FIG. 1. Plural laser interferometers 411 are provided to detect the position of the work piece holder 405 relative to the processing chamber 407. An electron microscope 415 is mounted in a vacuum enclosure 413 of the processing chamber 407 such that the optical axis 417 of the electron microscope 415 extends in z-direction and a sample plane 419 of the electron microscope 415 is within the processing chamber 407. The work piece holder 405 is positioned within the processing chamber 407 such that a surface of the work piece 403 is disposed substantially in the sample plane 419 of the electron microscope, i.e. in that plane in which a focussed probe of electrons (constituting the charged particle probe) is generated. The electron microscope 415 comprises an electron source 401 and a magnetic coil 425 acting as a condenser to form an electron beam from electrons emitted from the electron source 401. The electron beam is directed downwardly along an optical axis 417. An Objective lens 427 of the electron microscope 415 comprises an upper pole piece 429 and a lower pole piece 431, a coil 432 being provided there between. The pole pieces 429, 433 define a pole piece gap toward the optical axis 417 of the overall system, which concurrently forms the optical axis of the objective lens 427. The objective lens 427 focuses the electron beam in the sample plane 419 of the electron microscope 415, i.e. in the sample plane an electron probe with a small cross-section in the range of a 1-10 nanometers is formed. The electron microscope 415 further comprises an electrostatic immersion lens which is formed by an upper electrode 402 and a lower electrode 433. The upper electrode extends from the anode 404 of the electron source 401 through the complete electron optical column and ends at the lower pole piece 431 of the objective lens 427. The lower electrode 433 of the electrostatic immersion lens is arranged—in the direction of propagation of electrons emitted by the electron source 401—downstream of the lower pole piece of the objective lens. The upper electrode 402 is supplied with the anode potential, the lower electrode 433 is supplied with a potential with is negative compared to the anode potential and should be the same as the potential of the sample. Therefore, by the action of the electrostatic immersion lens primary electrons are—when passing the objective lens 427—decelerated from a higher kinetic energy corresponding to the anode potential to a lower kinetic energy corresponding to the difference between the anode potential and the potential of the lower electrode 433. Preferably the kinetic energy of the electrons downstream of the lower electrode is 5 keV or less. Following downstream of the lower electrode 433 an electrostatic deflection element 437 is provided. The electrostatic deflection element is formed by small electrodes which form an electrostatic multipole and serve to deflect the electron beam perpendicularly to the optical axis 417 in the x-direction and in the y-direction. A controller (not shown) is provided to control the voltage supplied to the electrodes of the deflection element 437 and thus the deflection of the electron probe in the sample plane 419. In the direction of propagation of primary electrons emitted by the electron source 401 downstream of the deflection element 437 an electrically conductive shielding element 439 is provided. This shielding element 439 can be formed as a flat extended electrode or in the form of a grid or mash. The shielding element 439 serves to reduce disturbing influences of charges accumulated on the surface of the sample 403 on the primary electron beam. The shielding element has a hole 440 in the region of the optical axis 417 to allow the primary electron beam to pass through. The shielding element 439 at least has one or two additional holes 441, 442 through which gas molecules emitted from the terminating portions of a gas supply system 443 can reach the surface of the sample 403 in close proximity to the optical axis. By the aid of the gas supply system either a pre-cursor gas or a reactive gas can be supplied as required for the actual application. In the column, in the focal plane of the objective lens 427 directed to the side of the electron source 401, a further electrostatic deflection element 435 is provided which can be operated in cooperation with the deflection element 437 arranged downstream of the objective lens 427 in a manner which will be described in more detail below. An in-lens detector 443 is arranged in the electron optical column upstream of the objective lens 427 between the condenser lens 425 and the objective lens. This in-lens detector serves for detecting either backscattered electrons or secondary electrans emitted by the sample because of the impinging primary electron beam. The detection signal of the in-lens detector 443 is read out by a controller. To take an electron microscopic image of the work piece 403 in a portion disposed in the object plane 419 around the optical axis 417 the controller controls either the first deflection element 437 or the second deflection element 435 such that the electron probe systematically scans the portion. The signal intensities recorded by the in-lens detector 443 in the dependence of the deflection are stored by the controller for further processing and/or display. As further shown in FIG. 1 two pressure limiting apertures 450, 451 are provided between the electron source 401 and the objective lens 427 so that the vacuum system 413 between the electron source 401 and the objective lens 427 is split-up into three vacuum stages 455, 456, 457 each of which is evacuated by a separate appropriate vacuum pump 452, 453, 454 so that in each of the stages an appropriate vacuum can be maintained. The electrostatic deflection element 437 can be formed in the form of an octopole which is preferably made from a non-magnetic material and will therefore not change an additional magnetic field, which may protrude lower electrode 433 of the electrostatic immersion lens. For an electrostatic insulation of the octopole its electrodes are insulated with respect to the support ring 7 with which the octopole is mounted to the lower pole piece 431 of the objective lens 427. FIG. 2 presents a schematic top view of an embodiment of the octopole with its eight cylindrical electrodes 6. The influence on the electrostatic aequipotentials 8 is also shown. The insulating space 9 between the electrodes 6 of the octopole is left without material to avoid charging of any insulating surface, which could eventually lead to sudden discharges and result in instability of the electron beam. Alternatively, the octopole can also be constructed, as often commonly used, with slots as insulating space between segments of a circular bore, or from a set of pole piece blades pointing to a common center and having an insulating space in between (not shown). The small size of the octopole having in the described embodiment an inner diameter between 5 mm and 1 mm and an electrode length between 20 mm and 0.05 mm, as well as the use of thin potential feeding wires (not shown), which are insulated with respect to the support ring 7, limits the involved capacities to less than 50 pF preferably less than 10 pF and allows therefore very high frequencies e.g. 10 MHz to 10 GHz as deflection frequency. As a result the required minimum pixel dwell time of 100 nanoseconds or less can be achieved. A high deflection speed is beneficial for high throughput lithography, high speed imaging, and all operations, wherein the sample shall not be exposed to many charged particles, while a beam is moving a distance on the sample. It is also needed when fast focusing, deflection, and/or stigmation with automated routines are performed to meet the requirements of samples having a very rough topography, for example with surfaces having steps of micrometer size over a micrometer distance. In an apparatus as shown in FIG. 1, the positioning of the beam is performed in a first step by referencing the beam to a mark present on the sample (not shown), in a second step by moving the stage of the sample, which is for example controlled by an accurate position control system like a high resolution laser interferometer or a position indicator with measuring scales. Due to the fact that mechanical stages cannot define a position with an accuracy of better than 0.5 μm, the deviation of the wanted working position and the actual stage position, which is also the beam position, is in a third step measured by the interferometer and fed back to the beam deflection system. Even with a small FoV having a diameter of only 20 μm this uncertainty is tolerable to find a defect or a structure on the sample surface, which is to be investigated or processed. This multi-step approach is generally successful, since high resolution SEMs, which are generally used for this task, are built to exclude an additional drift of the beam with respect to the lenses and the sample of more than a few nm/hour. In a fourth step, the beam location with respect to the structures of the sample is monitored by a single scan imaging step. Based thereon the work area is defined and the work can start. Work means in this context operations such as measuring a distance, depositing material, etching material, or reviewing the previous work by recording a high resolution image. FIGS. 3a and 3b schematically present the electrodes of an octopole (reference numerals 11 to 18) and indicate the applied potentials for a round lens R0, two deflectors D1 and D2, two quadrupoles Q1 and Q2 for stigmating, a sextupole S1 and an octopole O1 for partial corrections of aberration components. Since the sextupole field strength is assembled from an 8-pole configuration, it is possible to rotate the sextupole field by setting the calculated voltages to the poles. The octopole can only be rotated by 45 degrees by reversal of all polarities. The indicated potentials all are to be superimposed to the poles 11-18, wherein the sum of all the components on each electrode is to be determined by a computer and output by a fast D/A converter as a voltage to the respective electrode. The influence of the various potentials on the electrodes 11-18 of the preferred octopole on the beam of charged particles can be described as follows: The excitation of the octopole with a potential R0 added to all electrodes 11-18 provides a balancing of the octopole position. In other words, the electrode voltage compensates the position of the octopole in the decelerating fringe field of the electrostatic objective lens and helps to minimize the influence of the positioning of the octopole element. An additional acceleration or deceleration of the beam can also be achieved by R0, which causes a focusing or defocusing of the beam. A dipole field D1 and D2 added to the poles of the octopole according to the distribution and values as given in FIG. 3b allows to shift the beam in x- and y-direction or in any arbitrary directions. In a dipole arrangement, one would generally use only the opposite electrodes of poles 11 and 15, as shown in FIG. 3a to generate a deflecting field perpendicular to the electron beam. Adding potentials also to the neighbouring poles allows to enlarge the area of the homogeneous field inside the octopole. It is therefore beneficial to the general task and reduces the deflection distortion. Employing two generally perpendicularly oriented deflection fields provides the possibility to steer the beam in all directions with the proper potential contribution added to the electrodes. Using the two quadrupole potential distributions Q1 and Q2 allows to influence the ellipticity of the beam generated by a two-fold astigmatism. To compensate this deficiency, two quadrupole fields are used and adjusted in strength. Compensation of the astigmatism is obtained by setting the two quadrupole potentials Q1 and Q2 to a special ratio, which quasi rotates the quadrupole field by an angle. In addition, the potential is chosen proportional to the ratio as set for the azimuthal orientation so that the two-fold focusing and defocusing action on the beam can be used to compensate for the astigmatism. A misaligned mounting of the octopole can generate additional astigmatism, and can be compensated with such potentials. A three-fold astigmatism originates generally in the column and lenses above and within the objective lens of a SEM. A sextupole field allows the correction of such a three-fold astigmatism of the beam, as well as the correction of a coma aberration component. The azimuthal adjustment of the orientation of the field must be generated by numerically calculating the required S1 potentials for the rotated sextupole. Three-fold components of the beam can also originate from misalignments of lenses and other optical components, like deflectors, and stigmators. The octopole, which is fixed in its orientation due to the mounting of the octopole system, can be varied by changing the Q1 potential, and can be rotated in fixed steps of 45 degrees. It can be used to compensate for the coefficient describing the rotational symmetrical portion of the spherical aberration. FIGS. 4a-4d illustrate a further aspect of the present invention. FIG. 4a shows the beam path for a conjugate blanking system, which uses a pre lens deflecting multipole 20, an objective lens 21 and a post lens deflecting multipole 22 in conjunction with an aperture plate or grid 23 located close to the sample 24. As will be explained below, the two multipoles 20, 22 and the objective lens 21 allow that the probe spot 25 remains at a fixed position on the sample 24, even if the intensity of the beam is switched off or modulated. To this end, the deflection of the pre lens deflecting multipole 20, the objective lens 21 and the post lens deflecting multipole 22 is adjusted so that the beam 26 passes close to the edge of a blocking element such as an aperture plate or a grid bar of a shielding mesh 23. FIG. 4b shows the beam path 26 (dotted line) in this situation. For modulating the intensity of the beam or for fully blanking the beam, a control unit (not shown) applies synchronous blanking signals 30, 31 to the pre lens and post lens deflecting multipoles 20, 22 with opposite polarity (cf. FIG. 4d). As a result the beam is deflected but the illuminated probe spot 25 on the sample remains in its initial position. However, the deflected beam 27 is fully blanked by the blocking element (cf. dashed line in FIG. 4b), which leads to a beam intensity of zero at the spot 25 of the sample surface 24. Grey level exposure can also be obtained when only a part of the beam diameter is blanked by the blocking element (not shown). FIG. 4c shows schematically a top view on the plane of an individual blanking element 29 such as a grid bar. As can be seen, the beam passes in the position 26 the edge of a grid bar 29 (or the rim of an aperture 28 indicated by the dotted line) and is therefore not blanked. When the beam is deflected to the position 27, however, it is blanked and no intensity reaches the sample surface. However, this modulation is achieved, without moving the spot 25 on the sample (see the dotted and dashed lines 26, 27 converging on the sample surface in FIG. 4b). The described lens and deflection conjugate blanking system is preferably pre-adjusted with respect to the amplitudes of the blanking signals to keep the spot within 0.1 of the resolution of the system, e.g. 0.3 nm at a 3 nm probe diameter, and to be calibrated for the grey level exposure beam currents to be used. The described fast multipole deflector and blanker allows specifically a beam induced deposition and etching employing very short dwell times of less than 1 μsec and ultimately as short as 0.1 nsec. This is of high importance for conducting nanostructurization and high throughput mask repair. In this context, it is noted that the multipole 22 is preferably integrated into a gas feeding assembly, which can be used to direct precursor or other reactive gases onto the sample surface 24 (as shown in FIG. 1). Such a design is advantageous, since it effectively uses the limited available space above the sample surface 24. Another embodiment for an improved beam blanking unit realized by combining an objective lens of any type with a post lens deflection multipole element and a shielding element as described above is explained in FIG. 5a, which shows schematically the beam blanking ray path. The primary beam 88 is focused by the lenses 81, 82, 83 to the sample, and deflected inside the multipole 86 to hit the shielding element 823, and to be stopped there. In this situation the beam is “blanked”. Due to the high speed of the deflection signal according to the present invention as described above, and due to the brightness of the electron gun in use, almost no electrons will hit the sample along the path of the beam from the focus spot to the blanking position on the grid bar 823. FIG. 5b shows a top view of the shielding element with the scanning area and beam positions for sample exposure and beam stopping. In this figure, the shielding element 823 is in the form of a grating or grid providing a scanning area 88c. Further shown is the beam cross section 88b exposing the sample, and a possible beam location for beam blanking at a position 88a located on a grid bar. The signals applied for blanking and subsequent scanning are finally schematically shown versus time in FIG. 5c. FIGS. 6-10 illustrate a method according to a preferred embodiment of the invention and the significant advantages achieved thereby. As will be described below, one important aspect of the disclosed method is that the pixel dwell time can have very short values of less than 100 ns. This requires a high bandwidth of the system generating the deflection signals for the electron beam but was surprisingly found to be the decisive parameter for improving the accuracy and the throughput of a high resolution processing of a sample surface. This applies in particular, if a chromium layer is etched using a mixture of XeF2 and H2O. In addition to the short pixel dwell times and an independent control of the dwell and the refresh times by the system, the ratio between the partial pressures of XeF2 and H2O is important for etching chromium, in particular for optimizing the throughput of the process. The partial pressure of the water vapour has to be high enough to get a high process speed and low enough to achieve a mostly planar etch process. Preferably, the vapour pressure for both gases is controlled by cooling suitable reservoirs, wherein the XeF2 is preferably kept at a temperature of 0° C. and the H2O is preferably kept at a temperature between −34° C. and −40° C. FIG. 6a presents a schematic scan pattern 100 as it can be used to process an area 101 of a surface of a sample, for example to etch an excess of a chromium layer on a lithography mask with XeF2 and H2O. In this simplified example, the area 101 to be etched is essentially a quadrangle. The scan pattern 100 follows a serpentine, as indicated by the line on the quadrangle 101. It can be seen that a certain line step size 102 in Y-direction is used to avoid side effects, which might occur, if the electron dose of the back and forth scan of the serpentine 100 overlaps. Preferred values of the line step size 102 depend on the scan speed, wherein a fast scan preferably uses a higher line step size such as 17 pixels, wherein each pixel is defined by the cross section of the electron probe or charged particle probe formed on the sample surface and has a size of 2 nm. At a lower scan speed, the line step size may have a value of only 3 pixels. In this embodiment, the overall area 101 consists of 2048×2048 pixels and the magnification of the SEM is 10000. Exemplary scan parameters are a beam voltage of 1 kV and a beam current of 30-50 pA using an aperture of 20 μm. In order to take effects caused by secondary electrons into account, the scan pattern 100 does preferably not cover the whole area 101 to be processed. This is illustrated by the limited size of the cross section of the electron or charged particle probe 103 shown in FIG. 6a, which, for example, does not fully move into the edges of the quadrangular area 101. In addition, an algorithm in the software unit or a similar device controlling the primary electron beam preferably assures that portions, which have already been sufficiently processed, are blanked during furthey scans. To this end, the secondary beam of electrons created by the primary beam can be “online” evaluated as a kind of feedback signal to decide, whether the current position of the primary beam is on a portion of the area 101, which has already been sufficiently processed, for example if the chromium layer is fully removed. As a result, unintended side-effects such as river-bedding are further reduced. FIGS. 6b and 6c illustrate the signals necessary to achieve the serpentine pattern 100 shown in FIG. 6a. As can be seen, the signal for the beam deflection in x-direction is basically triangular, whereas the line steps in y-direction are created using a stepwise increasing signal. FIGS. 7a and 7b illustrate the effect of a low bandwidth of the overall system generating the deflection signal. FIG. 7a presents the situation for a system with a high bandwidth. As can be seen, the beam path 300 can correctly follow not only a slow but also a fast triangular deflection signal (continuous and dashed lines in FIG. 8a, respectively). However, if a system with a low bandwidth is used, as shown in FIG. 7b, the resulting beam path 300′ will have a modified shape even for the slow deflection signal, namely a rounded curve at the single turning point 301 (cf. the topmost part of the dashed line in FIG. 7b). If a fast deflection signal is to be generated, the effect of the low bandwidth is even worse, since not only the shape but also the amplitude of the resulting beam path deflection is affected. Maximum deflections of 1 and 0 (arbitrary units) can no longer be achieved (cf. the continuous line in FIG. 7b). FIGS. 8a-10b illustrate in an exemplary manner the consequences of a low bandwidth deflection system, which does not allow the above indicated short pixel dwell times, on the accuracy of the etched area. An excess defect of a chromium layer or a similar material on a lithography mask (or any other substrate) is shown in FIG. 8a and highlighted by the dashed rectangle. FIG. 8b illustrates the shape of the excess area 101 as derived from FIG. 8a. If the excess area 101 is processed by a system with a low bandwidth, the dose distribution 200 will be as shown in FIG. 9a. A more detailed view is presented in FIG. 10a. As can be seen, the dose distribution 200 is far from being uniformly distributed and in particular smaller than the shape of the area 101. Further, there is an incorrect dose distribution 200 at the corners of the area 101 to be repaired. FIGS. 9b and 10b illustrate by contrast that a system with a high bandwidth will lead to a uniform dose distribution 201 and therefore to a uniform etching of the excess defect, wherein the edge sharpness is only limited by the effective beam diameter. Side effects such as river-bedding are to a large extent avoided. It is apparent that the advantages of scanning with a high bandwidth, i.e. a small minimum pixel dwell time of 100 ns or less, can not only be applied for the above described etching process but also, if a material layer is to be deposited under the influence of a beam of charged particles such as an electron beam. Whereas the invention has been described in the context of an apparatus having only a single beam of charged particles, it is also conceivable to use the present invention in multi-beam exposure systems (not shown), as they are increasingly under development for greater working speed (e.g. reduced wafer inspection time, or mask writing time) and direct write lithography for maskless lithography applications. In such an arrangement an insulating plate can be constructed to carry octopole deflection, focusing and stigmating elements in an array, wherein each of them is adjusted to a charged article beam system capable of structuring surfaces with beam induced reactions and also imaging and measuring the structures at the sample. |
|
047587261 | description | |
description | This application is a continuation-in-part of, and claims domestic priority benefits under 35 U.S.C. §120 to, U.S. patent application Ser. No. 10/193,992 to Eric R. WILLIS et al., filed Jul. 15, 2002 now U.S. Pat. No. 6,834,092 and entitled “Method of Repairing Leaking Elongate Hollow Members in Boiling Water Reactors”, the entire contents of which is incorporated by reference herein. 1. Field of the Invention This present invention relates, in general, to repairing or sealing leaking elongate hollow members such as control rod drive housings and in-core monitor housings in a reactor pressure vessel of a nuclear reactor such as a boiling water reactor. 2. Description of the Related Art Boiling water nuclear reactors typically may include a reactor core located within a reactor pressure vessel (RPV). A known RPV may include a substantially cylindrical shell. The shell, for example, can be about twenty feet in diameter and about seven inches thick. The cylindrical shell may be closed at its top end by a removable top head. The top head may be removable so that components, such a fuel bundles, located in the RPV can be accessed. The RPV cylindrical shell may be closed at its bottom end by a dome shaped bottom head assembly welded to the shell. A plurality of openings may be formed in the bottom head dome so that components, such as control rod drive assemblies, can extend within the RPV. Typically, a substantially cylindrical stub tube having a bore extending there through may be welded to the bottom head dome so that the tube bore aligns with an opening in the bottom head dome. The cylindrical stub tube may typically be fabricated from a corrosion resistant material such as stainless steel or Ni—Cr—Fe. As an example, for a control rod drive assembly, the control rod drive housing, e.g., a tube, may be inserted through the bottom head dome opening and stub tube bore, and the housing may extend into the RPV. The control rod drive (CRD) housing may be welded to the stub tube to maintain the housing in a desired position. The stub tube thus may serve as a transition piece between the bottom head dome, which typically may be fabricated from low alloy steel, and the CRD housing, which typically may be fabricated from stainless steel such as 304 stainless steel with a high carbon content. Inter-granular stress corrosion cracking (IGSCC) is a known phenomenon occurring adjacent to stub tube welds connecting the bottom head dome to the stub tube and connecting the stub tube to the CRD housing. Particularly, the stub tube welds may be subject to a variety of stresses associated with, for example, differences in thermal expansion, the operating pressure needed for the containment of the reactor cooling water, and other sources such as residual stresses from welding, cold working and other inhomogeneous metal treatments. Such stresses may, at times, cause cracks adjacent the stub tube welds. If stress corrosion cracks adjacent stub tube welds are not sealed, such cracks may cause potential leakage paths between the stub tube and the bottom head dome, and the stub tube and the CRD housing, respectively, which is undesirable. Accordingly, upon detection of any such cracks, it may be desirable to re-seal the control rod drive housing, for example, to the bottom head dome. Type 304 stainless steel stub tubes in some plants have become furnace sensitized as a result of vessel post weld heat treatment. This has left the stub tube in an inter-granular stress corrosion cracking (IGSCC) susceptible state, and has led to leaking cracks. Cracking has been observed in the heat affected zone of the stub tube at the CRD housing attachment weld of this susceptible material. This results in a reactor coolant leakage path to the under-vessel area. Restoration of the defective area is virtually impossible due to the location of the stub tubes and the existing material condition. One known method of repairing or re-sealing CRD housings within the bottom head dome includes completely replacing the stub tube and CRD housing. This method, however, is time consuming, tedious, and expensive. Particularly, the housing and associated stub tube are partially cut-off and removed from the bottom head dome, and the material remaining in the bottom head assembly is inspected to ensure that such material can be welded without damaging the bottom head. A weld build-up may then be formed over the remaining material and machined so that a new stub tube can be welded to the weld build-up. Several weeks can be required to perform the replacement process of just one penetration tube. Moreover, much of the replacement work must be performed within the RPV, which requires completely unloading the RPV and is undesirable. Another known method of repairing or re-sealing a CRD housing within the bottom head dome includes welding a sleeve to the CRD housing and the stub. This method, however, only addresses stress corrosion cracks adjacent the interface between the stub tube and the CRD housing. Moreover, installing the sleeve must be performed entirely within the RPV which, as explained above, is undesirable. Another known method of repairing or re-sealing a CRD housing within the bottom head dome includes rolling the CRD housing into the bottom head dome. While this method may be quicker than replacing the stub tube and CRD housing, rolling the CRD housing into the bottom head dome does not create as tight a seal as a weld between the CRD housing and the dome. Moreover, the rolled CRD housing may become separated from the bottom head dome after continued RPV operation, and must then be re-rolled. Re-rolling a CRD housing, however, often is neither desirable nor practical. Another known method of repairing or re-sealing a CRD housing within the bottom head dome includes removing and replacing a lower portion of the CRD housing within the bottom head dome. Particularly, a lower portion of the CRD housing is cut-off and removed so that an upper portion of the CRD housing remains inserted in an opening in the bottom head dome and welded to the stub tube. The bottom head dome is then cleaned, and the lower end of the remaining CRD housing upper portion is machined so that a replacement bottom portion of CRD housing can be welded to the remaining upper portion. The replacement bottom portion of CRD housing is similarly machined so that it can be welded to the remaining upper portion. The replacement bottom portion of CRD housing is then inserted into the bottom head dome opening and positioned beneath the remaining upper portion. The replacement bottom portion and the remaining upper portion of CRD housing are temper bead welded to each other and to the bottom head dome. Temper bead welding the remaining upper portion to the replacement lower portion and the bottom head dome may have the undesirable effect of causing high stresses because of thermal growth mismatch between the CRD housing and the bottom head dome, which are fabricated from different materials. Such temper bead welding also has the undesirable potential effect of trapping water within a leakage path and in contact with the weld between the remaining upper portion and the bottom head dome. An exemplary embodiment of the present invention is directed to a method for sealing a hollow, elongate member within a reactor pressure vessel of a nuclear reactor. In the method, a section of the elongate member may be removed to separate the elongate member into an upper portion and a lower portion with an opening there between. The lower portion may be attached to a surface of the reactor pressure vessel in-situ, so as to seal off a potential leakage path through the elongate member. Another exemplary embodiment of the present invention is directed to an in-situ repair method to seal a hollow, elongate hollow member within a reactor pressure vessel of a nuclear reactor. In the method, the elongate member may be cut at a given location to remove a section of the elongate member so as to form an upper portion and a lower portion with an opening between the upper and lower portions. A weld may be applied to attach the lower portion to the reactor pressure vessel so as to seal off potential leakage paths between the upper portion and lower portion. Another exemplary embodiment of the present invention is directed to a method for sealing an elongate hollow member in-situ within a reactor pressure vessel of a nuclear reactor. The reactor pressure vessel includes a bottom head dome, a stub tube, and the elongate hollow member. The bottom head dome may have at least one opening therein, the stub tube may have first and second ends with a bore there between, and the elongate member may extend through the stub tube bore and may be secured to the stub tube adjacent the stub tube first end with an upper stub tube attachment weld. A section of the elongate member may be cut out at a location below the upper stub tube weld to separate the elongate member into an upper portion and a lower portion. The lower portion may be attached to a different location at the bottom head dome opening than where the elongate member was cut with a weld. The weld may be formed on an interior surface of the bottom head dome opening at an upper end of the lower portion, with application of the weld forming a heat affected zone. A corrosion resistant material may be applied on the heat-affected zone. Another exemplary embodiment of the present invention is directed to a control rod drive housing within a reactor pressure vessel of a nuclear reactor. The control rod drive housing is inserted within a stub tube through a bottom head dome of the reactor pressure vessel, and at least part of the control rod drive housing may be fixedly secured to the bottom head dome via the stub tube. The control road drive housing may include an upper portion and a lower portion. The lower portion may be formed by cutting the control rod drive housing to remove a section of the control rod drive housing below the stub tube. The lower portion may be subjected to an in-situ repair to seal potential leakage paths in the control rod drive housing. Another exemplary embodiment of the present invention is directed to an in-core monitor housing within a reactor pressure vessel of a nuclear reactor. The in-core monitor housing is inserted within a stub tube weld buildup through a bottom head dome of the reactor pressure vessel, and at least part of the in-core monitor housing may be fixedly secured to the bottom head dome via the stub tube weld buildup. The in-core monitor housing may include an upper portion and a lower portion. The lower portion may be formed by cutting the in-core monitor housing to remove a section of the in-core monitor housing below the stub tube weld buildup. The lower portion may be subjected to an in-situ repair to seal potential leakage paths in the in-core monitor housing. FIG. 1 is a schematic illustration of a reactor pressure vessel (RPV) 10. RPV 10 includes a top head 12, four substantially cylindrical shell courses 14, 16, 18 and 20, and a bottom head assembly 22. Top head 12 includes a head flange 24. First shell course 14 includes a vessel flange (not shown). Top head 12 is bolted to first shell course 14 by bolts 26 which extend through head flange 24. Top head 12 also includes a head spray and vent nozzle 28 and lifting flanges 30 used when lifting top head 12 from first shell course 14. First shell course 14 includes main steam nozzles 32 through which steam flows out of the RPV 10. Stabilizer brackets 34 also are formed on first shell course 14. Second shell course 16 has a number of nozzles 36, 38 and 40 formed therein. Fourth shell course 20 includes a support skirt 42 welded thereto. Support skirt 42 is utilized to support RPV 10 within the reactor housing (not shown). Bottom head assembly 22 includes a bottom head dome 44 having a plurality of stub tubes 46 welded thereto. Stub tubes 46 are substantially cylindrical and each stub tube 46 has a bore (not shown in FIG. 1) extending there through. The bore of each stub tube 46 is aligned with an opening (not shown in FIG. 1) in bottom head dome 44. Components such as control rod drives, in-core instruments, pressure instrument nozzles, and drain nozzles extend through such bottom head dome openings and stub tube bores and penetrate into RPV 10. FIG. 1 is provided primarily for illustrative purposes to show a typical bottom head assembly 22. The present invention, as described below in the exemplary embodiments, can be used in many RPV configurations other than RPV 10. FIG. 2 is a partial cross-sectional view of a control rod drive housing, a stub tube, and a bottom head of a reactor pressure vessel to illustrate a potential leakage path to be sealed and/or repaired, in accordance with an exemplary embodiment of the present invention. Referring to FIG. 2, bottom head dome 44 may be fabricated from low alloy steel, may have a thickness TBH and a stainless steel cladding 48 and may include a substantially cylindrical opening 52 therein defined by a sidewall 54, for receiving a control rod drive (CRD) housing 50 and a stub tube 46. CRD housing 50 may be fabricated of compatible corrosion resistant materials such as Type 304 stainless steel of Ni—Cr—Fe, and stub tube 46 of Type 304 stainless steel that is furnace sensitized and overlaid, for example. Stub tube 46 may include a first end 56 and a second end 58, with a stub tube bore 60 extending between first and second ends 56 and 58. Stub tube 46 may be positioned concentric to bottom head dome opening 52 so that the stub tube bore 60 may be substantially aligned with bottom head dome opening 52, for example. Stub tube 46 may be secured to bottom head dome 44 with a lower stub tube attachment weld 62. An outer surface 64 of stub tube sidewall 66 proximate second end 58 may be welded to bottom head dome 44 with lower stub tube attachment weld 62. CRD housing 50 may include a first end (not shown), a second end (not shown), and a bore 68 extending between the first and second ends. Control rod drive housing 50 may have a substantially hollow cylindrical geometric shape including a sidewall 70 having an outer surface 72 and an inner surface 74 which defines bore 68. CRD housing 50 may be positioned so that it extends through bottom head dome opening 52 and stub tube bore 60. An upper portion 76 of CRD housing 50 may be secured to stub tube 46 with an upper stub tube attachment weld 78 adjacent stub tube first end 56 so that CRD housing 50 may be substantially concentrically and fixedly secured within stub tube 46. The positioning and welding of CRD housing 50 within stub tube 46 and bottom head dome 44 is well known. Stress corrosion cracks sometimes may occur adjacent upper stub tube attachment weld 78 or lower stub tube attachment weld 62. If such a crack occurs adjacent upper weld 78, an annulus leakage path 82 may be formed between an inner surface 84 of stub tube sidewall 66 and outer surface 72 of CRD housing 50, as shown in FIG. 2. Similarly, if such a crack occurs adjacent lower weld 62, an annulus leakage path 85 may be formed between outer surface 72 of CRD housing 50 and sidewall 54 of bottom head dome opening 52. FIG. 3 is a modification of the partial cross-sectional view of FIG. 2 to describe an intermediate step of removing a section of the CRD housing, in accordance with an exemplary embodiment of the present invention. To seal these leakage paths, the CRD housing 50 may require repair. The repair work can be performed from the under-vessel with a water tight (temporary) seal placed over the stub tube from inside the vessel, for example. The reactor vessel remains flooded to provide radiation shielding and reduce overall outage time. To seal annulus leakage paths 82 and 85 in accordance with an exemplary embodiment of the present invention, CRD housing 50 may be cut at a location 86 below upper stub tube attachment weld 78. For example, CRD housing 50 may be cut at location 86 at a desired point below stub tube second end 58 to remove a section of CRD housing 50, so as to separate upper portion 76 of CRD housing 50 from a lower portion 92 of CRD housing 50, forming an opening 80, as shown in FIG. 3. The lower portion 92 is not removed, but remains in place for an in-situ repair. The width (or height) of the sectional cut out may be based on providing sufficient clearance for a weld help of a welding apparatus to apply a weld to attach lower portion 92 to RPV 10, as will be described in further detail below. For example, the opening 80 may be of a size that is about 2–3 times the weld thickness of an eventual weld applied by the weld head, so as to provide sufficient room for the weld head. After cutting the lower portion 92, the bottom head dome opening 52 may be cleaned. For example, a grinder (not shown) may be extended into bottom head dome opening 52, through bore 68 into lower portion 92 within opening 80, and utilized to grind sidewall 54 of bottom head dome opening 52 between a lower end 88 of upper portion 76 and a bottom end 90 of bottom head dome 44 to form a weld passage (e.g., area over which a weld may be applied) between lower end 88 and bottom end 90. Alternatively, bottom head dome opening 52 may be cleaned with a flapper wheel or by honing. Methods for cleaning bottom head dome opening 52 are known and are not described herein for reasons of brevity. FIG. 4 is a modification of the partial cross-sectional view of FIG. 3 to describe an intermediate step of applying a temper bead weld to attach a lower portion of the CRD housing to a surface of the reactor pressure vessel, in accordance with an exemplary embodiment of the present invention. The existing lower portion 92 that remains in place for the repair may then be attached to a surface of the RPV 10, such as at the sidewall 54 of the bottom head dome opening 52, so that it may be substantially aligned with and adjacent to upper portion 76. Existing lower portion 92 may be a substantially hollow member having a substantially cylindrical geometric shape. Existing lower portion 92 includes an upper end 94, a lower end (not shown), and through bore 96 extending between the upper end 94 and the lower end. The upper end 94 of existing lower portion 92 may include a weld prep 98 for welding upper end 94 to sidewall 54 of bottom head dome opening 52. The upper end 94 of existing lower portion 92 may be cleaned in accordance with known methods so that upper end 94 has a substantially frusto-conical geometric shape. This may provide a clean oxide-free surface to form weld prep 98. For example, a grinder may be used to grind upper end 94. Alternatively, upper end 94 may be cleaned with a flapper wheel or by honing. Existing lower portion 92 may be attached, in-situ, to sidewall 54 bottom head dome opening 52 so that upper end 94 is proximate lower end 88 of remaining upper portion 76, and so that control rod housing bore 68 remains substantially aligned with through bore 96. As shown in FIG. 4, upper end 94 of existing lower portion 92 may be spaced from lower end 88 of remaining upper portion 76, with opening 80 there between. The pressure seal weld has thus been moved from the upper stub tube attachment weld 78 to the weld prep 98 at opening 80. In other words, after application of weld prep 98 and an eventual attachment weld 97 at opening 80, upper stub tube attachment weld 78 is no longer a pressure weld and the annulus leakage path 82 between the inner surface 84 of stub tube sidewall 66 and the outer surface 72 of CRD housing 50 (or alternatively annulus leakage path 85 between outer surface 72 and sidewall 54) are no longer leak paths since the pressure in these paths equals the pressure in bore 68. Thus, the lower portion 92 within bottom head dome opening 52 may be attached to sidewall 54 of bottom head dome opening 52 without also being secured to lower end 88 of remaining portion 76. For example, the existing lower portion 92 may be attached at a point or location that is different from the location where it was initially cut, e.g., lower than where lower portion 92 was cut initially at location 86 below the stub tube second end 58, to separate upper portion 76 of CRD housing 50 from lower portion 92. Upper end 94 of lower portion 92 may be bead welded to provide a weld 97 at upper end 94 (partially filling opening 80, as shown in FIG. 4), using known welding methods such as temper bead welding techniques, for example, at sidewall 54 of bottom head dome opening 52. The weld 97 may be provided at a location that is below potential differential leakage paths in the CRD 50. For example, this location may be at a point that is lower than annulus leakage path 82 between inner surface 84 of stub tube sidewall 66 and outer surface 72 of CRD 50; and/or below annulus leakage path 85 formed between outer surface 72 of CRD housing 50 and sidewall 54 of bottom head dome opening 52, as shown in FIG. 2. To form the weld 97, an automatic welding machine may be inserted in through bore 96 so that a welding head is substantially adjacent to weld prep 98. The automatic welding machine then may be used to apply a temper bead weld 97 to sidewall 54 of bottom head dome opening 52, as is known. A UT machine, for example, may then be inserted in through bore 96 to ascertain the quality of the bead weld. FIG. 5 is a modification of the partial cross-sectional view of FIG. 4 to describe a step of applying an overlay corrosion resistant material at a heat affected zone on the lower portion of the control rod drive housing, in accordance with an exemplary embodiment of the present invention. The welding of existing lower portion 92 in-situ to attach it to the bottom head dome 44 at bottom head dome opening 52 may introduce a new heat affected zone 99 in the high carbon stainless steel at weld 97, which ordinarily may be susceptible to IGSCC. As shown in FIG. 5, the heat affected zone 99 may be covered with a corrosion resistant material, which may be a cladding 89 that is applied over the heat affected zone 99 of weld 97. The application of corrosion resistant cladding 89 may thus reduce the probability of introducing a new potential failure mechanism, for example. To apply the cladding, the CRD housing 50 may be sealed at the top, near upper section 76 to provide a dry environment inside. The outside of the CRD housing 50 remains wet. In general, an apparatus or tool such as a weld head may be inserted from a bottom end (not shown) of the CRD housing 50. The weld head may be as much as 13 feet in length or longer. As described in further detail below, the weld head may include a gas-tungsten arc welding head near the top of the weld head. The gas-tungsten arc welding head may include a torch and a weld wire feeder, and rotates and moves up or down, in a slow manner in order to apply a thin cladding layer. The cladding 89 is corrosion resistant due to its fine ferrite structure. The cladding material typically may consist of a metal alloy, such as Alloy 82, Type 308L stainless steel or Type 316L stainless steel; however, the cladding applicable to the exemplary embodiments of the present invention is not limited to these alloys. Additionally, cladding 89 may be alloyed with a noble metal to provide additional mitigation to stress corrosion cracking. A welding apparatus and technique used to apply the cladding with alloyed noble metal is described in commonly assigned U.S. patent application Ser. No. 09/416,943 to OFFER et al., entitled APPARATUS AND METHOD FOR CORROSION RESISTANT CLADDING, the contents of which are hereby incorporated by reference in their entirety. Briefly described, the welding technique joins the cladding 89 to the heat affected zone 99, which is a region susceptible to stress corrosion cracking. The cladding 89 may be applied under conditions of low heat input to achieve reduced or no thermal sensitization at the edges of the newly clad region. The welding apparatus may apply a cladding that includes a filler material comprised of nickel-base alloys or iron-base stainless steels such as the aforementioned Inconel 82, Stainless 308L or Stainless 316L, for example, which may be alloyed with a low concentration of a noble metal element (e.g., palladium, platinum, rhodium, or combinations thereof) to act as a catalyst for improved recombination rates of oxygen with hydrogen, at reduced hydrogen addition levels. The concentration of noble metal in the filler material may be in the region of about 1% by weight or less, such as within a range of about 0.25 to 0.75% by weight after dilution by base metal. Recombination of the oxygen and hydrogen peroxide with hydrogen may reduce the effective electrochemical potential, in order to reduce the susceptibility to IGSCC. The welding apparatus may remotely apply the cladding 89 at a significant distance from the end of the apparatus, as noted above. The welding apparatus may have the ability to provide a substantially stable arc voltage (and corresponding arc length control) even though the torch is positioned far from weld head drive mechanisms. The welding apparatus may include a rotating wire feeder which produces a wire pool substantially far downstream of the distal end of the wire feeder. Weldability at substantially low, yet stable, wire feed rates (e.g., approximately 60–80 cm/min) may therefore be improved, enabling substantially thin cladding to be reliably deposited, with a cladding thickness in a range of about 0.3–0.6 mm, and more preferably between about 0.36 to 0.45 mm thick. The welding torch of the welding apparatus may use a sufficiently low heat input (in a range of about 0.6–1.0 kJ/cm, for example) so that a required through-wall temperature gradient for far-wall stress improvement may be obtained, even without liquid cooling on a far wall. The reduced heat input to apply the cladding 89 may be produced in part by a travel speed (torch speed) in excess of about 10 inches per minute, for example 15 to 40 inches per minute, more usually about 15–30 inches per minute, so that the time in the sensitizing temperature range during cooling of the applied cladding 89 is insufficient to allow carbides to precipitate on the grain boundaries. Sensitization control may be effected utilizing dual controls on welding parameters: (1) heat input (controlled as a function of the heat input per unit length of bead), and (2) heat affected zone cooling rate (controlled as a function of the welding linear speed in the forward direction). Undesirable cross-bead arc oscillation may be avoided, since it is counterproductive with respect to maintaining both the required low heat input and high travel speed. Thus, electric-arc based cladding processes may be applied to the heat affected zone 99 even with very low resistance to thermal sensitization, and without high risk of sensitization. In another exemplary embodiment, the method of permanently repairing or sealing an elongate hollow member such as CRD housing/stub tube may also be applied to in-core monitor housings (ICMH). An ICMH is smaller diameter vessel penetration than the CRD housing/stub tube located in a reactor pressure vessel bottom head region. There are typically between about 29–70 ICMH's, depending upon the size of the reactor pressure vessel. In an alternative embodiment, the method may be applied to ICMHs that do not contain a stub tube but include a stub tube weld buildup that approximates the functions and structure of a stub tube. The welding apparatus described above for applying cladding 89 over the heat affected zone 99 in the exemplary embodiments directed to the CRD housing 50 may also be used to apply a weld and cladding over desired surfaces of the ICMH's, as to be described further below. The weld may be a metal that may be alloyed with a noble metal to reduce susceptibility to IGSCC, for example. FIG. 6 is a partial cross-sectional view of an in-core monitor housing, a stub tube weld buildup, and a bottom head of a reactor pressure vessel to illustrate a potential leakage path to be sealed and/or repaired, in accordance with another exemplary embodiment of the present invention. ICMH 150 may be fabricated of compatible corrosion resistant materials such as Type 304 stainless steel of Ni—Cr—Fe, and may be secured through a bottom head dome opening 52 of bottom head dome 44 with a stub tube weld buildup 146 that is attached to vessel cladding 48 (or directly to the bottom head dome 44) at one end and to a J-weld 178 at another end. Stub tube weld buildup 146 may be of a corrosion resistant material such as Type 304 stainless steel that is furnace sensitized and overlaid, for example. ICMH 150 may include a first end (not shown), a second end (not shown), and a bore 168 extending between the first and second ends. ICMH 150 may have a substantially hollow cylindrical geometric shape including a sidewall 170 having an outer surface 172 and an inner surface 174 which defines bore 168. ICMH 150 may be positioned so that it extends through bottom head dome opening 52. An upper portion 176 of ICMH 150 may be secured to stub tube weld buildup 146 with a J-weld 178, so that ICMH 150 may be substantially concentrically and fixedly secured within stub tube weld buildup 146. As discussed above, stress corrosion cracks sometimes may occur adjacent J-weld 178. The process of applying J-weld 178 may create a heat affected zone (no shown) that is susceptible to IGSCC, and which could cause a crack above or below J-weld 178. If such a crack occurs adjacent J-weld 178, an annulus leakage path 182 may be formed between an inner surface 184 of stub tube buildup 146 and outer surface 172 of ICMH 150, as shown in FIG. 6. For reasons of brevity, only one leakage path 182 is shown, it being understood that a leakage path may be formed between outer surface 172 of ICMH 150 and sidewall 54 of bottom head dome opening 52. FIG. 7 is a modification of the partial cross-sectional view of FIG. 6 to describe an intermediate step of removing a section of the in-core monitor housing, in accordance with an exemplary embodiment of the present invention. To seal these leakage paths, the ICMH 150 may require repair similar to as described above with respect to CRD housing 50. The repair work can be performed from the under-vessel with a water tight (temporary) seal, and the reactor vessel remains flooded to provide radiation shielding and reduce overall outage time. To seal annulus leakage path 182 in accordance with another exemplary embodiment of the present invention, ICMH 150 may be cut at a location 186 below J-weld 178 and/or stub tube weld buildup 146, as shown in FIG. 7, and a section may be removed from ICMH 150 so as to separate upper portion 176 of ICMH 150 from a lower portion 192 of ICMH 150, forming an opening 180. For example, the ICMH 150 may be cut below the lowest elevation of weld buildup 146, so that the region encompassing opening 180 is adjacent the sidewall 54 of the bottom head dome opening 52, as shown in FIG. 7. The lower portion 192 is not removed, but remains in place for an in-situ repair. After cutting the lower portion 192, the bottom head dome opening 52 may be cleaned as described above and thus not repeated herein for reasons of brevity. FIG. 8 is a modification of the partial cross-sectional view of FIG. 7 to describe an intermediate step of applying a temper bead weld to attach a lower portion of the in-core monitor housing to a surface of the reactor pressure vessel, in accordance with an exemplary embodiment of the present invention. The existing lower portion 192 that remains in place for the repair may then be attached to a surface of the RPV 10, such as at the sidewall 54 of the bottom head dome opening 52, so that it may be substantially aligned with and adjacent to upper portion 176. Existing lower portion 192 may be a substantially hollow member having a substantially cylindrical geometric shape. Existing lower portion 192 includes an upper end 194, a lower end (not shown), and a through bore 196 extending between the upper end 194 and the lower end. The upper end 194 of existing lower portion 192 may include a weld prep 198 for welding upper end 194 to sidewall 54 of bottom head dome opening 52. The upper end 194 of existing lower portion 192 may be cleaned in accordance with known methods, such as described above, so that upper end 194 has a substantially frusto-conical geometric shape. This may provide a clean oxide-free surface to form weld prep 198. Existing lower portion 192 may be attached, in-situ, to sidewall 54 of bottom head dome opening 52 so that upper end 194 is proximate lower end 188 of remaining upper portion 176, and so that ICMH bore 168 remains substantially aligned with through bore 196. As shown in FIG. 8, upper end 194 of existing lower portion 192 may be spaced from lower end 188 of remaining upper portion 176, with opening 180 there between. After application of weld prep 198 and an eventual attachment weld 197 at opening 180, J-weld 178 is no longer a pressure weld and the annulus leakage path 182 between inner surface 184 of stub tube buildup 146 and the outer surface 172 of ICMH 150 (or alternatively annulus leakage path 185 between outer surface 172 and sidewall 54) are no longer leak paths since the pressure in these paths equals the pressure in bore 168. Thus, the lower portion 192 within bottom head dome opening 52 may be attached to sidewall 54 of bottom head dome opening 52 without also being secured to lower end 188 of remaining upper portion 176. For example, the existing lower portion 192 may be attached at a point or location that is different from the location where it was initially cut, e.g., lower than where lower portion 192 was cut at location 186 to separate upper portion 176 of ICMH 150 from lower portion 192. Upper end 194 of lower portion 192 may be bead welded to provide a weld 197, using known welding methods such as described above, at sidewall 54 of bottom head dome opening 52. The weld 197 may be provided at a location that is below potential differential leakage paths in the ICMH 150, such as at a point lower than annulus leakage path 182 between inner surface 184 of stub tube buildup 146 and outer surface 172 of ICMH 50; and/or below a leakage path that is formed between outer surface 172 and sidewall 54 of bottom head dome opening 52. The weld may be formed by techniques as described above, thus a detailed explanation is omitted here for reasons of brevity. FIG. 9 is a modification of the partial cross-sectional view of FIG. 8 to describe a step of applying an overlay corrosion resistant material at a heat affected zone on the lower portion of the in-core monitor housing, in accordance with an exemplary embodiment of the present invention. The welding of existing lower portion 192 in-situ to attach it to the bottom head dome 44 at bottom head dome opening 52 may introduce the aforementioned heat affected zone 199 in the high carbon stainless steel at weld 197, which ordinarily may be susceptible to IGSCC. As shown in FIG. 9, the heat affected zone 199 may be covered with a corrosion resistant material, which may be a cladding 189 that is applied over the heat affected zone 199 of weld 197. The application of corrosion resistant cladding 189 may thus reduce the probability of introducing a new potential failure mechanism, for example. The cladding may be applied as described above with respect to FIG. 5, thus a detailed explanation is omitted here for reasons of brevity. The cladding 189 is corrosion resistant due to its fine ferrite structure, and may consist of a metal alloy, such as Alloy 82, Type 308L stainless steel or Type 316L stainless steel; however, the cladding applicable to this exemplary embodiment is not limited to these alloys. Further as describe above, cladding 189 may be alloyed with a noble metal to provide additional mitigation to stress corrosion cracking, such as is described in the commonly assigned application '943 to OFFER et al. The methodologies described in FIGS. 6–9 may also be applicable to ICMHs which may have potential through wall cracks in what is known as a ‘low-profile stub tube weld buildup’ due to IGSCC, which may cause leakage paths between an inner surface of the low-profile stub tube weld buildup and an outer surface of the ICMH, down through the bottom head dome opening 52, similar to as shown in FIG. 6. A low-profile stub tube weld buildup has a substantially small weld height as compared to the weld buildup 178 shown in FIG. 6, for example. Accordingly, the above-described methods in accordance with the exemplary embodiments may facilitate permanent repairs of stress corrosion cracks adjacent the upper stub tube weld and the lower stub tube weld of a CRD housing, and/or adjacent a J-weld and stub tube weld buildup of an ICMH, more quickly and more easily than known methods. The repair may be performed in-situ, without having to remove a substantial portion of an elongate member such as CRD housing 50 or ICMH 150 and associated hydraulic lines. In addition, such repairs may be substantially completed in-situ from below the bottom head dome, and may significantly reduce stresses typically caused by thermal growth mismatches between the CRD and/or ICMH and the bottom head dome. The attachment weld does not affect the existing stub tube and the upper housing section, so there are no additional stresses induced from the process of attaching the existing lower portion 92/192 to the bottom head dome opening 52 at sidewall 54, which remains in place for the in-situ repair, to the CRD 50. The exemplary methodologies may permanently mitigate the potential damage due to leaking CRD stub tubes or ICMH stub tube weld buildups, regardless of origin, without adversely affecting the remaining CRD/ICMH. Additionally, since the existing lower portion remains in place for the in-situ repair, there are minimal alignment issues, and existing hydraulic lines are unaffected. Moreover, overall implementation time may be shorter than current permanent repair options, reducing critical path outage time and reducing the dose received by maintenance personnel during the repair. The exemplary embodiments of the present invention being thus described, it will be obvious that the same may be varied in many ways. For example, the cladding techniques may be applied to attachment weld in repairs where a lower portion member such as a replacement lower portion of a CRD housing or ICMH is inserted in place of a defective lower portion and welded to an upper portion of a CRD housing/ICMH and/or a sidewall of a bottom head dome opening in a reactor pressure vessel. Such variations are not to be regarded as a departure from the spirit and scope of the invention, and all such modifications as would be obvious to one skilled in the art are intended to be included within the scope of the following claims. |
|
claims | 1. A cooling system of an emergency cooling tank, comprising:an emergency cooling tank configured to store cooling water therein, the cooling water receiving heat, transferred from a nuclear reactor or a containment, when an accident occurs in the nuclear reactor;a heat exchanging device installed to be exposed to an outside of the emergency cooling tank to operate in air, and configured to externally emit heat by way of a heat exchange between a fluid within the emergency cooling tank and the air such that the operation of the emergency cooling tank is continued even without refilling the cooling water; andan opening and closing unit installed at the emergency cooling tank to be located higher than a water level of the cooling water for emitting the fluid, and configured to be open by a flow of the fluid generated by an evaporation of the cooling water, the flow being formed due to a pressure difference from external air at pressure higher than a preset pressure, such that some of the fluid is externally emitted when a heat load exceeding a cooling capacity of the emergency cooling tank is transferred, whereinthe heat exchanging device is designed to have a cooling capacity that is smaller than a heat load transferred to the emergency cooling at an early stage of the accident and greater than a heat load transferred to the emergency cooling tank at a later stage of the accident,the opening and closing unit is configured to be passively opened when a heat load exceeding the cooling capacity of the heat exchanging device is transferred to the emergency cooling tank at the early stage of the accident, andthe opening and closing unit is configured to be passively closed when a heat load transferred to the emergency cooling tank drops below the cooling capacity of the heat exchanging device at the later stage of the accident,the heat exchanging device is installed in an upper side of the emergency cooling tank and comprises:a duct installed on an uppermost wall of the emergency cooling tank and extending upwardly to provide an upward flow path to steam generated by an evaporation of the cooling water within the emergency cooling tank;a heat exchanging portion configured to perform the heat exchange with air to cool and condense the steam introduced through the duct, the heat exchanging portion being connected to the emergency cooling tank to collect condensed fluid which has lost heat and flows down due to a density difference, andan air circulating unit installed vertically above and on top of the emergency cooling tank such that the uppermost wall of the emergency cooling lank is shared with a lowermost wall of the air circulating unit, the air circulating unit allowing air introduced through a lower side portion thereof to flow up therealong so as to increase a heat exchange rate of the heat exchanging device by natural convection. 2. The cooling system of claim 1, wherein the heat exchanging portion has at least part formed in a straight pipe, a curved pipe, or in a helical shape. 3. The cooling system of claim 1,wherein the air circulating unit is installed in a manner of covering at least part of the heat exchanging device. 4. The cooling system of claim 1, wherein the opening and closing unit is implemented as a type of check valve or flap valve, which is passively open at pressure higher than a preset pressure formed by the fluid within the emergency cooling tank. 5. The cooling system of claim 1, wherein the opening and closing unit prevents the emission of steam when a heat load transferred to the emergency cooling tank is reduced below the cooling capacity of the emergency cooling tank, and is passively closed at pressure lower than a preset pressure to maintain a quantity of the cooling water of the emergency cooling tank. 6. A nuclear power plant, comprising:a passive containment cooling system configured to condense steam discharged from a nuclear reactor into a containment to prevent an increase in pressure of the containment when an accident occurs in the nuclear reactor; andan emergency cooling tank cooling system configured to receive sensible heat and residual heat of the nuclear reactor, transferred from the passive containment cooling system, and externally emit the received heat,wherein the emergency cooling tank cooling system comprises:an emergency cooling tank configured to store cooling water therein, the cooling water receiving heat, transferred from a nuclear reactor or a containment, when an accident occurs in the nuclear reactor;a heat exchanging device installed at an outside of the emergency cooling tank in an exposed manner to operate in the air, and configured to externally emit heat by way of a heat exchange between fluid within the emergency cooling tank and the air such that the operation of the emergency cooling tank is continued even without refilling the cooling water; andan opening and closing unit installed at the emergency cooling tank to be located higher than a water level of the cooling water for emitting the fluid, and configured to be open by a flow of the fluid generated by an evaporation of the cooling water, the flow being formed due to a pressure difference from external air at pressure higher than a preset pressure, such that some of the fluid is externally emitted when a heat load exceeding a cooling capacity of the emergency cooling tank is transferred, whereinthe heat exchanging device is designed to have a cooling capacity that is smaller than a heat load transferred to the emergency cooling at an early stage of the accident and greater than a heat load transferred to the emergency cooling tank at a later stage of the accident,the opening and closing unit is configured to be passively opened when a heat load exceeding the cooling capacity of the heat exchanging device is transferred to the emergency cooling tank at the early stage of the accident, andthe opening and closing unit is configured to be passively closed when a heat load transferred to the emergency cooling tank drops below the cooling capacity of the heat exchanging device at the later stage of the accident,the heat exchanging device is installed in an upper side of the emergency cooling tank and comprises:a duct installed on an uppermost wall of the emergency cooling tank and extending upwardly to provide an upward flow path to the fluid within the emergency cooling tank;a heat exchanging portion configured to perform the heat exchange with air to cool or condense the fluid introduced through the duct, the heat exchanging portion being connected to the emergency cooling tank to collect the fluid which has lost heat and flows down due to a density difference, andan air circulating unit installed vertically above and on top of the emergency cooling tank such that the uppermost wall of the emergency cooling tank is shared with a lowermost wall of the air circulating unit, the air circulating unit allowing air introduced through a lower side portion thereof to flow up therealong so as to increase a heat exchange rate of the heat exchanging device by natural convection. 7. A nuclear power plant, comprising:a passive residual heat removal system configured to remove sensible heat and residual heat of a nuclear reactor by circulating cooling water when an accident occurs in the nuclear reactor; andan emergency cooling tank cooling system configured to receive sensible heat and residual heat of the nuclear reactor, transferred from the passive residual heat removal system, and externally emit the received heat,wherein the emergency cooling tank cooling system comprises:an emergency cooling tank configured to store cooling water therein, the cooling water receiving heat, transferred from a nuclear reactor or a containment, when an accident occurs in the nuclear reactor;a heat exchanging device installed at an outside of the emergency cooling tank in an exposed manner to operate in the air, and configured to externally emit heat by way of a heat exchange between fluid within the emergency cooling tank and the air such that the operation of the emergency cooling tank is continued even without refilling the cooling water; andan opening and closing unit installed at the emergency cooling tank to be located higher than a water level of the cooling water for emitting the fluid, and configured to be open by a flow of the fluid generated by an evaporation of the cooling water, the flow being formed due to a pressure difference from external air at pressure higher than a preset pressure, such that some of the fluid is externally emitted when a heat load exceeding a cooling capacity of the emergency cooling tank is transferred, whereinthe heat exchanging device is designed to have a cooling capacity that is smaller than a heat load transferred to the emergency cooling at an early stage of the accident and greater than a heat load transferred to the emergency cooling tank at a later stage of the accident,the opening and closing unit is configured to be passively opened when a heat load exceeding the cooling capacity of the heat exchanging device is transferred to the emergency cooling tank at the early stage of the accident, andthe opening and closing unit is configured to be passively closed when a heat load transferred to the emergency cooling tank drops below the cooling capacity of the heat exchanging device at the latter stage of the accident,the heat exchanging device is installed in an upper side of the emergency cooling tank and comprises:a duct installed on an uppermost wall of the emergency cooling tank and extending upwardly to provide an upward flow path to the fluid within the emergency cooling tank;a heat exchanging portion configured to perform the heat exchange with air to cool or condense the fluid introduced through the duct, the heat exchanging portion being connected to the emergency cooling tank to collect the fluid which has lost heat and flows down due to a density difference, andan air circulating unit installed vertically above and on top of the emergency cooling tank such that the uppermost wall of the emergency cooling tank is shared with a lowermost wall of the air circulating unit, the air circulating unit allowing air introduced through a lower side portion thereof to flow up therealong so as to increase a heat exchange rate of the heat exchanging device by natural convection. |
|
description | FIG. 7 is a front view of a radiation source assembly in accordance with the preferred embodiment of the present invention. As shown in the drawing, the radiation source assembly 101 comprises a pigtail 107, with a source capsule 103 and a female connector 105 respectively connected to both ends of the pigtail 107. In the assembly 101 of this invention, the pigtail 107 has the same construction as that of the conventional pigtail 7 of FIGS. 4a and 4b. That is, the pigtail 107 is an elastic rod having a round thread profile and consisting of a wire core made by twisting a plurality of carbon steel wires. A primary coil is wound around the wire core, while a secondary coil 127 is wound around the primary coil. A large-diameter coil 129, having a predetermined regular pitch, is wound around the primary coil along with the secondary coil 127. The above pigtail 107 is made of carbon steel, and so the pigtail 107 is not undesirably wear-cut or loosened even though it is used a great number of times in the same manner as that described for the conventional pigtail 7. The pigtail 107 is also free from corrosion even when its is exposed to atmospheric air. On the other hand, the source capsule 103, connected to a first end of the pigtail 107, consists of a cap connector 109, an outside cap 111 and an inside capsule 113. The cap connector 109, connected to the pigtail 107, is a cylindrical member provided with a pigtail fitting hole 115 for receiving the first end of the pigtail 107. A connecting projection 131 is provided on an end of the cap connector 109 opposite to the pigtail fitting hole 115, with the outside cap 111 being fitted over the connecting projection 131 at its fitting opening. In the assembly 101 of this invention, the pigtail 107 has a round thread profile as described above, with the large-diameter coil 129 forming screw threads. In order to allow the cap connector 109 of the source capsule 103 to engage with the first end of the pigtail 107 through a thread engagement, the connector 109 has internal round threads 119 on the pigtail fitting hole 115, with the threads 119 having a profile corresponding to the large-diameter coil 129 of the pigtail 107. In the preferred embodiment of FIG. 8, four internal round threads 119 are formed on the inside wall of the pigtail fitting hole 115 of the cap connector 109, thus engaging with four screw threads of the large-diameter coil 129 of the pigtail 107. In the preferred embodiment, the number of internal round threads 119 formed on the pigtail fitting hole 115, or four, is determined as an example since the four threads 119 are the minimum number of threads which can accomplish a desired linearity of the pigtail 107 with the cap connector 109 compression-locked to the pigtail 107. Therefore, it should be understood that four or more internal round threads 119 may be formed on the inside wall of the cap connector 109 without affecting the functioning of this invention if the number of threads 119 is not restricted by a variety of nuclear equipment standards. In order to receive the inside capsule 113, the outside cap 111 has a cavity. The inside cap 111 also has an arcuate cross-section, with the tip of the cap 111 being rounded. The object of such a rounded tip of the cap 111 is to minimize a kinetic resistance generated at the tip when the radiation source assembly 101 passes through the guide tube of a nondestructive inspection apparatus. The inside cap 111 is fitted over the connecting projection 131 of the cap connector 109 at its fitting opening prior to being integrated with the connector 109 into a single structure through a TIG welding process. In such a case, the inside capsule 113 is made of SUS 316L, and has a side length of at least 0.5 cm in order to meet the requirement disclosed in the enforcement regulations of atomic energy law. As shown in FIG. 9, the inside capsule 113, set within the outside cap 111, consists of a cylindrical capsule body 117 receiving stacked disc targets 116. A capsule lid 126 is fitted into the open end of the capsule body 117 prior to being welded to the body 117, thus sealing the capsule body 117. A coil spring 123 is set within a spring seat hole 121 of the capsule lid 126 and normally biases the disc targets 116 in a direction when the capsule lid 126 is integrated with the capsule body 117 through a welding process. The above capsule body 117 is a hollow cylindrical body, which receives the disc targets 116 therein and is open at one end thereof so as to engage with the capsule lid 126 at the open end. The capsule body 117 has an outer diameter, which allows the body 117 to be closely fitted into the outside cap 111, and has an inner diameter which is slightly larger than the diameter of the disc targets 116 so as to allow the targets 116 to be movable within the capsule body 117. The capsule lid 126, closing the capsule body 117, is a cylindrical member having an outer diameter slightly smaller than the inner diameter of the capsule body 117. The capsule lid 126 also has a flange 125 at its outside end, with the spring seat hole 121 for the target biasing spring 123 being concentrically formed at the inside end of the lid 126. Prior to a welding process of integrating the capsule lid 126 with the capsule body 117, the capsule lid 126 is fully fitted into the open end of the capsule body 117 with the flange 125 coming into close contact with the edge of the open end of the capsule body 117. When the capsule lid 126 is welded to the capsule body 117, a dedicated welding jig is used. In order to weld the capsule lid 126 to the capsule body 117, a plurality of stacked disc targets 116 are set within the capsule body 117 prior to firmly holding the capsule body 117 to the welding jig. After the capsule body 117 is held on the welding jig, the capsule lid 126, with the target biasing spring 123, is fully fitted into the open end of the body 117 prior to integrating the capsule lid 126 with the capsule body 117 into a single structure at the junction between the flange 125 of the lid 126 and the edge of the open end of the capsule body 117 through a plasma welding process or a TIG welding process. Therefore, it is possible to stably set the disc targets 116 in the form of point sources within the capsule body 117 while elastically holding the targets 116 by the spring 123 and preventing an undesirable movement of the targets 116 within the capsule body 117. When the cap connector 109 of the source capsule 103 is connected to the first end of the pigtail 107 so as to make a desired radiation source assembly 101 of this invention, the first end of the pigtail 107 engages with the cap connector 109 through a thread engagement. In such a case, the internal round threads 119 formed on the inside wall of the pigtail fitting hole 115 of the cap connector 109 act as a guide passage for the large-diameter coil 129 of the pigtail 107. After the cap connector 109 engages with the first end of the pigtail 107 through a thread engagement, the cap connector 109 is compressed at its external surface by the connector press of this invention, thus being compression-locked to the first end of the pigtail 107. In the same manner as that described for the cap connector 109, the second end of the pigtail 107 primarily engages with the female connector 105 through a thread engagement in order to connect the female connector 105 to the second end of the pigtail 107. In such a case, the internal round threads 120 formed on the inside wall of the pigtail fitting hole 114 of the female connector 105 act as a guide passage for the large-diameter coil 129 of the pigtail 107. After the female connector 105 engages with the second end of the pigtail 107, the female connector 105 is compressed at its external surface by the connector press of this invention, thus being compression-locked to the second end of the pigtail 107. In the radiation source assembly 101 of this invention, the disc targets 116 are stably set within the capsule body 117 while being elastically held by the spring 123 of the capsule lid 126 and being prevented from an undesirable movement within the capsule body 117. The disc targets 116 thus maintain desired states of point sources regardless of the number of targets 116 during a nondestructive inspecting operation, and so it is possible for the targets 116 to provide a high quality nondestructive inspection image with a precise focusing on an object. In addition, a device for biasing the capsule lid 126 is provided on the dedicated welding jig for allowing the capsule lid 126 to be welded to the capsule body 117 while maintaining the disc targets 116 in the states of point sources. It is thus possible to improve the weldability of the inside capsule 113. FIGS. 10 to 12 show the construction of a connector press 201 used for producing the radiation source assemblies 101 of this invention. As shown in the drawings, the connector press 201 accomplishes a desired compression locking of the source capsule 103, enclosing the radiation source disc targets 116, to the elastic pigtail 107 by simultaneously compressing the capsule 103 at regularly and angularly spaced points through a multi-point compressing process, thus producing a desired radiation source assembly 101. In the preferred embodiment shown in the drawings, the connector press 201 is a triple-point press as an example. The connector press 201 comprises a base 210, with a plurality of compression punches 209, a drive cylinder actuator 221, a push rod 219 and a plurality of pressure rods 213 being installed on the base 210. In the connector press 201, the compression punches 209 compress the source capsule 103 against the pigtail 107 of the assembly 101. The drive cylinder actuator 221 generates a drive force which is supplied to the compression punches 209. The one push rod 219 and the several pressure rods 213 transmit the drive force of the actuator 221 to the compression punches 209 while converting the horizontal force of the actuator 221 into a vertical force for the punches 209. The compression punches 209 are designed to compress the overlapped portion of the source capsule 103 fitted over the pigtail 107 at regularly and angularly spaced external points. In the embodiment of FIG. 11, three compression punches 209 are radially held on a punch holding disc 225 at regularly and angularly spaced positions, thus forming a triple-point compression punch unit. That is, the three compression punches 209 are regularly and radially positioned on the holding disc 225 while being spaced out at angular intervals of 120xc2x0. The punch holding disc 225 is fixed to a support 211 using a plurality of set bolts 241, with the support 211 being mounted on the base 210 of the press 201. The three compression punches 209 are movably received within three radial guide channels of a guide member 223 in a way such that the punches 209 are radially reciprocable on the holding disc 225 under the guide of the guide channels. The above guide member 223 is mounted to the holding disc 225 using a plurality of set bolts 243 with the guide channels radially positioned on the disc 225. A compression tip 227, with a compression blade 228, is provided on the inside end of each compression punch 209. In such a case, the compression tip 227 is removably attached to the inside end of each punch 209, and so it is possible to selectively attach a compression tip 227, having a radius of curvature equal to the desired compressed radius of a source capsule 103, to the inside end of each punch 209. A transverse member 234 is fixed to each of the guide channels of the guide member 223 while passing across each guide channel at an upper position while being free from interfering with a radial movement of an associated compression punch 209. Each of the transverse members 234 is connected to an associated compression punch 209 by an extension coil spring 229, or a return spring, and so the punches 209 are automatically returned to their outside positions within the guide channels of the guide member 223 due to the restoring force of the return springs 229 when the external force is removed from the punches 209. As shown in FIG. 12, the support 211, holding the punch holding disc 225, is a flat plate chamfered at its corners. A plurality of bolt holes 245 for the set bolts 241 are formed on the support 211 at regularly and angularly spaced positions on one circle. In order to rotatably hold the three pressure rods 213 for the three compression punches 209, the support 211 has three notches 247 on its outside edge at regularly spaced positions of an angular interval of 120xc2x0. A through hole 249 is perpendicularly formed on each of the notches 247, thus receiving a holding pin 251 rotatably holding an associated pressure rod 213 on the disc 225. A central hole 224 is formed at the center of the support 211 and receives a scale rod 226 which supports the outside end of the source capsule 103 of a radiation source assembly 101, the assembly 101 being held by the inside ends of the three compression punches 209. In order to hold the scale rod 226 at a desired position within the support 211, an adjusting screw 232, used for adjusting a compressing target position, is radially inserted from one chamfered top corner into the center of the support 211. A knob 236 is mounted to the outside end of the adjusting screw 232, while the body of the rod 232 is externally threaded. Therefore, the radial position of the adjusting screw 232 relative to the support 211 is adjustable by rotating the knob 236 at the outside of the support 211, thus fixing or releasing the scale rod 226 within the support 211 as desired. As shown in FIG. 10, a graduation is formed on the external surface of the scale rod 226, thus allowing a person to see the inserted length of the assembly 101 at the outside of the press 201. As shown in FIG. 10, the three pressure rods 213, inwardly pushing the compression punches 209 in a radial direction at the outside ends of the punches 209, are rotatably mounted to the notches 247 of the support 211 at their hinge points 217. The hinge point 217 of each pressure rod 213 is positioned at about ⅓ of the total length from the front end, or the punch pushing end of the pressure rod 213. The rear end of each pressure rod 213 is provided with a roller 231. The above roller 231 is set within a roller seat slit 255 formed on the rear end of the pressure rod 213 and is rotatably held within the slit 255 by a pin 253 as shown in FIGS. 10 and 14. A push block 235, coming into contact with the rollers 231 of the pressure rods 213, is a truncated conical member, with an inclined surface 233 at which the rollers 231 commonly come into movable contact with the block 235. The above push block 235 is axially moved by the drive force of the actuator 221, thus rotating the pressure rods 213 around the holding pins 251 mounted at the hinge points 217 of the pressure rods 213. The pressure rods 213 are thus opened or closed at their punch pushing ends. The push block 235 is connected to the actuator 221 through the push rod 219. The reciprocable push rod 219 is mounted to the cylinder actuator 221 and axially reciprocates by the drive force of the actuator 221, thus allowing the rollers 231 of the pressure rods 213 to be moved along the inclined surface 233 of the push block 235. The cylinder actuator 221 is horizontally installed on the base 210 by a support frame 257. The operational effect of the above connector press 201 while producing a radiation source assembly 101 will be described hereinbelow. Prior to a compression-locking process performed by the press 201, a source capsule 103, with a plurality of radiation source disc targets 116, engages with the first end of a pigtail 107. In such a case, the first end of the pigtail 107 may engage with the capsule 103 through a forcible fitting process or through a thread engagement in accordance with the kind of a desired assembly 101. After the source capsule 103 primarily engages with the first end of the pigtail 107, the assembly 101 is carefully positioned within the connector press 201 in a way such that the overlapped portion of the capsule 103 engaging with the pigtail 107 is precisely positioned within the center of the radially arranged compression punches 209 as shown in FIG. 10. The position of the assembly 101 relative to the three compression punches 209 is best seen in FIG. 13. When the position of the assembly 101 relative to the punches 209 is set, the adjusting screw 232 is loosened prior to carefully moving the scale rod 226 to the left or right until the position of the scale rod 226 is completely adjusted to accomplish a desired depth corresponding to the determined compressing target position. Thereafter, the adjusting screw 232 is tightened, thus fixing the adjusted position of the scale rod 226. When the adjusted position of the scale rod 226 is fixed as described above, it is possible to precisely set the compressing target position of the source capsule 103 which is to be compressed by the compression blades 228 of the tips 227 of the three punches 209. When the radiation source assembly 101 is completely set within the press 201, the cylinder actuator 221 is turned on, thus axially moving the push rod 219 along with the push block 235 toward the support 211. Due to such a movement of the push block 235 toward the support 211, the rollers 231 of the pressure rods 213 simultaneously roll up along the inclined surface 233 of the truncated conical push block 235. When the rollers 231 of the pressure rods 213 roll upwardly along the inclined surface 233 of the push block 235, the three pressure rods 213 are rotated clockwise around the hinge points 217 in FIG. 10. Therefore, each of the three pressure rods 213 inwardly biases an associated one of the three compression punches 209 in a radial direction of the holding disc 225 with a force stronger than that applied to the roller 231 three times due to a leverage effect. In such a case, the three compression punches 209 move radially and inwardly at the same time under the guide of the guide channels of the guide member 223 shown in FIG. 11, thus synchronously compressing the target portion of the source capsule 103 by their compression blades 228 at three points. After the compression locking process performed by the three punches 209, the push rod 219 moves toward the cylinder actuator 221, thus returning to its original position. In such a case, the push block 235,also returns to its original position while allowing the rollers 231 of the pressure rods 213 to roll down along the inclined surface 233 of the push block 235. Therefore, the pressure rods 213 are rotated counterclockwise around the hinge points 217 in FIG. 10, thus removing the biasing force from the three compression punches 209. Therefore, the compression punches 209 automatically move outwardly in the radial direction by the restoring force of the return springs 229, thereby allowing the assembly 101 to be removed from the press 201. As described above, the present invention provides a radiation source assembly. In the assembly, the cap connector of a radiation source capsule and the female connector engaging with the male connector of a manipulation handle are each provided with internal round threads on its pigtail fitting hole. Each of the two connectors thus engages with the large-diameter coil of the pigtail at the internal round threads through a thread engagement prior to being compressed at a target portion by a plurality of compression punches of a connector press. Therefore, the two connectors, which are threaded with and compression-locked to both ends of the pigtail, are almost completely prevented from an unexpected removal from the pigtail different from a conventional assembly wherein the two connectors engage with the pigtail through a forcible fitting engagement prior to being compression-locked to the pigtail. In addition, the radiation source assembly of this invention allows a person to know whether both ends of the pigtail fully reach desired points within the two connectors, thus securing a precise compressing target portion. In the assembly of this invention, the inserted lengths of the pigtail relative to the two connectors are maximized, thus accomplishing a desired linearity of the assembly. Therefore, it is thus possible for a user to precisely, appropriately and safely use the radiation source assembly of this invention during a nondestructive inspecting operation. In addition, the assembly of this invention effectively minimizes the frictional resistance generated at the source capsule when the capsule repeatedly moves within a guide tube in opposite directions. The assembly is thus almost completely free from an operational error or being abrasion-damaged, or an unexpected radioactive contamination. The present invention also secures a uniform length of the radiation source assemblies, thus allowing the assemblies to be precisely and firmly installed at desired positions within nondestructive inspecting apparatuses or within dedicated carriers. This finally and effectively reduces a radiation leakage from the assembly. In the radiation source assembly of this invention, a target biasing spring is provided on the capsule lid for allowing the disc targets within the inside capsule of the source capsule to effectively maintain a desired condition as point sources regardless of the number of targets, with the capsule lid being fitted into and welded to a capsule body of the inside capsule. Therefore, the assembly of this invention provides a high quality nondestructive inspection image with a precise focusing on an object. In addition, a capsule lid biasing device is provided on a dedicated welding jig of this invention for allowing the capsule lid to be welded to the capsule body of the inside capsule while being biased by the device. Therefore, it is possible to prevent inert gas from being undesirably introduced into the inside capsule through the junction between the capsule body and the capsule lid during a TIG welding process performed in an inert gas atmosphere. This finally accomplishes a welding process for the inside capsule of the source capsule while maintaining the disc targets in the states of point sources and improves the weldability of the source capsule. The present invention also provides a connector press used in producing the radiation source assemblies. The connector press of this invention accomplishes a desired compression locking of the source capsule to the elastic pigtail by simultaneously compressing the capsule at regularly and angularly spaced points through a multi-point compressing process. The connector press of this invention thus applies a uniform compressing force to the compressing target portion of the capsule engaging with the pigtail, and so the press accomplishes a desired linearity of the capsule and the pigtail. Therefore, when the assembly of this invention is used in a nondestructive inspecting operation with the source capsule repeatedly moving within a radiation shield guide tube in opposite directions, the assembly is free from being exceedingly bent at the compression-locked portion. This finally prevents the assembly from causing an operational error or being abrasion-damaged due to a frictional resistance generated at such a bent portion, thus accomplishing a desired operational safety of the assembly. Although the preferred embodiments of the present invention have been disclosed for illustrative purposes, those skilled in the art will appreciate that various modifications, additions and substitutions are possible, without departing from the scope and spirit of the invention as disclosed in the accompanying claims. |
|
description | This invention relates to a method for adjusting the beam conditions of the charged particle beam and a charged particle beam apparatus, or in particular to a charged particle beam adjustment method for adjusting the angle and distortion and calibrating the angle of a tilted beam and a charged particle beam apparatus. In the charged particle beam apparatus of which a typical example is the scanning electron microscope (SEM), a thinly focused charged particle beam is scanned on a sample to obtain the desired information such as a sample image from the sample. The resolution of this charged particle beam apparatus has been improved every year. At the same time, it has recently come to be considered necessary to obtain a tilted image of the sample by tilting the charged particle beam with respect to the sample. To obtain a tilted image of a sample, it is common practice to tilt a sample stage. For preventing the shift of the visual field at high magnification or obtaining a tilted image of a sample at high speed, however, it is more reasonable to tilt the charged particle beam with respect to the sample rather than to tilt the sample stage mechanically. JP-A-55-48610 (U.M.) and JP-A-2-33843, for example, disclose a technique for radiating a tilted beam, in which the charged particle beam is incident out of axis of an objective lens and the beam is tilted utilizing the focusing action or the restoration action of the objective lens. The conventional techniques described above, however, refer to nothing about a method of angle adjustment of a tilted beam, a method of automating the adjustment, a method of correcting the image distortion at the time of tilting the beam or a method of automatic adjustment thereof. In order to tilt the beam accurately, it is necessary to correct the tilt angle and the image distortion at the time of tilting the beam for each direction. Also, the adjustment is required to be easy and efficient. The object of this invention is to provide a beam condition adjustment method suitable for adjusting the conditions for a beam different from those for a vertical beam, and an apparatus using the method. In order to achieve the object described above, according to an embodiment of the invention, there is provided a charged particle beam apparatus for tilting the beam using a tilt deflector, wherein the beam tilt angle adjustment and the distortion adjustment for correcting the image distortion at the time of tilting an electron beam are carried out using a specific sample such as a pyramidal sample, and wherein the tilt angle value and the distortion amount are determined by processing the images acquired before and after the tilt, and the the tilt angle adjustment and the adjustment for correcting the image distortion are automated in accordance with a predetermined processing flow. According to this invention, there is provided a beam condition adjustment method suitable for adjusting the beam conditions at the time of tilting a beam and an apparatus using the method. Other objects, features and advantages of the invention will become apparent from the following description of the embodiments of the invention taken in conjunction with the accompanying drawings. FIG. 1 is a diagram showing a configuration of a scanning electron microscope according to an embodiment of the invention. Between a negative electrode 1 and a first positive electrode 2, a voltage is applied by a high-voltage control power supply 20 controlled by a control operation unit 40 thereby to extract a predetermined emission current from the negative electrode 1. Between the negative electrode 1 and a second positive electrode 3, on the other hand, an acceleration voltage is applied by the high-voltage control power supply 20 controlled by the control operation unit 40, and therefore the primary electron beam 4 released from the negative electrode 1 is accelerated and proceeds to a subsequent lens system. The primary electron beam 4 is focused by a focusing lens 5 controlled by a lens control power supply 21, and after the unrequited portion of the primary electron beam 4 is removed by an aperture plate 8, focused as a micro spot on a sample 10 by a focusing lens 6 controlled by a lens power supply 22 and an objective lens 7 controlled by an objective lens control power supply 23. The primary electron beam 4 is two-dimensionally scanned on the sample 10 by a scanning coil 9 controlled by a scanning coil control power supply 24. A secondary signal 12 including the secondary electrons generated from the sample 10 by the radiation of the primary electron beam, after proceeding to a point above the objective lens 7, is separated into the primary and secondary electron beams by a crossed field generator 11 for separation of the secondary signal and detected by a secondary signal detector 13 separate from the primary electron beam. The signal detected by the secondary signal detector 13, after being amplified by a signal amplifier 14, transferred to an image memory 25 and displayed as a sample image on an image display unit 26. A beam tilt deflector 54 is arranged above the objective lens 7, and the position of the primary electron beam 4 incident to the objective lens can be two-dimensionally controlled by the tilt control power supply 34 in such a manner that the object point of the objective lens constitutes a deflection supporting point. The beam tilt deflector 54 can be any of the electromagnetic deflector and the electrostatic deflector which can tilt the beam. An astigmatism correcting coil 53 is arranged between the focusing lens 5 and the objective lens 7 and controlled by an astigmatism correction power supply 33 in accordance with the beam tilt conditions. A two-stage deflection coil 52 is arranged between the focusing lens 6 and the aperture plate 8. The position of the primary electron beam 4 incident to the focusing lens 6 can be controlled two-dimensionally by an aberration control power supply 32 in such a manner that the object point of the objective lens 6 makes up a deflection supporting point. As an alternative, the aperture plate 8 is an electrically-operated movable aperture, and by setting the aperture position arbitrarily, the position of the primary electron beam 4 entering the focusing lens 6 through the aperture can be controlled two-dimensionally. An image shift deflector 51 controlled by an image shift control power supply 31 is arranged at the same position as the scanning coil 9, and in addition to the primary electron beam position control signal to set the object point of the objective lens as a deflection supporting point, can apply a control signal capable of two-dimensionally controlling the sample radiation position of the primary electron beam, so that the shift of the radiation point of the primary electron beam 4 can be corrected in accordance with the beam tilt conditions. The beam can be tilted not only by the beam tilt deflector 54, but by any other device such as an image shift deflector 51 capable of two-dimensionally scanning the position of the primary electron beam 4 incident to the objective lens 7. The sample stage 15 can move the sample 10 at least in two directions X and Y in the plane perpendicular to the primary electron beam. The image recovery conditions such as the scanning rate and the acceleration voltage and he beam tilt conditions such as as the direction and angle of tilt of the primary electron beam can be designated from the input unit 42. The image output and storage in a storage unit 41 can also be designated from an input unit 42. In the case where the primary electron beam is radiated in tilted form, many items including the tilt angle, the image distortion generated at the time of tilting, defocusing, astigmatism aberration and the visual field deviation are required to be corrected. Especially, the tilt angle accuracy and the image distortion constitute factors adversely affecting the performance extremely in acquiring the desired tilted image. According to the embodiment of the invention described below, the adjustment for the tilt angle correction and the distortion correction at the time of tilting the beam is efficiently conducted thereby to improve the correction accuracy. FIG. 2 is a flowchart for the tilt angle adjustment to tilt the electron beam at an angle set by the operator using a pyramidal or the like specified sample. The pyramid may be either concave or convex as predetermined. Using the pyramidal sample, an image before tilt, i.e. a topdown image and an image after tilt, i.e. a tilted image are acquired, and the actual tilt angle of the electron beam can be estimated by image processing. A method of acquiring an equation representing the relation between the current amount or the voltage amount and the angle set in the beam tilt deflector 54 using a pyramidal sample is explained in detail below. Nevertheless, this embodiment is not limited to the pyramidal sample but a sample in any shape of which the tilt angle can be estimated by image processing can be employed. First, the focal point and the astigmatism are corrected for a topdown image with the beam not tilted (steps S001 to S002), after which the topdown image is acquired and stored in the storage unit 41 (step S003). Next, an appropriate amount is set in the tilt control power supply 34, and the beam is tilted by the beam tilt deflector 54 (steps S004 to S005). After correcting the focal point and the astigmatism at the time of tilting the beam (step S006), a tilted image is acquired and stored in the storage unit 41 (step S007). The edges of the ridge portions of the pyramid are detected by image processing of the two stored images, and the tilt angle is estimated by comparing the geometric shapes formed of the detected edges (step S008). This operation (steps S004 to S008) is repeated a required number of times for each direction (step S009), after which an equation representing the approximate relation between the acquired set amount of the beam tilt deflector 54 and the angle is obtained and defined as a beam tilt angle control formula (step S010). Also in the case where the direction of tilt is changed, an equation representing the relation between the beam deflection amount and the angle for a predetermined direction can be obtained. By conducting the adjustments described above, the beam tilt deflector 54 can be set to a setting amount corresponding to a predetermined setting angle. According to this embodiment, the devices used for acquiring the relation between the deflection amount and the tilt angle are not limited to the electron beam tilt deflector, but any devices having the function to control the beam tilt can be employed. In the scanning electron microscope with the aperture plate 8 to realize the beam tilt by moving aperture by controlling the track of the primary electron beam 4 in accordance with the aperture position, for example, an approximate equation indicating the relation between the amount of aperture movement and the angle is obtained as an angle control formula. According to this embodiment, the process described above makes it possible to acquire the tilt angle control formula automatically. In the process, the focal point and the astigmatism can be corrected (steps S002, S006) automatically by presetting a value acquired or estimated in advance or by use of the automatic focal point correcting function and the automatic astigmatism correcting function. In the process, the rough adjustment preset as a condition preceding to the automatic focal point correcting function and the automatic astigmatism correcting function can be carried out by setting the correction amount acquired in advance in topdown state or by setting the correction amount calculated for the set angle to acquire the correction amount with respect to the angle. The automatic focal point correcting function and the automatic astigmatism correcting function adjust the current amount supplied to the objective lens 7 and the astigmatism correcting coil 53 for adjusting the focal point while at the same time determining the optimum correction amount by image processing. By carrying out the rough correction at the time of tilting the beam, therefore, the variations of the current for the automatic focal point correcting function and the automatic astigmatism correcting function can be suppressed, thereby making possible quick and highly accurate correction. In the case where the area on the sample scanned by the electron beam changes depending on the beam tilt and an image is shifted, the visual field can be corrected automatically by moving the sample stage 15 by the amount of the visual field shift acquired in advance or by the estimated amount of visual field shift or by controlling the beam radiation position by the image shift deflector. The visual field can be corrected in such a manner that after acquiring the topdown image and the tilted image, the image processing is carried out for pattern matching, and the amount of visual field shift is calculated from the position change on the image. Using this value as the distance covered by the image, the correction is carried out by moving the stage or by the image shift deflector. FIG. 3 is a flowchart for explaining the adjustment process for correcting the image distortion caused during the beam tilting using a pyramidal sample or the like. First, the focal point and the astigmatism are corrected for an image not tilted, i.e. a topdown image, after which the topdown image is acquired and stored in the storage unit 41 (steps S011 to S013). Next, the direction and angle of tilt for correcting the distortion are selected (step S014). After tilting the electron beam in the direction and at the angle thus selected (step S015), the focal point and the astigmatism are corrected (step S016), and an image after tilt, i.e. a tilted image is acquired and stored in the storage unit 41 (step S017). In the process, the visual field may be shifted depending on the beam tilt. By moving the sample stage 15 or by deflecting the electron beam by the image shift deflector 51 and moving the electron beam radiation area, however, the visual field is corrected. Next, the amount of distortion is calculated by image processing using two images in store (step S018). As shown in FIG. 4A, in this case, the secondary electron image obtained by radiating the electron beam 402 perpendicular to the concave pyramidal sample 401 and scanning the electron beam on the sample is a topdown image 403 (FIG. 4B). In the case where the electron beam 404 tilted as shown in FIG. 4G can be scanned without distorting the scanning area, on the other hand, a tilted image 405 (FIG. 4D) can be obtained. In the case where the electron beam is tilted, however, as shown in FIG. 4E, the electron beam scanning area is distorted by the rotation of the distortion aberration. As a result, the image of a square sample is distorted into a parallelogram having the angles 407, 408 to the horizontal and vertical directions on the screen. Also, as indicated by the scanning width 409, 410 in FIG. 4F, the width of area on the sample scanned by the electron beam is changed and therefore the image may be distorted into a rectangle as shown in FIG. 4F. The pyramidal sample is symmetric in both horizontally and vertically. Thus, the rotation value and the aspect ratio to determine the distortion amount can be calculated by acquiring the topdown image and the tilted image, detecting the edges of the ridges of the pyramid by image processing and comparing two images with each other. In this way, the distortion amount can be easily measured. Next, the process of steps S014 to S018 is repeated as many times as required for each tilt direction (step S019), after which the correction factor is obtained for the distortion correction amount for the tilt angle of the electron beam (step S020). According to this embodiment, a means for controlling the electron beam scanning area is employed to reflect the correction value acquired at step S020 and correct the distortion generated at the time of tilting the beam. The width of the scanning area and the rotation amount equivalent to the distortion correction value against the set tilt angle are calculated by the control operation unit 40, and a signal is applied to the scanning coil control power supply 24. Thus, a predetermined current is supplied to the scanning coil 9 thereby to correct the distortion. With the process described above, the adjustment for distortion correction at the time of tilting the beam can be automated. A predicted value for correction of the focal point and the astigmatism is stored in advance, and the correction can be made by setting the value at the time of tilting the beam. As an alternative, the automatic focal point correcting function and the automatic astigmatism correcting function can be used. With regard to the visual field shift caused during the tilting of the electron beam, a predicted visual shift amount is stored, and the actual correction carried out by moving the stage at the time of tilting the beam or by moving the radiation area by the image shift deflector. Alternatively, the topdown image and the tilted image are processed and subjected to the pattern matching, the visual field shift amount is calculated from the image shift, and the correction is carried out with the particular shift as a distance to be covered. Also, according to this embodiment, the electron beam is tilted by reflecting the acquired correction value, after which the distortion amount is acquired in accordance with the process of steps S011 to S018. As long as the correction is carried out with high accuracy, the distortion amount calculated at step S018 infinitely approaches zero. By using the method according to this embodiment, therefore, the accuracy after adjustment can also be checked. Also, in the case where a threshold is set and the accuracy fails to meet the threshold, the correction value is acquired again and the convergence calculation is executed. In this way, the accuracy of distortion correction can be improved. FIG. 5 is a flowchart for explaining in detail the correction for improving the accuracy of the tilt angle, i.e. the angle calibration. An embodiment in which the angle calibration is conducted using a pyramidal sample is explained below. Nevertheless, the sample is not limited to a pyramid but any shape of sample can be used as far as the angle can be accurately measured by image processing. First, the direction and angle of the tilt of the electron beam to be corrected are selected (step S021). The direction and angle of tilt can be either selected by the operator or automatically set by a predetermined process. Next, a topdown image is set, and after adjusting the focal point and the astigmatism, the topdown image is acquired for a pyramidal sample (steps S022 to S024). Then, with regard to the selected direction and angle, a predetermined current value or voltage value determined from the angle control formula described above is set in the beam tilt deflector thereby to tilt the electron beam (step S025). After that, the focal point adjustment, the astigmatism adjustment and the visual field correction are carried out, and the tilted image is obtained (step S026). The focal point and the astigmatism can also be adjusted by executing the automatic focal point correcting function and the automatic astigmatism correcting function, respectively. In the case of visual field shift generated at the time of tilting the electron beam, a predicted visual field shift amount is stored, and at the time of tilting the electron beam, the correction is carried out by moving the stage or by moving the electron beam radiation area by the correction amount using the image shift deflector. As an alternative, the relation between the tilt angle and the visual field shift amount is acquired in advance, and the correction amount against the set angle is calculated thereby to carry out the correction. As another alternative, the pattern matching is conducted by image processing with the topdown image and the tilted image, the visual field shift amount is calculated from the image shift and the correction is carried out by moving the image by the particular visual field shift amount. Next, the real tilt angle θreal is determined by image processing using two images including the topdown image and the tilted image acquired (step S028). As the real tilt angle θreal, the tilt angle component (θreal—x, θreal—y) is acquired for X and Y directions of the acquired image. After acquiring the data for each direction and angle of tilt, a correction table is prepared (steps S029, S030). The correction table shows the direction of the angle component (θreal—x, θreal—y) of the real tilt angle determined by image processing for each direction and angle of tilt. The value input to the correction table may be the very value of the real tilt angle determined by image processing, or any other value such as the error of the real tilt angle with respect to the set angle, i.e. the difference value from which the angle change with respect to the set angle can be determined. According to this embodiment, after acquiring the correction table as described above, the beam can be tilted by improving the angular accuracy using the acquired correction table (step S031). Once the operator sets the angle θset, the value of the correction table is read and the calibration angle θcalib is calculated so that the real tilt angle approaches the set angle, and the angle θcalib is set as an input value of θset. In this way, the angle calibration can be carried out. From the value θreal of the real tilt angle held in the correction table, for example, the ratio of θreal to the set angle θset is acquired, and a new angle is internally set as θcalib in such a manner that θreal is equal to θset as a tilt angle value. Then, the current or voltage amount corresponding to the ratio is set in the beam tilt deflector, thereby making possible the angle calibration. According to this embodiment, the method of improving the accuracy of the real tilt angle using the set angle θset and θreal is not limited to the acquisition of the ratio described above. Instead, the error from the set angle, i.e. the difference may be determined, and in the case where the real tilt angle is large, the current amount or the voltage amount for controlling the beam deflection amount corresponding to the angle of the error is applied to the beam tilt deflector. In this way, the tilt angle accuracy can be improved. Also, according to this embodiment, the tilt angle correction table represents the two-dimensional tilt angle component θreal—x, θreal—y, and therefore, in the case where the electron beam is tilted in X direction, for example, the electron beam tilt angle can be corrected with zero tilt angle in Y direction. In the presence of an angular component in Y direction on the correction table at the time of tilting the electron beam in X direction, for example, the current or voltage amount applied to the beam tilt deflector is corrected in such a manner that the angular component in Y direction is zero. This tilt angle correction can realize the electron beam tilt of which the direction can be accurately controlled. Further, the process of steps S021 to S030 is automated. As described below, the focal point is adjusted by the automatic focal point correcting function, and the astigmatism is corrected by the automatic astigmatism correcting function. Then, the visual field shift caused by the beam tilt is corrected by image recognition before and after the tilt, i.e. by measuring the image shift using the pattern matching. In this way, automation is made possible. In the process, the electron beam may be tilted N times in the same direction at the same angle of tilt, and the real tilt angles acquired are averaged to determine the values on the correction table. By doing so, a highly accurate beam tilt is realized. FIG. 6 shows an example of the GUI screen to carry out the angle calibration described above. The control operation unit 30 has a program to display this GUI screen on the image display unit 26. An angle calibration execution button 601 is operated to carry out the angle calibration in accordance with the process described in FIG. 5. A result display screen 602 displays the result on the correction table prepared by the angle calibration. With the tilt direction 603 and the set angle 604, the X component 605 and the Y component 606 acquired by image processing are displayed. On a tilt angle accuracy monitor screen 607, the abscissa represents the time or the number of times the angle calibration is carried out, and the ordinate the tilt angle accuracy, so that the correction table value 608 acquired by angle calibration is plotted. In this way, the angular accuracy can be monitored. According to this embodiment, the beam tilt can be easily grasped by visually checking the change in angular accuracy. Further, in the case where the tilt angle accuracy is deteriorated, the beam tilt angle can be adjusted to maintain the angular accuracy. Also, a constant accuracy can be maintained by adjusting the beam tilt angle at regular intervals of time. In the angle calibration described in the third embodiment, the formula indicating the relation of the correction amount with respect to the angle is acquired in advance for the distortion correction, the focal point correction, the visual field correction and the astigmatism correction required at the time of tilting the beam. Thus, the control operation can be performed by reflecting the result of angle calibration at the time of tilting the electron beam. FIG. 7 is a flowchart for explaining the method of correction control carried out at the time of tilting the electron beam with or without angle calibration. First, the direction and angle of tilting the beam are selected (step S032). In the case where the angle calibration is carried out, the angle θcalib calculated by the value on the correction table for angle calibration described in the third embodiment is used as a set angle (step S033), while in the case where the angle calibration is not conducted, on the other hand, the set angle θset is used (step S034). According to this embodiment, whether the angle calibration is carried out or not is determined in accordance with the presence or absence of the calibration value in the correction table for angle calibration described in the third embodiment. Alternatively, the operator may determine arbitrarily. Next, the correction value is calculated for distortion correction, focal point correction, astigmatism correction or visual field correction, and the correction carried out. In view of the fact that these correction values represent the correction with respect to the angle, the correction value can be calculated in accordance with the value of the set angle regardless of whether the angle calibration is carried out or not. In carrying out the angle calibration, therefore, the correction can be conducted without readjustment for distortion correction, focal point correction, astigmatism correction or visual field correction. It should be further understood by those skilled in the art that although the foregoing description has been made on embodiments of the invention, the invention is not limited thereto and various changes and modifications may be made without departing from the spirit of the invention and the scope of the appended claims. |
|
claims | 1. A radiation source comprising:a radiation emitter configured to emit radiation;a collector configured to collect radiation emitted by the radiation emitter; andan outlet configured to introduce a cooled gas into the radiation source,wherein the cooled gas suppresses an amount of debris generated by the radiation emitter from contacting or entering the collector. 2. The radiation source of claim 1, wherein the cooled gas is arranged to be cooled prior to introduction into the radiation source. 3. The radiation source of claim 1, wherein the cooled gas is arranged to be introduced into the radiation source in a compressed state. 4. The radiation source of claim 3, wherein the gas is arranged to be introduced as a pressurized liquid that evaporates to form the cooled gas. 5. The radiation source of claim 3, further comprising a nebulizer for nebulizing the liquid. 6. The radiation source of claim 1, wherein the outlet is configured to introduce the cooled gas at a location in-between the radiation emitter and the collector. 7. The radiation source of claim 1, wherein the outlet is configured to introduce the cooled gas at a location in-between the radiation emitter and an exit aperture of the source. 8. The radiation source of claim 1, further comprising one or more further outlets, the one or more further outlets being arranged to introduce a cooled gas into the radiation source at a different location within the radiation source. 9. The radiation source of claim 1, wherein the cooled gas is introduced at a temperature below an ambient temperature. 10. The radiation source of claim 1, wherein the cooled gas is arranged to serve as one or more of:a buffer gas;a gas for cooling a component of the radiation source; anda gas for cleaning a component of the radiation source. 11. A radiation source arrangement comprising:a radiation emitter configured to emit radiation;a collector configured to collect radiation emitted by the radiation emitter;an outlet configured to introduce a cooled gas into the radiation source; anda source of the cooled gas, pressurized gas or liquefied gas in connection with the outlet,wherein the cooled gas suppresses an amount of debris generated by the radiation emitter from contacting or entering the collector. 12. A lithographic apparatus comprising:a radiation source comprising:a radiation emitter configured to emit radiation;a collector configured to collect radiation emitted by the radiation emitter; andan outlet configured to introduce a cooled gas into the radiation source,wherein the cooled gas suppresses an amount of debris generated by the radiation emitter from contacting or entering the collector;a patterning device configured to pattern the radiation; anda projection system configured to direct the patterned radiation onto a substrate. 13. A method comprising:operating a radiation source comprising:a radiation emitter configured to emit radiation;a collector configured to collect radiation emitted by the radiation emitter; andan outlet configured to introduce a cooled gas into the radiation source;introducing the cooled gas into the radiation source via the outlet of the radiation source,wherein the cooled gas suppresses an amount of debris generated by the radiation emitter from contacting or entering the collector. 14. The method of claim 13, wherein the cooled gas is introduced to cool a part of the radiation source. 15. The radiation source of claim 1, wherein the cooled gas is introduced at a temperature that is substantially the same as a boiling point of the gas. |
|
042241065 | abstract | The plate-type element is made up of a fuel core consisting of ceramic fuel wafers inserted in a lattice formed by a wire grid having low neutron-absorption characteristics, the shape and dimensions of each mesh of the grid being intended to correspond to those of each wafer. The grid is fitted in an outer frame and the fuel element is completed by top and bottom cladding plates. |
abstract | The invention relates to a nuclear plant in which the power of a nuclear reactor is controlled via demand of a connected electric grid. A naturally circulating nuclear reactor coolant loop is linked to a water/steam loop by means of a steam generator. The water/steam loop consists of an electric power generating unit and a water recirculating and steam control system. The generator is coupled to an external power grid. As power requirements of the grid change, a controller linked to the generator and a three way valve divides steam flow between the expansion turbine and a feedwater heater to boost or retard the power output. Altering the steam flow changes the pressure and temperature in the water/steam system and thus the coolant flow rate. The change in coolant flow allows the reactor core to regulate its reactivity to reach a state of equilibrium to the demand for electric power. |
|
description | This application relates to commonly-owned, U.S. Provisional Patent Application Ser. No. 61/293,611 entitled A MULTI-PETASCALE HIGHLY EFFICIENT PARALLEL SUPERCOMPUTER filed on Jan. 8, 2010 and incorporated by reference as if fully set forth herein. This invention was Government supported under Contract No. B554331 awarded by Department of Energy. The Government has certain rights in this invention. 1. Field of the Invention The present invention generally relates to data processing, and more specifically, the invention relates to counting instructions executed by programs running on data processing systems. 2. Background Art In analyzing and enhancing performance of a data processing system and the applications executing within the data processing system, it is helpful to know which software modules within a data processing system are using system resources. Effective management and enhancement of data processing systems requires knowing how and when various system resources are being used. Performance tools are used to monitor and examine a data processing system to determine resource consumption as various software applications are executing within the data processing system. For example, a performance tool may identify the most frequently executed modules and instructions in a data processing system, or may identify those modules which allocate the largest amount of memory or perform the most I/O requests. Hardware performance tools may be built into the system or added at a later point in time. Currently, processors have minimal support for counting carious instruction types executed by a program. Typically, only a single group of instructions may be counted by a processor by using the internal hardware of the processor. This is not adequate for some applications, where users want to count many different instruction types simultaneously. In addition, there are certain metrics that are used to determine application performance (counting floating point instructions for example), that are not easily measured with current hardware. Using the floating point example, a user may need to count a variety of instructions, each having a different weight, to determine the number of floating point operations performed by the program A scalar floating point multiply would count as one FLOP, whereas a floating point multiply-add instruction would count as 2 FLOPS. Similarly, a quad-vector floating point add would count as 4 FLOPS, while a quad-vector floating point multiply-add would count as 8 FLOPS. Embodiments of the invention provide methods, systems and computer program products for measuring a performance of a program running on a processing unit of a processing system. In one embodiment, the method comprises informing a logic unit of each instruction in the program that is executed by the processing unit, assigning a weight to said each instruction, assigning the instructions to a plurality of groups, and analyzing said plurality of groups to measure one or more metrics of the program. In one embodiment, each instruction includes an operating code portion, and the assigning includes assigning the instructions to said groups based on the operating code portions of the instructions. In an embodiment, each instruction is one type of a given number of types, and the assigning includes assigning each type of instruction to a respective one of said plurality of groups. In an embodiment, these groups may be combined into a plurality of sets of the groups. In an embodiment of the invention, to facilitate the counting of instructions, the processor informs an external logic unit of each instruction that is executed by the processor. The external unit then assigns a weight to each instruction, and assigns it to an opcode group. The user can combine opcode groups into a larger group for accumulation into a performance counter. This assignment of instructions to opcode groups makes measurement of key program metrics transparent to the user. As will be appreciated by one skilled in the art, the present invention may be embodied as a system, method or computer program product. Accordingly, the present invention may take the form of an entirely hardware embodiment, an entirely software embodiment (including firmware, resident software, micro-code, etc.) or an embodiment combining software and hardware aspects that may all generally be referred to herein as a “circuit,” “module” or “system.” Furthermore, the present invention may take the form of a computer program product embodied in any tangible medium of expression having computer usable program code embodied in the medium. Any combination of one or more computer usable or computer readable medium(s) may be utilized. The computer-usable or computer-readable medium may be, for example but not limited to, an electronic, magnetic, optical, electromagnetic, infrared, or semiconductor system, apparatus, device, or propagation medium. More specific examples (a non-exhaustive list) of the computer-readable medium would include the following: an electrical connection having one or more wires, a portable computer diskette, a hard disk, a random access memory (RAM), a read-only memory (ROM), an erasable programmable read-only memory (EPROM or Flash memory), an optical fiber, a portable compact disc read-only memory (CDROM), an optical storage device, a transmission media such as those supporting the Internet or an intranet, or a magnetic storage device. Note that the computer-usable or computer-readable medium could even be paper or another suitable medium, upon which the program is printed, as the program can be electronically captured, via, for instance, optical scanning of the paper or other medium, then compiled, interpreted, or otherwise processed in a suitable manner, if necessary, and then stored in a computer memory. In the context of this document, a computer-usable or computer-readable medium may be any medium that can contain, store, communicate, propagate, or transport the program for use by or in connection with the instruction execution system, apparatus, or device. The computer-usable medium may include a propagated data signal with the computer-usable program code embodied therewith, either in baseband or as part of a carrier wave. The computer usable program code may be transmitted using any appropriate medium, including but not limited to wireless, wireline, optical fiber cable, RF, etc. Computer program code for carrying out operations of the present invention may be written in any combination of one or more programming languages, including an object oriented programming language such as Java, Smalltalk, C++ or the like and conventional procedural programming languages, such as the “C” programming language or similar programming languages. The program code may execute entirely on the user's computer, partly on the user's computer, as a stand-alone software package, partly on the user's computer and partly on a remote computer or entirely on the remote computer or server. In the latter scenario, the remote computer may be connected to the user's computer through any type of network, including a local area network (LAN) or a wide area network (WAN), or the connection may be made to an external computer (for example, through the Internet using an Internet Service Provider). The present invention is described below with reference to flowchart illustrations and/or block diagrams of methods, apparatus (systems) and computer program products according to embodiments of the invention. It will be understood that each block of the flowchart illustrations and/or block diagrams, and combinations of blocks in the flowchart illustrations and/or block diagrams, can be implemented by computer program instructions. These computer program instructions may be provided to a processor of a general purpose computer, special purpose computer, or other programmable data processing apparatus to produce a machine, such that the instructions, which execute via the processor of the computer or other programmable data processing apparatus, create means for implementing the functions/acts specified in the flowchart and/or block diagram block or blocks. These computer program instructions may also be stored in a computer-readable medium that can direct a computer or other programmable data processing apparatus to function in a particular manner, such that the instructions stored in the computer-readable medium produce an article of manufacture including instruction means which implement the function/act specified in the flowchart and/or block diagram block or blocks. The computer program instructions may also be loaded onto a computer or other programmable data processing apparatus to cause a series of operational steps to be performed on the computer or other programmable apparatus to produce a computer implemented process such that the instructions which execute on the computer or other programmable apparatus provide processes for implementing the functions/acts specified in the flowchart and/or block diagram block or blocks. Referring now to FIG. 1, there is shown the overall architecture of the multiprocessor computing node 50 implemented in a parallel computing system in which the present invention is implemented. In one embodiment, the multiprocessor system implements the proven Blue Gene® architecture, and is implemented in a BluGene/Q massively parallel computing system comprising, for example, 1024 compute node ASICs (BCQ), each including multiple processor cores. A compute node of this present massively parallel supercomputer architecture and in which the present invention may be employed is illustrated in FIG. 1. The compute node 50 is a single chip (“nodechip”) based on low power A2 PowerPC cores, though the architecture can use any low power cores, and may comprise one or more semiconductor chips. In the embodiment depicted, the node includes 16 PowerTC A2 at 1600 MHz, in cores in one embodiment. More particularly, the basic nodechip 50 of the massively parallel supercomputer architecture illustrated in FIG. 1 includes (sixteen or seventeen) 16+1 symmetric multiprocessing (SMP) cores 52, each core being 4-way hardware threaded supporting transactional memory and thread level speculation, and, including a Quad Floating Point Unit (FPU) 53 on each core (204.8 GF peak node). In one implementation, the core operating frequency target is 1.6 GHz providing, for example, a 563 GB/s bisection bandwidth to shared L2 cache 70 via a full crossbar switch 60. In one embodiment, there is provided 32 MB of shared L2 cache 70, each core having associated 2 MB of L2 cache 72. There is further provided external DDR SDRAM (e.g., Double Data Rate synchronous dynamic random access) memory 80, as a lower level in the memory hierarchy in communication with the L2. In one embodiment, the node includes 42.6 GB/s DDR3 bandwidth (1.333 GHz DDR3) (2 channels each with chip kill protection). Each FPU 53 associated with a core 52 has a 32B wide data path to the L1-cache 55 of the A2, allowing it to load or store 32B per cycle from or into the L1-cache 55. Each core 52 is directly connected to a private prefetch unit (level-1 prefetch, L1P) 58, which accepts, decodes and dispatches all requests sent out by the A2. The store interface from the A2 core 52 to the L1P 55 is 32B wide and the load interface is 16B wide, both operating at processor frequency. The L1P 55 implements a fully associative, 32 entry prefetch buffer. Each entry can hold an L2 line of 128B size. The L1P provides two prefetching schemes for the private prefetch unit 58: a sequential prefetcher as used in previous BlueGene architecture generations, as well as a list prefetcher. As shown in FIG. 1, the 32 MiB shared L2 is sliced into 16 units, each connecting to a slave port of the switch 60. Every physical address is mapped to one slice using a selection of programmable address bits or a XOR-based hash across all address bits. The L2-cache slices, the L1PS and the L1-D caches of the A2s are hardware-coherent. A group of 4 slices is connected via a ring to one of the two DDR3 SDRAM controllers 78. By implementing a direct memory access engine referred to herein as a Messaging Unit, “MU” such as MU 100, with each MU including a DMA engine and Network Card interface in communication with the XBAR switch, chip I/O functionality is provided. In one embodiment, the compute node further includes, in a non-limiting example: 10 intra-rack interprocessor links 90, each at 2.0 GB/s, for example, i.e., 10*2 GB/s intra-rack & inter-rack (e.g., configurable as a 5-D torus in one embodiment); and, one I/O link 92 interfaced with the MU at 2.0 GB/s (2 GB/s I/O link (to I/O subsystem)) is additionally provided. The system node employs or is associated and interfaced with a 8-16 GB memory/node. The ASIC may consume up to about 30 watts chip power. Although not shown, each A2 core has associated a quad-wide fused multiply-add SIMD floating point unit, producing 8 double precision operations per cycle, for a total of 128 floating point operations per cycle per compute chip. A2 is a 4-way multi-threaded 64 b PowerPC implementation. Each A2 core has its own execution unit (XU), instruction unit (IU), and quad floating point unit (QPU) connected via the AXU (Auxiliary eXecution Unit) (FIG. 2). The QPU is an implementation of the 4-way SIMD QPX floating point instruction set architecture. QPX is an extension of the scalar PowerPC floating point architecture. It defines 32 32B-wide floating point registers per thread instead of the traditional 32 scalar 8B-wide floating point registers. As described above, each processor includes four independent hardware threads sharing a single L1 cache with sixty-four byte line size. Each memory line is stored in a particular L2 cache slice, depending on the address mapping. The sixteen L2 slices effectively comprise a single L2 cache. Those skilled in the art will recognize that the invention may be embodied in different processor configurations. FIG. 2 illustrates one of the processor units 200 of system 50. The processor unit includes a QPU 210, an A2 processor core 220, and L1 cache, and a level 1 pre-fetch (L1P) 230. The QPU has a 32B wide data path to the L1-cache of the A2 core, allowing it to load or store 32B per cycle from or into the L1-cache. Each core is directly connected to a private prefetch unit (level-1 prefetch, L1P) 230, which accepts, decodes and dispatches all requests sent out by the A2 core. The store interface from the A2 core to the L1P is 32B wide and the load interface is 16B wide, both operating at processor frequency. The L1P implements a fully associative 32 entry prefetch buffer. Each entry can hold an L2 line of 128B size. The L1P 230 provides two prefetching schemes: a sequential prefetcher, as well as a list prefetcher. The list prefetcher tracks and records memory requests sent out by the core, and writes the sequence as a list to a predefined memory region. It can replay this list to initiate prefetches for repeated sequences of similar access patterns. The sequences do not have to be identical, as the list processing is tolerant to a limited number of additional or missing accesses. This automated learning mechanism allows a near perfect prefetch behavior for a set of important codes that show the required access behavior, as well as perfect prefetch behavior for codes that allow precomputation of the access list. Each PU 200 connects to a central low latency, high bandwidth crossbar switch 240 via a master port. The central crossbar routes requests and write data from the master ports to the slave ports and read return data back to the masters. The write data path of each master and slave port is 16B wide. The read data return port is 32B wide. As mentioned above, currently, processors have minimal support for counting various instruction types executed by a program. Typically, only a single group of instructions may be counted by a processor by using the internal hardware of the processor. This is not adequate for some applications, where users want to count many different instruction types simultaneously. In addition, there are certain metrics that are used to determine application performance (counting floating point instructions for example) that are not easily measured with current hardware. Embodiments of the invention provide methods, systems and computer program products for measuring a performance of a program running on a processing unit of a processing system. In one embodiment, the method comprises informing a logic unit of each instruction in the program that is executed by the processing unit, assigning a weight to said each instruction, assigning the instructions to a plurality of groups, and analyzing said plurality of groups to measure one or more metrics of the program. With reference to FIG. 3, to facilitate the counting of instructions, the processor informs an external logic unit 310 of each instruction that is executed by the processor. The external unit 310 then assigns a weight to each instruction, and assigns it to an opcode group 320. The user can combine opcode groups into a larger group 330 for accumulation into a performance counter. This assignment of instructions to opcode groups makes measurement of key program metrics transparent to the user. As one specific example of the present invention, FIG. 4 shows a circuit 400 that may be used to count a variety of instructions, each having a different weight, to determine the number of floating point operations performed by the program. The circuit 400 includes two flop select gates 402, 404 and two ops select gates 406, 410. Counters 412, 414 are used to count the number of outputs from the flop gates 402, 404, and the outputs of select gates 406, 410 are applied to reduce gates 416, 420. Thread compares 422, 424 receive thread inputs 426, 430 and the outputs of reduce gates 416, 420. Similarly, thread compares 432, 434 receive thread inputs 426, 430 and the outputs of flop counters 412, 414. The implementation, in an embodiment, is hardware dependent. The processor runs at two times the speed of the counter, and because of this, the counter has to process two cycles of A2 data in one counter cycle. Hence, the two OPS0/1 and the two FLOPS0/1 are used in the embodiment of FIG. 4. If the counter were in the same clock domain as the processor, only a single OPS and a single FLOPS input would be needed. An OPS and a FLOPS are used because the A2 can execute one integer and one floating point operation per cycle, and the counter needs to keep up with these operations of the A2. In one embodiment, the highest count that the A2 can produce is 9. This is because the maximum weight assigned to one FLOP is 8 (the highest possible weight this embodiment), and, in this implementation, all integer instructions have a weight of 1. This totals 9 (8 flop and 1 op) per A2 cycle. When this maximum count is multiplied by two clock cycles per counting cycle, the result is a maximum count of 18 per count cycle, and as a result, the counter has to be able to add from 0-18 every counting cycle. Also, because all integer instructions have a weight of 1, a reduce (logical OR) is done in the OP path, instead of weighting logic like on the FLOP path. Boxes 402/404 perform the set selection logic. They pick which groups go into the counter for adding. The weighting of the incoming groups happens in the FLOP_CNT boxes 412/414. In an implementation, certain groups are hard coded to certain weights (e.g. FMA gets 2, quad fma gets 8). Other group weights are user programmable (DIV/SQRT), and some groups are hard coded to a weight of 1. The reduce block on the op path functions as an OR gate because, in this implementation, all integer instructions are counted as 1, and the groups are mutually exclusive since each instruction only goes into one group. In other embodiments, this reduce box can be as simple as an OR gate, or complex, where, for example, each input group has a programmable weight. The Thread Compare boxes are gating boxes. With each instruction that is input to these boxes, the thread that is executing the instruction is recorded. A 4 bit mask vector is input to this block to select which threads to count. Incrementers 436 and 440 are used, in the embodiment shown in FIG. 4, because the value of the OP input is always 1 or 0. If there were higher weights on the op side, a full adder of appropriate size may be used. The muxes 442 and 444 are used to mux in other event information into the counter 446. For opcode counting, in one embodiment, these muxes are not needed. The outputs of thread compares 422, 424 are applied to and counted by incrementer 436, and the outputs of thread compares 432, 434 are applied to and counted by incrementer 440. The outputs of incrementers 436, 440 are passed to multiplexers 442, 444, and the outputs of the multiplexers are applied to six bit adder 446. The output of six bit adder 446 is transmitted to fourteen bit adder 450, and the output of the fourteen bit adder is transmitted to counter register 452. While it is apparent that the invention herein disclosed is well calculated to fulfill the objects discussed above, it will be appreciated that numerous modifications and embodiments may be devised by those skilled in the art, and it is intended that the appended claims cover all such modifications and embodiments as fall within the true spirit and scope of the present invention. |
|
042785600 | description | DETAILED DESCRIPTION OF THE INVENTION In accordance with the invention, a uranyl nitrate solution which contains the desired gadolinium content in dissolved form is brought, together with NH.sub.3 and CO.sub.2, into precipitation apparatus which contains an aqueous body of ammonium carbonate solution with a pH-value of 9. This pH value is lowered to 8.2 during the precipitation process initiated thereby. Ammonium salts of gadolinium and uranium carbonate complexes are precipitated during the precipitation process and the precipitate, after separation from the body of solution, is converted in known manner into an oxide form that can be sintered. To illustrate the method further, reference is made to the attached drawing, which shows one form of precipitation apparatus. The precipitation vessel 1 contains a weak or dilute ammoniumcarbonate aqueous solution forming a water seal 11 with the solution having a pH of 9. A uranyl nitrate solution which contains the desired share of gadolinium in dissolved form is fed through the line 5 into, as shown in the drawing, the annulus of two vertical concentric tubes which extend down into the body of ammonium carbonate. At the same time, CO.sub.2 -gas is fed-in via the line 7 and NH.sub.3 -gas via the line 6 is fed into the annulus. Initially, the uranium and the gadolinium remain in solution. This initial solubility of the gadolinium is achieved by the alkaline ammonium carbonate seal. Thorough mixing of the fed-in materials with the ammonium carbonate solution of the water seal 11 is achieved by means of the pump 2 which withdraws a portion of the ammonium carbonate solution and recirculates it to the top of the apparatus through the mixing nozzles 4 disposed in the annulus and then down into the body of solution 11. In the precipitation process that sets in, the pH-value is allowed to fall slowly to 8.2. Thereby the gadolinium precipitates simultaneously with the uranium. Ammonium salts of uranium and gadolinium carbonate complexes are formed as precipitation products. After the precipitation process has ended, the suspension produced of precipitate in liquor is further circulated by means of the pump 2. The grain size of the precipitation products is equalized thereby. Subsequently, the pump 2 is switched off and the precipitated salts are transported via the pump 3 to a filtration device, not shown, for separation of the precipitate from the liquor. These mixed crystals, after being filtered and dried, optionally together with AUC (ammonium uranyl carbonate), converted in known manner into a oxide form that can be sintered. An appropriate method is described in detail, for example, in German Pat. No. 1 59 24 71. In another embodiment of the method shown in the drawing gaseous UF.sub.6 can be fed-in via the connecting line 7 in addition to the CO.sub.2 -gas and is then converted in the precipitation apparatus 1 into AUC. It should further be pointed out that a uranyl sulfate solution can also be used for bringing-in the gadolinium instead of a uranyl nitrate solution. The dependence of the precipitation of the gadolinium together with the uranium on the pH-value can be utilized so that the degree of gadolinium precipitation and thereby, the gadolinium content in the precipitated mixed crystals can be adjusted through control of the pH-drop from 9 to 8.2. In the extreme case, this provides the possibility of separating uranium and gadolinium if the pH is kept constant at 9. In that case, the gadolinium remains in the solution and only the uranium is precipitated. The limit for the solubility of gadolinium is then approximately 1%. Control or change of pH may be effected in the usual way by the addition of an acidic or basic substance. Ordinarily adequate control or change of pH may be accomplished simply by varying feed of CO.sub.2 and/or NH.sub.3. The method described is therefore optimally suited to precipitate uranium and gadolinium together in the form of mixed crystals and to feed them into the normal nuclear fuel production and processing, as well as for purifying uranium solutions of gadolinium. |
047643358 | abstract | The invention provides an apparatus and method for diagnosing breached fuel elements in a nuclear reactor. A detection system measures the activity of isotopes from the cover-gas in the reactor. A data acquisition and processing system monitors the detection system and corrects for the effects of the cover-gas clean up system on the measured activity and further calculates the derivative cure of the corrected activity as a function of time. A plotting system graphs the derivative curve, which represents the instantaneous release rate of fission gas from a breached fuel element. |
summary | ||
050858238 | abstract | A latch assembly is disclosed for selectively preventing rotation of a shaft, such as a shaft used in a control rod drive for a nuclear reactor. The latch assembly includes a stationary housing for receiving the shaft, and a gear fixedly joined to the shaft. A latch arm is pivotally joined to the housing and includes at least one latch tooth facing gear teeth extending from the gear. The latch arm is selectively positionable in an engaged position to abut the latch tooth against a first one of the gear teeth for preventing rotation of the shaft in a first direction, and in a disengaged position to space the latch tooth away from the gear teeth for allowing the shaft to rotate without obstruction between the gear teeth and the latch tooth. |
summary | ||
summary | ||
summary | ||
050698621 | description | DESCRIPTION OF THE PREFERRED EMBODIMENT The apparatus according to the invention, as illustrated in FIGS. 1 and 2, is used for handling a tensioning machine intended for exerting a pull on the pins in order to keep them in the prestressed state, so as to allow the attachment or detachment in this position of the retaining nuts of the cover 1, of a vessel 2 of, for example, a nuclear reactor, which projects slightly above a floor of a building the walls of which limit the space available around the cover. As is well known, the cover 1 and the vessel 2 have a form of revolution about a vertical geometric axis. The cover 1 is fastened removably to the vessel 2 by means of several pins 3 of vertical axis, together with the associated nuts, the said pins being distributed uniformly on the periphery of the cover 1 of the vessel. Each pin 3 passes through a smooth vertical hole 4 in the flange of the cover 1 and in its lower part is equipped with a thread intended to be screwed into an internally threaded hole 5 in the flange of the vessel 2. The other end of the pin 3 projects on the outside of the cover 1. The apparatus has a guide monorail 10 composed, for example, of four assembled sectors, thus making it easier to install it in the space available. The guide monorail 10 is mounted around the cover 1, and each quarter is supported by two columns 11. Once the monorail has been assembled, the columns 11 are distributed at an equal distance from one another. A train designated as a whole by the reference 12 travels on the monorail 10 and is composed of a driving carriage 12a and of a driven carriage 12b, the said carriages 12a and 12b being connected to one another by means of a semi-circular strut 12c of the same curvature as the monorail 10. Each carriage 12a, 12b has a set of wheels 13 and 14, some (13) having a horizontal axis allowing longitudinal guidance and the others (14) having a vertical axis allowing lateral guidance. On the other hand, each carriage 12a and 12b is surmounted, on the one hand, by a vertical endless screw 15a and 15b, respectively, mounted freely in terms of rotation and, on the other hand, by an anti-rotation rod 16a and 16b, respectively which is arranged parallel to the jack screw and the function of which will be explained later. The train 12 supports a tensioning module 20 which, in the example illustrated in FIGS. 1 and 2, has the form of an arc of a circle concentric with the flange of the cover 1 and comprises eight jack pistons 21 whose arrangement and organization are identical to those of the jack pistons described in applicant's FR-A-2,589,536. The module 20 is connected symmetrically to the two carriages 12a and 12b by means of two brackets 22a and 22b. The bracket 22a is welded to the tensioning module 20 at one of its ends, and the other end has an eye 23a, the bore axis of which is vertical and, on the one hand, centers itself on the cylindrical part of a nut 24a screwed to the endless screw 15a and, on the other hand, comes to bear on the said nut by means of a thrust ballbearinq 25 (FIG. 4). The nut 24a also has an appendage 26a, in which there is an orifice through which the anti-rotation rod 16a passes. The same is true of the bracket 22b which is welded at one of its ends to the tensioning module 20 and which at the other end has an eye 23b centered on a nut 24b screwed to the endless screw 15b. The nut 24b likewise has an appendage 26b through which the anti-rotation rod 16b passes. The setting in rotation of the two endless screws 15a and 15b is controlled by single motor 27 mounted on the strut 12c half-way between the two carriages 12a and 12b. The motor 27 is connected to each of the endless screws 15a and 15b by means of a control linkage 28 consisting, for example, of a cardan transmission. The apparatus functions as follows. First, the various separate elements are put in position by means of a hoist 30 which travels by means of a circular monorail 31 arranged above the cover 1. Once installation is completed and the tensioning module 20 is in the high position, as shown diagrammatically by dot-and-dash lines in FIG. 1, the operator commands the starting of the motor of the driving carriage 12a. Thus, the assembly as a whole travels on the monorail 10 in such a way that the tensioning module takes up position above the first series of pins 3 to be subjected to a pulling force. Subsequently, the operator commands the starting of the motor 27 which drives the two endless screws 15a and 15b in rotation by means of the control linkages 28. The rotation of these two endless screws 15a and 15b in a particular direction causes the lowering of the tensioning module 20 by means of the nuts 24a and 24b and the brackets 22a and 22b. The ends of the pins 3 penetrate into the jack pistons 21 of the tensioning module 20, the lower face of which comes to bear on the flange of the cover 1. As described in FR-A-2,589,536, the jack pistons 21 of the tensioning module 20 exert a pull simultaneously on the series of pins 3, so that the various operations of screwing or unscrewing the retaining nuts of the cover 1 can be carried out. When these operations have been carried out for the first series of pins, via the motor 27 and the control linkages 28 the operator commands the setting in rotation of the endless screws 15a, 15b in the opposite direction, thus causing the raising of the tensioning module 20. The jack screws 15a and 15b are placed exactly in the plane of the center of gravity G of the tensioning module 20, so that the vertical movements of this tensioning module are executed without any disruptive lateral force or torsion. Furthermore, during these vertical movements the rods 16a and 16b prevent any rotation of the nuts 24a and 24b. As soon as the tensioning module 20 is in the high position, the operator commands the movement of the assembly as a whole in order to carry out the tensioning of the next series of pins, and so on and so forth. The apparatus can comprise two tensioning machines which travel on the same monorail 10 and which execute the tensioning simultaneously on opposite series of pins. Furthermore, each tensioning module can be composed at least of a single jack piston and at most of eight jack pistons. The apparatus according to the invention thus makes it possible for a modular pin-tensioning machine to travel in two directions horizontally about the axis of the bore diameter and alternatively in the vertical axis of the pins on which action is to be taken. Moreover, this apparatus is composed of an association of elements performing different, but complementary functions, such as those of handling, positioning and tensioning, allowing the zone of action to be approached both from the top and from the side and thus making it possible to do without any environment consisting of non-removable elements. The apparatus according to the invention is used not only on vessels of nuclear reactors, but in other sectors of the nuclear industry and in petroleum and petrochemical installations. In general terms, the apparatus according to the invention is used in many industrial installations using pressurized vessels. |
summary | ||
051732491 | abstract | A flux thimble removal tool includes two basic units: a lower pulling unit 20 and a cutting/storage unit 22 which sits atop the lower pulling unit. Pulling unit 20 houses a gripper unit 30a, drive motor 68, gears 32, 66, helix drive shaft 40a, guide bar 26a, slide bar 24a, and guide tube 36, all of which are adapted to gradually extract neutron flux thimbles 38 one at a time from the reactor vessel 74 of a nuclear reactor, and to feed each thimble to the cutting/storage unit 22. The cutting/storage unit 32 further extracts the thimble, then severs the further extracted portion. The operation is continued until the thimble is fully extracted from the reactor vessel and reduced to a pile of easily-disposable segments. |
abstract | Methods, devices and system for asymmetric inertial confinement fusion are disclosed. One method includes a fixing in position a target capsule comprising an inertial confinement fusion fuel, where the target capsule is substantially spherical. The method further includes for applying an oscillatory compression to the target capsule. The oscillatory compression includes compression at a first time in a radial direction orthogonal to a diametric axis of the target capsule, and compression at a second time along the diametric axis to drive the target capsule into driven into an ovoid shape. The oval shaped target can implode upon being further driven at a third time. |
|
description | The following provides an explanation of an embodiment for carrying out the present invention with reference to FIGS. 1 through 8. This embodiment is provided for carrying out the present invention to a reactor building of steel plate reinforced concrete construction. Although this reactor building is provided with a cylindrical containment vessel 2 concentric to outer peripheral wall 1 having a nearly square shape when viewed overhead in the same manner as the reactor building of the prior art as shown in FIGS. 13 and 14, in contrast to the prior art employing a construction method in which the containment vessel 2 of RC construction and its surrounding multiple floors of structural members are sequentially constructed from the lower floor followed by sequentially installing equipment on each floor, in the present embodiment for carrying out the invention, as shown conceptually in FIG. 2, large-scale wall megablocks 20 (consisting of three types, 20A, 20B and 20C, in the present embodiment for carrying out the invention) and similar large-scale floor megablocks 30 (also consisting of three types, 30D, 30E and 30F, in the present embodiment for carrying out the invention) are employed, and by then assembling these by coupling together and pouring in concrete as shown in FIG. 3, a rigid megawall structure is formed that serves as the main part of the structural member (consisting of outer peripheral wall 1, containment vessel 2, pool outer peripheral wall 2A, pool inner peripheral wall 12A, diaphragm floor 10, top slab 11, intermediate floor 15 and operating floor 13), and by installing a large-scale equipment modules 40 therein, the work for installing equipment in the building can be carried out collectively. By surrounding the equipment modules 40 (left and right, above and below) with a megawall structure formed by the megablocks 20 and 30, the horizontal force that acts on the equipment modules 40 during occurrence of an earthquake is supported with this megawall structure. As shown in FIG. 4, the megablocks 20 for the wall that are employed in the present embodiment for carrying out the invention are hollow structures in which outer shell steel plates 21 that compose the outer shell of the wall member and also serve as forms are integrated in mutual opposition while securing a distance equivalent to the wall thickness, and after having been installed at their prescribed locations, by pouring concrete 22 therein, the outer shell steel plates 21 and concrete 22 are integrated by means of a large number of studs 23 and tie bars 24 provided inside, resulting in the formation of a rigid wall member of steel plate reinforced concrete construction. Similarly, as also shown in FIG. 4, the megablocks 30 for the floor that are employed in the present embodiment for carrying out the invention are hollow structures in which outer shell steel plates 31 that compose the outer shell of the floor member (slab) and also serve as forms are integrated in mutual opposition while securing a distance equivalent to the thickness of the floor, and after having been installed at their prescribed locations, by pouring concrete 32 therein, outer shell steel plates 31 and concrete 32 are integrated by means of a large number of studs 33 and tie bars 34 provided inside, resulting in the formation of a slab of steel plate reinforced concrete construction. Furthermore, the outer shell steel plates 31 on the top side in the megablocks 30 may be substituted with reinforcing bars. In this case, the slab is formed by pouring concrete 32 to a prescribed thickness above the outer shell steel plates 31 on the bottom side. By then mutually coupling the megablocks 20 and megablocks 30 and pouring concrete 22 and 32 inside or above, a megawall structure is formed that composes the main part of the structural member of the entire reactor building. In the present embodiment for carrying out the invention, three types of megablocks, namely the megablocks 20A serving as the outer peripheral wall 1, the megablocks 20B serving as the peripheral wall of the containment vessel 2 and the pool outer peripheral wall 2A, and the megablocks 20C serving as the pool inner peripheral wall 12A, are used as the megablocks 20 which form the megawall structure for the wall. In addition, three types of megablocks are similarly used as the megablocks for the floor, namely the megablocks 30D serving as the diaphragm floor 10, the megablocks 30E serving as the top slab 11, and the megablocks 30F serving as the intermediate floor 15 and operating floor 13. As, shown in FIGS. 1 and 2, megablocks 20A serving as the outer peripheral wall 1 have a form in which the outer peripheral wall 1 is divided into four equal parts in the peripheral direction, have a height that extends three floors, and earthquake-resisting walls 6 are integrally provided with the megablocks 20A in advance on the inside of the megablocks 20A. By stacking these megablocks 20A over two levels, three floors of the outer peripheral wall 1 from the bottom floor to the diaphragm floor 10, and three floors of the outer peripheral wall 1 from the diaphragm floor 10 to the operating floor 13 are constructed while simultaneously constructing the earthquake-resisting walls 6. By additionally stacking the megablocks 20A serving as the top outer peripheral wall 1 on top (the earthquake-resisting walls 6 are omitted) and laying a roof truss 14 on these megablocks 20A, the entire outer peripheral wall 1 and roof are constructed. In addition, the containment vessel 2 and pool outer peripheral wall 2A are constructed by stacking two levels of the cylindrical megablocks 20B having a height equal to three floors inside the outer peripheral wall 1 constructed in the manner described above. The ring-shaped megablocks 30D serving as the diaphragm floor 10, the ring-shaped megablocks 30E serving as the top slab 11 and the cylindrical megablocks 20C serving as the pool inner peripheral wall 12A are then installed inside this containment vessel 2, and the megablocks 30F serving as the intermediate floor 15 and the operating floor 13 are installed outside the containment vessel 2 and pool outer peripheral wall 2A. As described above, by then coupling these megablocks 20 and 30 and pouring concrete 22 and 32 inside or above them, a megawall structure is formed that composes the majority of the structural member inside the building. On the other hand, as shown in FIG. 5, the equipment modules 40 have various equipment 44 (for example, FPC pumps, CUW heat exchangers, RIP control panels) and their incidental pipes incorporated in advance on a steel frame 43 which has a height of three floors. The steel flame 43 is composed of six or nine support columns 41 made by steel frames and two floors of floor frames 42 made by steel materials. In the present embodiment for carrying out the invention, as shown in FIG. 1, by fabricating in advance equipment modules 40 having a height of three floors respectively corresponding to eight regions divided by each earthquake-resisting wall 6 on the outside of containment vessel 2, and installing those eight equipment modules 40, the equipment 44 and its pipes, which were individually installed on each floor either in parallel with construction of the structural member on each floor of the building or following completion of the structural member of each floor in the prior art, can be installed collectively. Since the steel frame 43 itself in the above equipment modules 40 is equivalent to the internal columns 3 and two floors of beams 4 and slabs 5 in buildings of the prior art, it is not necessary to construct the internal columns 3, beams 4 or slabs 5 at the construction site. Thus, coupled with the use of each of the above megablocks 20 and 30, the structure of the building can be simplified considerably. Furthermore, although the equipment modules 40 are made to stand alone in each building, as was stated above, a structure is employed in which the horizontal force that acts on the equipment modules 40 during the occurrence of an earthquake is supported by the surrounding megawall structure. According to this structure, the steel frame 43 is only required to be able to support its own weight along with the weight load of the equipment 44 (including their incidental pipes), and is not necessary to support the horizontal force. Thus, the support columns 41 may be narrow, and the floor frames 42 may be lightweight in the manner of steel mesh (so-called catwalk), for example, provided they enable the installation of each type of equipment 44 and allow the performing of maintenance work. Furthermore, if necessary in terms of installing the equipment 44, or in the case of requiring a shielding function, concrete should be poured at the required locations of the equipment modules 40. Pouring of concrete in this case may be performed during fabrication of the equipment modules 40 and prior to the installation of equipment, or may be performed at the site after installing the equipment modules 40 in the building. The megablocks 20, megablocks 30 and equipment modules 40 explained above are fabricated at a yard in the vicinity of the construction site, and then installed by lifting with a crane. Thus, although their shapes and weights are determined in consideration of the conditions of the yard and capacity of the crane, when considering ease of construction, those which are as large as possible within the installable range are advantageous. In addition, their maximum weight is preferably from about 1000 to 1400 tons. FIGS. 6 through 8 indicate the assembly process of a reactor building of the present embodiment for carrying out the invention. Prior to beginning construction of the building, fabrication of each megablock 30, megablock 20 and equipment module 40 is carried out in advance at the nearby work yard. Furthermore, as shown in FIG. 3, the megablocks 30F to serve as the intermediate floor 15 and operating floor 13 are integrally assembled in advance on the top of the equipment modules 40. When construction of a foundation plate 7 is completed, as shown in FIG. 6, together with installing a pedestal 9 of a pressure vessel 8, the megablock 20B to serve as the lower half of the peripheral wall of the containment vessel 2 is installed around them. Four megablocks 20A to serve as the outer peripheral wall 1 are then installed around the megablock 20B, and after installing various equipment and their incidental pipes (not shown) on the foundation plate 7 inside, eight equipment modules 40 are installed together with the megablocks 30F. Next, the megablock 30D to serve as the diaphragm floor 10 is installed, and after coupling each corresponding megablocks 20 and 30, concrete is poured to mutually join them and form the first level of the megawall structure. Joining of the corresponding megablocks 20 or joining of the megablocks 20 and 30 should be carried out either by directly welding the corresponding outer shell steel plates 21 and 31 or by forming a structural member of a structure that allows transfer of stress by arranging reinforcing bars between them. Next, as shown in FIG. 7, the megablock 20B to serve as the pool outer peripheral wall 2A, four megablocks 20A to serve as the second level outer peripheral wall 1, the pressure vessel 8, the megablock 30E to serve as the top slab 11, and the megablock 20C to serve as the pool inner peripheral wall 12A are installed. After then installing various equipment and incidental pipes (not shown) on the intermediate floors 15, eight equipment modules 40 are installed together with the megablocks 30F that serve as the operating floors 13. In addition, by coupling each corresponding megablocks 20 and 30 and pouring concrete in the same manner as described above, the second level megawall structure is formed. Furthermore, as shown in FIG. 8, after installing four megablocks 20A to serve as the third level of the outer peripheral wall 1, and installing various equipment and their incidental pipes (not shown) on the operating floors 13 inside, four equipment modules 40 having a height equivalent to one floor are installed. After then installing equipment (not shown) on these equipment modules 40, concrete is poured to form the third level megawall structure followed by laying of a roof truss 14. The majority of the work for the building construction and equipment installation are completed by the above procedure. In this case, the installation process of the megablocks 20 and 30, the coupling process of those corresponding megablocks 20 and 30, the concrete pouring process for them, and the installation process of the equipment modules 40 can be altered arbitrarily, and the construction should be performed by suitably changing the order of these processes or performing them in parallel as is suitable. For example, the megawall structure which provides the wall member may naturally first be formed by pouring concrete inside when the megablocks 20 for the wall member have been installed and coupled, and then the megawall structure which provides the floor member may be formed by pouring concrete after installing the megablocks 30 for the floor and coupling them. According to the present embodiment for carrying out the invention, since large megablocks 20 and 30 of a form that divides the structural member of the building into large pieces, and large-scale equipment modules 40 of a height that covers multiple floors, are fabricated in advance, the majority of the work for constructing the structural member and installing equipment is completed simply by assembling them at the construction site. Thus, in comparison with the structures and construction methods of the prior art for which work consisted primarily of that at the construction site, construction can be carried out much more efficiently, and the construction time can be shortened considerably. Moreover, since simplification and abbreviation of the shape of the structural member is realized by using these megablocks 20 and 30 along with the equipment modules 40, the ease of construction is significantly improved and the effect of reducing construction costs is obtained due to this point as well. FIGS. 9 through 11 indicate an embodiment for carrying out the invention in the case of applying the present invention to the turbine building of the nuclear power plant. In the present embodiment for carrying out the invention as well, as a result of combining the megablocks 20 for the wall, having a height that extends over multiple floors, and the megablocks 30 for the floor to form the majority of the structural member with a megawall structure, and arranging the equipment modules 40 of a height that extends over multiple floors therein, similar to the reactor building of the above-mentioned embodiment for carrying out the invention, construction time is shortened considerably and the shape of the structural member is simplified. Furthermore, reference symbol 50 represents a turbine pedestal. In addition, in the embodiment shown in the drawings, box-shaped megablocks 20H are arranged at the four corners of the building, and although these are connected with wall-shaped megablocks 20I and earthquake-resisting walls 6 are provided in the wall-shaped megablocks 20I as necessary, in any case, the shape of megablocks 20 should be optimally determined according to the shape and size of the building to be constructed, and the shape of equipment modules 40 should be optimally determined accordingly. Next, another embodiment for carrying out the present invention is explained with reference to FIGS. 12A through 12C. The present embodiment for carrying out the invention applies the present invention to a containment vessel 2 of the reactor building and a pool P which is provided thereon. In the reactor building A of the present embodiment for carrying out the invention, as a result of simply extending the peripheral wall of the containment vessel 2 upward, the pool outer peripheral wall 2A in the form of a circle when viewed overhead is formed on the top slab 11 of the containment vessel 2. In addition, by providing the pool inner peripheral wall 12A in the form of a circle when viewed overhead to be concentric with the pool outer peripheral wall 2A on the top slab 11, the ring-shaped pool P having the top slab 11 for its bottom is formed between the pool outer peripheral wall 2A and pool inner peripheral wall 12A, and as a result of being divided into two sections by partition wall 60, one section of the pool P is used as a spent fuel pool Pa and the other section of the pool P is used as a dryer and separator storage pool Pb. In addition, in the present embodiment for carrying out the invention, a steel plate reinforced concrete construction is used for the structures of containment vessel 2 and pool P. Namely, as shown in FIG. 12C, the peripheral wall of the containment vessel 2 and top slab 11, as well as the pool outer peripheral wall 2A, pool inner peripheral wall 12A and partition wall 60, which are integrally provided above them, all have a structure in which concrete 72 is filled into hollow outer shell steel plates 71 that also serve as forms, and outer shell steel plates 71 and concrete 72 are integrated by means of a plurality of studs 73 and tie bars 74 provided on the inner surface of the outer shell steel plates 71. Moreover, the peripheral wall of the containment vessel 2 and pool outer peripheral wall 2A stand alone separated from slabs 5. As has been described above, by integrally providing the ring-shaped pool P with the containment vessel 2 above the containment vessel 2, the shape of the structural member in the upper portion of the containment vessel 2 is simplified considerably as compared with the prior art. Thus, ease of construction can be improved significantly, and construction time can be shortened considerably. In addition, if the shape of the upper portion of the containment vessel 2 is simplified, the state in which stress is generated becomes simpler and clearer. In addition, the pool outer peripheral wall 2A, pool inner peripheral wall 12A and partition wall 60 also serve to reinforce the peripheral wall of the containment vessel 2 and top slab 11, thereby also making this preferably structurally. Moreover, as a result of steel plate reinforced concrete construction being used for the structures of the containment vessel 2 and pool P, the formwork and work for providing reinforcing bars can be omitted and construction time can be shortened while still ensuring structural strength, stability and reliability equal to or better than the case of using ordinary reinforced concrete construction. In addition, since a structural member having this steel plate reinforced concrete construction is allowed to independently stand alone separated from the peripheral structural member (slabs 5), it is not necessary for these corresponding structural members to be joined, and construction work for both structural members can be carried out independently. As a result, a rational process can be compiled during building construction, thereby allowing construction time to be shortened even more. Furthermore, the gist of the present embodiment for carrying out the invention lies in the providing of a ring-shaped pool above a containment vessel and integrated with it, and the design can be suitably altered to another constitution provided this is still the case. For example, the constitution of the containment vessel and pool is not limited to the steel frame concrete construction as in the above embodiment for carrying out the invention, but rather another constitution may be used, and conversely, similar steel plate reinforced concrete construction may also be used for not only the containment vessel and pool, but also for other peripheral structural members. In the case of the latter in particular, the containment vessel need not be made to stand alone, but rather may be structurally integrally provided with other peripheral structural members. |
|
description | The present application claims the benefit of U.S. Provisional Patent Application No. 62/363,117, titled “VERTICALLY-SEGMENTED NUCLEAR REACTOR”, filed Jul. 15, 2016, which application is hereby incorporated by reference. The utilization of molten fuels in a nuclear reactor to produce power provides significant advantages as compared to solid fuels. For instance, molten fuel reactors generally provide higher power densities compared to solid fuel reactors, while at the same time having reduced fuel costs due to the relatively high cost of solid fuel fabrication. Molten fluoride fuel salts suitable for use in nuclear reactors have been developed using uranium tetrafluoride (UF4) mixed with other fluoride salts. Molten fluoride salt reactors have been operated at average temperatures between 600° C. and 860° C. Binary, ternary, and quaternary chloride fuel salts of uranium, as well as other fissionable elements, have been described in co-assigned U.S. patent application Ser. No. 14/981,512, titled MOLTEN NUCLEAR FUEL SALTS AND RELATED SYSTEMS AND METHODS, which application is hereby incorporated herein by reference. In addition to chloride fuel salts containing one or more of UCl4, UCl3F, UCl3, UCl2F2, and UClF3, the application further discloses fuel salts with modified amounts of 37Cl, bromide fuel salts such as UBr3 or UBr4, thorium chloride fuel salts, and methods and systems for using the fuel salts in a molten fuel reactor. Average operating temperatures of chloride salt reactors are anticipated between 300° C. and 800° C., but could be even higher, e.g., >1000° C. This disclosure describes various configurations and components of a molten fuel fast or thermal nuclear reactor. For the purposes of this application, embodiments of a molten fuel fast reactor that use a chloride fuel will be described. However, it will be understood that any type of fuel salt, now known or later developed, may be used and that the technologies described herein may be equally applicable regardless of the type of fuel used, such as, for example, salts having one or more of U, Pu, Th, or any other actinide. Note that the minimum and maximum operational temperatures of fuel within a reactor may vary depending on the fuel salt used in order to maintain the salt within the liquid phase throughout the reactor. Minimum temperatures may be as low as 300-350° C. and maximum temperatures may be as high as 1400° C. or higher. FIG. 1 illustrates, in a block diagram form, a simplified rendering of the basic configuration of a vertically-segmented nuclear molten fuel reactor. In general, a vertically-segmented molten fuel reactor 100 includes a reactor core vessel 104 defining a reactor core 102 of a fissionable fuel salt that is liquid at the operating temperature. Fissionable fuel salts include salts of any nuclide capable of undergoing fission when exposed to low-energy thermal neutrons or high-energy neutrons. Furthermore, for the purposes of this disclosure, fissionable material includes any fissile material, any fertile material or combination of fissile and fertile materials. The size of the reactor core vessel 104 may be selected based on the characteristics and type of the particular fuel salt being used in order to achieve and maintain the fuel in an ongoing state of criticality, during which the heat generated by the ongoing fission events generates heat in the fuel causing the temperature of the molten fuel to rise when it is in the reactor core vessel 104. In the vertically-segmented molten fuel reactor embodiments described in this application, a multi-stage heat exchanger is provided that includes at least one first heat exchanger stage 110 and at least one second heat exchanger stage 112 located above the reactor core vessel 104. The reactor core vessel 104, heat exchanger stages 110, 112, pump 114, molten fuel circulation equipment (including other ancillary components that are not shown such as piping, check valves, shutoff valves, flanges, drain tanks, ducts, flow directing baffles, etc.) and any other components through which the molten fuel salt circulates or contacts during operation can be referred to as the fuel circuit. In the fuel circuit, the hot fuel salt is circulated from the reactor core 102, up through the first heat exchanger stage 110, down through the second heat exchanger stage 112, and cooled fuel salt is returned into the core vessel 104. Fuel salt flow in the reactor core 102 is illustrated by the dashed arrows. For simplicity, only one first heat exchanger stage 110 and only one second heat exchanger stage 112 are illustrated in FIG. 1. Alternative embodiments of the vertically-segmented reactor, as will be discussed in greater detail below, may use any number and/or configuration of heat exchangers for either the first or second heat exchanger stage 110, 112. Furthermore, except were explicitly discussed otherwise, heat exchangers will be generally presented in this disclosure in terms of simple, single pass, shell-and-tube heat exchangers having a set of tubes and with tube sheets at either end. However, it will be understood that, in general, any design of heat exchanger may be used, although some designs may be more suitable than others. For example, in addition to shell-and-tube heat exchangers, plate, plate and shell, printed circuit, and plate fin heat exchangers may be suitable. In addition, although described in terms of having the fuel salt on the tube side and the coolant flowed through the shell side of the exchanger, this could be reversed in an alternative embodiment. For safety, all of the components of the fuel circuit are enclosed in a containment vessel 118. In an embodiment, the containment vessel 118 is a solid lower vessel portion 118A with no penetrations and a top portion 118B through which the reactor core and other components may be accessed. The lower vessel 118A completely surrounds the components of the fuel circuit such that a fuel salt leak from any component will be caught in the bottom of the containment vessel 118. In the embodiment shown, the circulation may be driven using one or more pumps, such as fuel salt pump 114. While fuel salt pumps 114 may be located anywhere in the fuel circuit, in the embodiment shown the pump 114 is located above the heat exchangers 110, 112 to pump fuel salt from the outlet of the first heat exchanger stage 110 to inlet of the second heat exchanger stage 112. In an alternative embodiment, the reactor core 104 and heat exchangers 110, 112 may be configured such that fuel circulation through the fuel circuit is driven by the density differential created by the temperature difference between the higher temperature fuel salt in the core 104 and the lower temperature salt elsewhere in the fuel circuit. This may be referred to as natural circulation. In many fuel salts, higher temperature molten salt is less dense than lower temperature salt. For example, in one fuel salt (71 mol % UCl4-17 mol % UCl3-12 mol % NaCl) for a 300° C. temperature rise (e.g., 627° C. to 927° C.), the fuel salt density was calculated to fall by 18%, from 3680 to 3010 kg/m3. Such a configuration obviates the need for fuel salt pumps 114. However, relying solely on natural circulation may limit the amount of heat that can be removed and thus limits the total power output of the reactor 100. The first and second heat exchanger stages 110, 112 transfer heat from the molten fuel salt to a primary coolant. In an embodiment the primary coolant may be another salt, such as NaCl—MgCl2. Other coolants are also possible including Na, NaK, supercritical CO2, lead, and lead bismuth eutectic. The primary coolant is circulated through a coolant circuit, such as by a pump 116. In an embodiment, the coolant may be maintained at a higher pressure so that any leakage in the fuel circuit will result in coolant entering the fuel circuit rather than fuel entering the coolant circuit. In the embodiment shown, primary coolant is circulated into the containment vessel 118 through the top portion 118B, through the first and second heat exchanger stages 110, 112, back out of the containment vessel 118, again, through the top portion 118B, and to a power generation system 120. The power generation system 120, as is known in the art, may be any type of system adapted to generate power from heated fluids. The performance of the reactor 100 may be improved by using one or more reflectors 108 to reflect neutrons back into the center of the core vessel 104 to assist in maintaining criticality within the reactor core section 102 and/or the breeding of fissile fuels from fertile feed materials. By reducing such losses of neutrons, the amount of fuel salt necessary for criticality, and therefore, the size of the reactor core 102, may be reduced. The reflector 108 may be formed from any material known in the art suitable for neutron reflection. For example, materials with reflective properties may include, but are not limited to, one or more of zirconium, steel, iron, graphite, beryllium, tungsten carbide, lead, lead-bismuth and like materials. The reflector 108 may be a single component or any number of separate elements containing some amount of reflective material. As the efficiency of the reflector 108 is affected by the amount of reflective material in the path of neutrons leaving the core 102, the reflector 108 may be of any design or shape as long as the desired amount of reflective material is provided. However, the efficiency is also affected by the amount of absorbing material, such as structural elements in reflectors 108 used to contain the reflective material, so certain design trade-offs need to be managed when designing and placing reflectors in a reactor 100. The reflector 108 may be outside of the core vessel 104 as shown, within the core vessel 104 (as further described below), or some combination of both. In the reactor embodiment shown, a reflector 108 separates the heat exchangers 110, 112 from the reactor core 104 with flow channels provided for the circulation of salt into and out of the core vessel 104. In a simple configuration, the reflector 108 may be a vessel containing a reflective material, such as lead, in which the reactor vessel 104 is located. In an alternative embodiment, the reflector 108 may include some number of reflector elements, such as tubes or containers filled with reflective material, spaced around the periphery of the reactor core vessel 104. It is noted that at some operating temperatures of the nuclear reactor 100 of the present disclosure a variety of neutron reflecting materials will liquefy. For example, lead and lead-bismuth are both materials that provide good neutron reflecting characteristics. However, lead melts at approximately 327° C., while lead-bismuth alloys commonly have melting temperatures below 200° C. As noted elsewhere in this application, the reactor 100 may operate in a temperature range from approximately 330 to 800° C., above the melting points associated with lead and lead-bismuth alloys. The reflector 108 or separate reflector elements may be formed from any material known in the art and may be selected based on consideration of any one or more design functions including temperature resistance, corrosion resistance, non-reactivity with other components and/or the fuel, radiation resistance, required structural support, weight, etc. In some cases, one or more reflector elements may be formed out of a structural material that holds or contains (in the case of liquid reflective material) a reflective material. The structural material or materials used in a reflector 108 may be substantially neutronically translucent to the extent possible, at least on the side facing the reactor core. For example, a reflector 108 may be formed as a liner or vessel of one or more refractory alloys, nickel alloys, carbides, or graphite compounds. For instance, the material used to form the structural components of a reflector 108 may include, but are not limited to, any one or more components or combinations of one or more molybdenum alloys (e.g., TZM alloy), one or more tungsten alloys, one or more tantalum alloys, one or more niobium alloys, one or more rhenium alloys, one or more nickel alloys, silicon carbide, or graphite compounds, and the like. In an alternative embodiment, a neutron shield (not shown in FIG. 1) may be provided to reduce the neutron exposure of the components outside of the reactor core vessel 104. For example, as discussed in greater detail with reference to FIG. 2, a shield may be provided between the reactor core 104 and the heat exchangers 110, 112 instead of, or in addition to, a reflector 108 between the reactor core 104 and the heat exchangers 110, 112. One effect of the shield is to reduce the neutron flux through the lower tube sheets and, more generally, to reduce the exposure of the components above the shield to neutrons emitted from the core. Depending on the design, a neutron shield may or may not also act as a reflector 108 or a neutron absorber. FIG. 1 generally introduces the main components of the vertically-segmented reactor. Broadly speaking, the balance of this disclosure describes variations and alternative component configurations for the reactor 100. FIG. 2 illustrates a vertical cross-section of an alternative embodiment of a vertically-segmented reactor. In the reactor 200 shown, a cylindrical reactor core 202 is surrounded on the bottom and sides by reflector material 208. The reactor core is separated from the heat exchangers by a shield 203 at the top of the core 202. The shield 203 is provided with flow channels (not shown) through which the fuel salt can travel between the reactor core and the heat exchangers. In an embodiment, the flow channels are angled so that the neutron flux through the flow channels is reduced. For example, in an embodiment, the flow channels are curved, helically shaped or provided with one or more bends. In an alternative embodiment, a flow channel for the fuel salt that also reduces neutron flux through the flow channel may be created by filling the flow channel with a loose, random packing material, such as pellets, tubes, pebbles, saddles, spheres, or rings, of the shield material. In this embodiment, the flow channel essentially acts as a packed bed filter that allows the fuel salt to pass but that intercepts some or all of the neutrons passing through the flow channel. While these configurations increase the resistance to fluid flow, the neutron flux through the channels is reduced by eliminating any straight, unshielded path between the reactor core and the heat exchangers. One possible shield 203 could be a sheet or frame of structural steel upon which a layer of absorber material is connected. Examples of other materials suitable for use in a shield 203 include boron, boron carbide, and some rare earth elements. In the reactor 200 shown, the first and second heat exchanger stages are provided as a single, integrated heat exchanger assembly 210. The integrated heat exchanger assembly 210 includes a plurality of vertically oriented tubes 222A, 222B within a single shell 224 and capped at both ends by a tube sheet 226. In the embodiment shown, fuel salt flows upward through the center tubes 222A of the integrated heat exchanger assembly 210, which perform the function of the first heat exchanger stage. The fuel salt exits the central tubes 222A into a pump plenum 228 located above the integrated heat exchanger assembly 210. Pump impellers 230 located in the plenum 228 circulate the fuel salt into the exterior tubes 222B of the integrated heat exchanger assembly 210 where it flows downward and back into the reactor core. To actively drive the flow through the components of fuel circuit, the reactor 200 may have multiple impellers 230 (as illustrated), a centrally located axial impeller that drives the fuel salt laterally to the periphery of the plenum, or a single, large “waterwheel” impeller 230 that rotates within the plenum about the center axis and drives flow down into the second heat exchanger stage's tubes. Fuel salt flow in the plenum 228 is illustrated by the dashed arrows. Baffles for flow control within the plenum 228 may be provided to assist in routing the coolant. In an alternative embodiment, instead of being an open space, some or all of the plenum 228 may be replaced by pipes, ducts, or channels formed in a solid element that acts as a manifold. For example, FIG. 3 illustrates one possible plenum 228 configuration. In this configuration, the cooled fuel salt is delivered into the reactor core 202 from the exterior tubes 222B at the periphery of the core as shown. Baffles 232 are provided in the core to assist in directing the flow of the fuel salt through the reactor 200. Fuel salt flow in the reactor core is illustrated by the dashed arrows. Baffles 232 may be provided in any form or shape in order to achieve any desired flow profile, assist in mixing the fuel salt, or prevent flow dead spots within the core 202. In the embodiment shown, primary coolant flows into the bottom of the shell 224 of the integrated heat exchanger assembly 210 through a coolant inlet 234 and exits the top of the shell via a coolant outlet 236. However, any number of coolant inlets and outlets 234, 236 at any location around the shell 224 may be used. Baffles for flow control and for separating regions within the shell 224 may be provided to control the exchange of heat between the fuel salt and the coolant. The reactor 200 design is particularly suited for a circular horizontal cross-section. Any other desired horizontal cross-sectional shape may be used, such as ellipsoidal, hexagonal, rectangular, square, octagonal, triangular, etc. Individual non-circular horizontal cross-sections may not be as efficient as circular cross-sections in their production of power relative to the amount of fuel salt required, but may provide other advantages such as when packing multiple, independent reactors 200 together in a single containment vessel. In yet another embodiment (not shown), the integrated heat exchanger assembly 210 may be replaced by heat exchanger tubes that flow through a “pool” of coolant. Primarily this embodiment differs from the integrated heat exchanger assembly 210 embodiment of FIG. 2 in that the outer shell 224 of the heat exchanger defines the bounds of a pool of coolant. Coolant may be injected into the pool and extracted from the pool at any one or more locations in the pool to obtain good heat transfer between the heat exchanger tubes and the coolant. FIGS. 4A-D illustrate examples of some of the many possible horizontal cross-sections A-A of the integrated heat exchanger assembly 210 for the vertically-segmented reactor of FIG. 2. Note that in FIGS. 4A-D, the first heat exchanger stage 210A and the second heat exchanger stage 210B are defined by the flow in the tubes in those portions. Although a dashed line is provided for convenience to illustrate the general horizontal shape, in an embodiment the dashed line may or may not be a physical structure such as a baffle or internal shell. The first heat exchanger stage 210A is that area of the integrated heat exchanger assembly 210 that contains the tubes 222A through which hot fuel salt leaves the reactor core 202. These tubes 222A are illustrated with diagonal cross hatching. The second heat exchanger stage 210B is that portion through which the fuel salt flows through the tubes 222B downward before returning into the reactor core 202. The tubes 222B with downward flow being illustrated with a horizontal striped fill. FIG. 4A illustrates a simple embodiment in which the cross-section of the first heat exchanger stage 210A is circular and the second heat exchanger stage 210B is an annulus centered on the first heat exchanger stage 210A. FIG. 4B illustrates another configuration in which the integrated heat exchanger assembly 210 is annular in shape centered on a central region 250. In this configuration, both the first heat exchanger stage 210A and the second heat exchanger stage 210B are annular in shape centered around the central region 250. In one embodiment, the central region 250 is not part of the heat exchanger 210. In this embodiment the central region 250 may be used to provide access to the reactor core 202 for instruments, control rods, and other ancillary equipment (not shown). In an alternative embodiment, some or the entire central region may be used for flowing primary coolant into or out of the first heat exchanger stage 210A. FIG. 4C is an embodiment in which the integrated heat exchanger assembly 210 is square in cross-section, but the first heat exchanger stage is circular. This illustrates that the first heat exchanger stage 210A and the second heat exchanger stage 210B need not be the same in cross-sectional shape, nor do they need to be centered or nested even though those embodiments are more commonly illustrated herein. FIG. 4D illustrates yet another embodiment in which the integrated heat exchanger assembly 210 is circular in cross-section but separated into two semi-circular halves: one for the first heat exchanger stage 210A and one for the second heat exchanger stage 210B. In this embodiment, the lower tube sheet 226 and/or the shield may act as a manifold directing hot fuel salt into the first heat exchanger stage 210A from the center of the reactor core 202 and returning cooled fuel salt to the reactor core 202 from the downcomer tubes 222B at the periphery of the core 202 as shown in FIG. 2. FIGS. 5A-D illustrate an alternative embodiment of a vertically-segmented reactor 500 utilizing a first heat exchanger stage 510 and eight, independent second heat exchanger stages 512. In the embodiment shown, the vertically-segmented molten fuel reactor 500 includes a reactor core vessel 504 defining a reactor core 502 of a fissionable fuel salt that is liquid at the operating temperature within a containment vessel 518A. The reactor core 502 is capped with a shield 503 and otherwise surrounded by reflector material 508. A lone first heat exchanger stage 510 is provided. In the embodiment shown, the first heat exchanger stage 510 is a single pass, circular, shell-and-tube heat exchanger located above the central region of the reactor core 502. In the embodiment shown, the tubes of the first heat exchanger stage 510 penetrate the shield 503 to allow flow from the core 502. Eight, independent second heat exchanger stages 512 are provided in a ring around the first heat exchanger stage 510. In the embodiment shown, each second heat exchanger stage 512 is a single pass, circular, shell-and-tube heat exchanger located above the central region of the reactor core 502. At the top of the first heat exchanger stage 510 is a manifold 511 that distributes the fuel salt to each of eight, independent second heat exchanger stages 512. Eight U-shaped pipes 548 connect the manifold 511 to a pump plenum 528 above each of the eight second heat exchanger stages 512. An expansion tank 552 is located above the manifold 511 that protects the fuel circuit from over pressure conditions due the expansion of the fuel salt during operation. An impeller 530 is located in each of the pump plenums 528, each impeller 530 is provided with a shaft 531 that extends upwards that is driven by a motor or other equipment (not shown) above the level of the pump plenums 528. Such motors may be within the reactor 500, for example located near the top of the containment vessel cap 518B, or external to the reactor 500 with the shafts penetrating the containment vessel cap 518B. Coolant flow is similar to that described with reference to FIG. 1. Cold coolant enters each of the second heat exchanger stages 512 at a cold coolant inlet 534 via a cold coolant delivery pipe 535 which comes in from the top of the containment vessel 518. The coolant is routed via interior baffles 538 through the second heat exchanger stages 512 to a second heat exchanger stages coolant outlet 536, which delivers the coolant directly to one of the eight first heat exchanger stage's coolant inlets 540. In the embodiment shown, coolant flows through the first heat exchanger stage 510, again routed by baffles to improve heat transfer, and exits the first heat exchanger stage 510 via one of the eight first heat exchanger stage coolant outlets 542, to be removed from the reactor 500 via hot coolant removal pipes 544. Flow of fuel salt through the core 502 is again illustrated by the dashed arrows. Cooled fuel salt is returned at the periphery of the reactor core 502 and directed by a baffle 532 and also by a roughly conically-shaped contour 546 provided in the base of the reactor vessel 504. FIG. 5B is a perspective view of a cross-section of the reactor 500 showing more detail regarding the first and second heat exchanger stages 510 and 512 and their interconnections. In particular, FIG. 5B shows more detail regarding the expansion tank 552 connected to the manifold above the first heat exchanger stage 510. FIG. 5C is a plan view looking down on the reactor 500 with the containment vessel cap 518B removed. FIG. 5D is a horizontal cross-section along section A-A′ of FIG. 5A showing further details of the upper tube sheets 526 of the heat exchangers (the upper tube sheets 526 of the second heat exchanger stages are partially obscured by the blades of the impellers 530) and locations of the impellers 530 and coolant piping 535, 544. FIGS. 6A-D illustrate yet another embodiment of the vertically-segmented reactor. In the reactor 600 shown, four first heat exchanger stages 610 are provided above the central region of the reactor core 602. Each first heat exchanger stage 610 is connected to and forms a coolant circuit with one of the four second heat exchanger stages 612 and is connected to and delivers fuel salt to a different one of the four second heat exchanger stages 612. In other aspects, the reactor 600 is similar to that described with reference to FIG. 5. FIG. 6A is a vertical cross-section of the reactor 600 taken along the section B-B′ identified in FIG. 6B and illustrates the fuel connections between first and second heat exchanger stages 610, 612. The cross-section is cut through one pair of first and second heat exchanger stages 610, 612 that are in the same fuel circuit to illustrate the connections and components in the fuel circuit. With regards to the fuel circuit, each first heat exchanger stage 610 is connected to the inlet of a second heat exchanger stage via a U-shaped pipe 611 containing an impeller 630. Again, flow of fuel salt through the fuel circuit is illustrated with dashed arrows. FIG. 6B is a horizontal cross-section along section A-A′ of FIG. 6A showing how the four primary and four second heat exchanger stages 610, 612 are arranged above the reactor core and illustrate the connections and components in the coolant circuit. FIG. 6B shows details regarding the locations of the coolant inlets and outlets. In particular, in the embodiment shown cold coolant is delivered to a coolant inlet 634 of each second heat exchanger stage 612. The coolant outlet 636 of the second heat exchanger stage is connected to a coolant inlet 640 of its associated first heat exchanger stage 610. In the embodiment shown, coolant is removed from each first heat exchanger stage 610 via a first heat exchanger stage coolant outlet 642. In an alternative embodiment, fuel salt may distributed by a manifold to multiple second heat exchanger stages 612, which allows a vertically-segmented reactor to have a different number of first heat exchanger stages 610 than second heat exchanger stages 612. FIG. 6C is a perspective view of the components located above the shield further illustrating the arrangement of the four sets of first and second heat exchanger stages. In the embodiment shown, each heat exchanger is the same size which improves the ease of repair and servicing. FIG. 6D is a partially transparent plan view of the vertically-segmented reactor that shows the locations of the first heat exchanger stages 610 and second heat exchanger stages 612 relative to the baffle 632 and the side wall of the reactor core 602. FIG. 7 is a simplified flow diagram of the cooling process as performed by the vertically-segmented reactor. The method starts with a setup operation 702. The setup operation 702 may or may not include building an embodiment of a vertically-segmented reactor, as described above, but does include providing at least a reactor core containing molten nuclear fuel. As described above, the neutronics of the reactor core cause a nuclear reaction in the nuclear fuel that causes the nuclear fuel to increase in temperature. By the nature of the reaction, the temperature will not be evenly distributed throughout the core with the nuclear fuel in the center of reactor core becoming hotter than the fuel at the bottom or periphery of the reactor core. The high temperature nuclear fuel is then displaced from the reactor core by delivering lower temperature nuclear fuel to the reactor core in a displacement operation 704. In an embodiment, the temperature difference between the high temperature nuclear fuel and the lower temperature nuclear fuel is from 100 to 1000° C., depending on the fuel salt. The greater the temperature difference, the better from a heat transfer perspective. However, certain fuel salts may be very corrosive or otherwise require very expensive equipment to handle at high or very high temperatures. Additionally, the lower temperature is limited by the melting point of the type of nuclear fuel chosen. For example, in one embodiment, the nuclear fuel is 71 mol % UCl4-17 mol % UCl3-12 mol % NaCl and the temperature difference is from 200 to 400° C., with a temperature difference of 250-350° C. being desired for a particular reactor configuration. In an embodiment, the displacement operation 704 is an ongoing operation that maintains a continuous flow of nuclear fuel around the fuel circuit of a vertically-segmented reactor described above. However, during initial reactor start up the displacement operation 704 may include initiating the circulation. In an embodiment in which the fuel circuit is first filled with nuclear fuel, the circulation may be self-initiating by the creation of a natural circulation cell as a result of the temperature in the reactor core increasing relative to the nuclear fuel in the rest of the fuel circuit, upon removal of control rods, for example. As mentioned above, higher temperature molten nuclear fuel is less dense than low temperature nuclear fuel. This density difference creates a buoyancy force that naturally drives the higher temperature nuclear fuel upward at the center of the reactor core and into the first heat exchanger stage, thus initiating natural circulation. In an alternative embodiment, the displacement may be actively initiated through the use of one or more impellers as provided in some of the reactor embodiments prior to removal of the control rods. In this embodiment, upon establishment of circulation in the fuel circuit and criticality in the reactor core, the impellers may be disengaged, stopped, or allowed to freewheel in favor of allowing natural circulation within the fuel circuit. The method 700 further includes a cooling operation 706 in which coolant is routed through the coolant circuit of the heat exchangers to remove heat from the displaced nuclear fuel. The term ‘routed’ is used as the flow of coolant may be either actively maintained via pumping or passively maintained via natural circulation. In an embodiment, while in steady state operation the flow of coolant through the coolant circuit may also be driven primarily or completely by natural circulation due to the heating of the coolant as it passes through the coolant circuit. While FIG. 7 illustrates the cooling method 700 during steady state operation, depending on the embodiment, the cooling operation 706 may be initiated before, concurrently or after initiation of the displacement operation 704. In an embodiment, the temperature of the coolant delivered to the heat exchangers is at or below that of the low temperature nuclear fuel. In an embodiment, coolant is routed in a coolant circuit first through the second heat exchanger stage(s) and then through the first heat exchanger stage(s) as described above. Alternatively, each heat exchanger may be a separate independent coolant circuit. Regardless of the coolant circuit configuration, as part of the cooling operation 706 the temperature of the coolant delivered to the coolant circuit may be actively controlled to achieve a target operational parameter. For example, the temperature of the coolant delivered to the reactor could be controlled to maintain a target steady state reactor core temperature, a target heat removal rate, a target temperature for the low temperature nuclear fuel, and/or based on any other operational parameter of the reactor. The method 700 may further include neutronically shielding the first and second heat exchangers from neutrons generated in the reactor core. As described above this may be achieved passively by providing a neutron shield between the reactor core and the heat exchangers. FIG. 8 illustrates an embodiment of a reflector configuration that may be used in a vertically-segmented reactor similar to that of FIG. 1. In the embodiment shown, individual reflectors in the form of structural tubes 808 filled with reflector material, which may be solid or liquid at operating temperatures, are provided. The reflector tubes 808 may be located within the lower vessel portion 818A of the containment vessel but outside of the reactor core vessel 804 (as illustrated) or inside the reactor core vessel (e.g., in contact with the nuclear fuel) or both. For example, in the embodiment illustrated the reflector tubes 808 are provided in two concentric rings around the sides of the reactor core vessel 804. A shield 803 is provided to protect the heat exchangers 810, 812, pump 814 and other components above the core 802 within the containment vessel 818 from neutron damage. An additional reflector 890, which may or may not be in the form of a tube, may also be provided below the reactor core 802 as shown. The number and arrangement of the reflector tubes 808 are selected to provide the desired amount of reflection back into the core of neutrons that would otherwise be lost to the core 802. In an embodiment, the tubes 808 may be collected and formed into a tube bundle. In an alternative embodiment, each tube may be independent and unconnected to the other tubes in the reactor, allowing individual tubes to be replaced easily. One or more of the tubes 808 may be movable in order to dynamically alter the neutron flux in the core 802. In the embodiment shown, the reflector tubes are provided with a connecting rod 809 that allows the tubes to be raised and lowered from above. The connecting rods 809 also allow the reflecting tubes 808 to be easily inspected and replaced, if necessary, by lifting them out of the top portion 818B of the containment vessel 818. The capability to remove a reflector tube from the reactor further allows flexibility in operation as a removed reflector tube may be replaced with a control rod or an instrument for obtaining information from the reactor core 802. In an alternative embodiment, one or more of the tubes in the reflector tube bundle may be dedicated to use as an instrument-containing tube or control rod. The reflector tubes 808 in this configuration may be held within a structural framework (not shown) to maintain proper alignment or may simply be hanging from the connecting rods. A structural framework could be a block of solid material provided with passages for reflector tubes or could be an open, lattice structure. FIG. 9 illustrates an embodiment of yet another reactor that uses reflector tubes within the reactor core vessel. This configuration has the benefit of reducing neutron damage to the reactor core vessel 904 by reflecting at least some neutrons before they reach the vessel 904. Otherwise, this embodiment is similar to that of FIG. 8 in that the reflector tubes 908 are provided with a connecting rod 909 that allows the tubes to be raised and lowered from above. In the embodiment shown, space is provided around the reflector tubes to allow fuel salt to circulate between the tubes 908. It may be desirable to maintain the reflector tubes 908 at a lower temperature and flow of the low temperature fuel salt entering the reactor core from the second heat exchanger stage may be partially or completely directed through or around the reflector tubes 908 to maintain them at a lower temperature than that of the central core during operation. Again, a framework (not shown) may be provided to hold the tubes 908 in position and may also have flow channels for directing the low temperature fuel salt flow around the tubes. FIG. 10 illustrates yet another embodiment of a framework and configuration of reflector tubes in a vertically-segmented reactor that prevents stagnation of the fuel salt within the reflector tubes and provides more active cooling of the reflector tubes by directing the flow of the cold fuel salt exiting the second heat exchanger stages through the reflector tube bundle before it enters the center of the reactor core. In the reactor 1000, fuel salt from the reactor core 1002 flows upward through a first heat exchanger stage 1010 and then is routed to peripheral second heat exchanger stages 1012 where the fuel flows downward back into the reactor vessel 1004. As with FIG. 9, the reactor 1000 includes reflector tubes 1008 at the periphery of the reactor core 1002 within the reactor vessel 1004. However, the reactor 1000 is provided with one or more baffles 1032 that direct the flow of the cooled fuel salt past the reflector tubes before the fuel salt flows into the central region of the reactor core 1002. In the embodiment shown, the baffles 1032 form a solid wall between the reflector tubes 1008 and the central region of the reactor core 1002, with the exception of a perforated zone 1033 near the bottom of the vessel 1004. This directs the flow of the cold fuel salt as illustrated by the dashed flow arrows and ensures that the reflector tubes 1008 remain at a temperature close to that of the cold fuel salt exiting the second heat exchanger stages 1012. While other configurations are also possible including FIG. 16, FIG. 10 illustrates that the cooled fuel salt can be used to actively cool the reflector and, indeed, any components located in the reactor core 1002 or near a surface of the reactor vessel. For example, in yet another embodiment, the baffle 1032 could be in a U shape that further forces the cooled fuel salt to flow along the bottom of the reactor vessel 1004 before entering the central region of the reactor core 1002 through a perforated zone at the center of the U. This configuration would keep the lateral reflector tubes as well as the bottom reflector 1090 cool during operation. FIG. 16 illustrates an alternative embodiment of a vertically-segmented reactor that provides for a more active cooling of the reflector tubes, in this case by diverting a portion of the flow of the cold fuel salt exiting the second heat exchanger stages through the reflector tube bundle before it enters the center of the reactor core 1602 while the balance of the flow is directed into the reactor core. In the reactor 1600, fuel salt from the reactor core 1602 flows upward through a first heat exchanger stage 1610 and then is routed to peripheral second heat exchanger stages 1612 where the fuel flows downward back into the reactor vessel 1604. As with FIGS. 9 and 10, the reactor 1600 includes reflector tubes 1608 at the periphery of the reactor core 1602 within the reactor vessel 1604. Flow from the second heat exchanger stages 1612 is split, for example as it passes through the shield 1603 as shown, so that a portion of the flow is directed to the reflector tubes 1608 and the balance flows into the center of the reactor core 1602. As in FIG. 10, the reactor 1600 is provided with one or more baffles 1632 that directs the flow of cooled fuel salt past the reflector tubes before that portion of the fuel salt flows into the central region of the reactor core 1602. In the embodiment shown, the baffles 1632 form a solid wall between the reflector tubes 1608 and the central region of the reactor core 1602, with the exception of a perforated zone 1633 near the bottom of the vessel 1604. This configuration directs the flow of the cold fuel salt as illustrated by the dashed flow arrows. In an embodiment, the amount of flow split between the reflector tubes 1608 and that directly entering the central region of the reactor core 1602 is controlled by the design of the shield. In an embodiment, 10-30%, e.g., 20%, of the flow may be diverted past the reflector tubes with the balance delivered to the central region of the reactor core 1602. In an alternative embodiment, the flow diversion may be adjustable and actively controlled by moveable valves, baffles, or other flow diversion equipment provided in the fuel circuit, such as in the shield 1603 or at the bottom of the second heat exchanger stage 1612. FIG. 16 also illustrates the use of a downcomer 1688, or dip tube, to deliver the cooled fuel salt into the bottom of the reactor core 1602 as shown. This may assist with creating a strong natural circulation cell in the fuel circuit and may also prevent hot spots or areas of low circulation from being created in the reactor core 1602. FIG. 11 illustrates an embodiment of a framework and configuration of reflector tubes in a vertically-segmented reactor. FIG. 11 illustrates a vertical cross-section of a portion of the reactor core vessel sidewall 1104, the reactor core vessel floor 1105, and a shield 1103. Section lines A-A′, B-B′, C-C′ and D-D′ are indicated on the cross-section and, right of the section lines, a horizontal cross-section is shown associated with each section line to indicate the relative arrangement of the reflector tubes at that point in the reactor. In the embodiment shown, some reflector tubes 1108A are contained completely within the reactor core vessel sidewall 1104. Other reflector tubes 1108B are exposed to the fuel salt in the reactor core 1102. In the embodiment shown, the reflector tubes 1108A, 1108B also penetrate at least some distance into the reactor core vessel floor 1105. A ramp for directing fuel salt flow is provided as shown at section C-C′. Reflector tubes of FIGS. 8-11 may be of any cross-sectional shape, such as for example circular (i.e., cylindrical tubes), hexagonal, octagonal, ellipsoidal, etc. In addition reflector tubes may have different external and internal profiles, such as for example a hexagonal exterior cross-section with a circular interior space for the reflector material. Tubes may be contoured for strength, for directing flow of fuel salt around the exterior or for positive engagement with a framework. A Direct Reactor Auxiliary Cooling System (DRACS) independent of the power generating heat exchanger circuit is often used to enhance the safety of the reactor. The embodiments of the vertically-segmented reactor described above could be easily adapted to include additional DRACS heat exchangers in the fuel circuit, such as above the first and second heat exchanger stages. This addition would not require increasing the size of the reactor vessel or reactor core and, thus, the vertically-segmented reactor is well-suited for use with DRACS. FIGS. 12-14 illustrate how several of the reactor embodiments described above could be adapted to use a DRACS. As an independent heat exchanger circuit, one or more DRACS heat exchangers 1202 could be located anywhere in the fuel circuit of a vertically-segmented reactor. FIG. 12 illustrates a reactor 1200 similar to that illustrated in FIG. 1, in which the DRACS heat exchanger is located at the top of the second heat exchanger stage 112. A separate DRACS cooler 1204 and coolant circuit is provided that brings coolant into the DRACS heat exchanger 1102 and returns it to the cooler 1204. Alternative embodiments include locating the DRACS heat exchanger 1202 at the bottom of the second heat exchanger stage 112, above the first heat exchanger stage 110, between the first and second heat exchanger stages, or below the first heat exchanger stage 110. However, it may be beneficial in creating strong natural circulation to locate the DRACS heat exchanger as far above the center of the reactor core 102 as possible. FIG. 13 illustrates how a DRACS could be integrated into a vertically-segmented reactor as shown in FIG. 2. In this embodiment, a DRACS heat exchanger 1302 is placed between the integrated heat exchanger assembly 210 and the pump plenum 228 of the reactor 1300. In an alternative embodiment, the DRACS heat exchanger could be between the bottom of the integrated heat exchanger assembly 210 and the plenum 228. In yet another embodiment the DRACS heat exchanger 1302 could be integrated into the integrated heat exchanger assembly 210 by using the same heat exchanger tubes 222A, 222B of the integrated heat exchanger, but isolating that portion in the shell so that an independent DRACS coolant in used in that portion. FIG. 14 illustrates how a DRACS could be integrated into a vertically-segmented reactor as shown in FIGS. 5A-D. In this embodiment, a DRACS heat exchanger 1402 is placed in each of four of the U-shaped pipes 548 in the reactor 1400. In an alternative embodiment, fewer or more of the U-shaped connecting pipes may be provided with a DRACS heat exchanger 1402 to achieve the desired amount of cooling. FIGS. 12-14 illustrate the flexibility of the vertically-segmented reactor for locating DRACS heat exchangers in the fuel circuit. FIG. 15 illustrates how a vertically-segmented reactor may be adapted for use with a coolant pool. In the reactor 1500 shown, the containment vessel 1518 is filled with coolant to form a pool within which the reflector 1508, reactor vessel 1504, first heat exchanger stage 1510 and second heat exchanger stage 1512 are submerged. In the pool embodiment, the first heat exchanger stage 1510 and second heat exchanger stage 1512, instead of being shell-and-tube heat exchangers, take the form of tube bundles, essentially a shell-and-tube exchanger with the shell removed. Thus, the coolant is free to flow between the tubes of the first heat exchanger stage 1510 and second heat exchanger stage 1512 to provide cooling to the fuel salt within the tubes. Fuel salt may be actively flowed using a pump 1514 that may or may not be below the level of the coolant in the pool, or the flow may be driven by natural circulation. Likewise, the coolant may be actively circulated within the pool, such as by driving the coolant flow from the second heat exchanger stage 1512 toward the tubes of the first heat exchanger stage 1510 before coolant is removed. Coolant flow may be directed by the injection point of the coolant, baffles, and/or impellers located at points within the pool to obtain the desired flow profile around the submerged components. This configuration allows the coolant to further provide cooling to the reflector 1508 at the sides and the bottom of the reactor vessel 1504. Notwithstanding the appended claims, the disclosure is also defined by the following clauses: 1. A molten fuel nuclear reactor comprising: a reactor vessel defining a reactor core containing nuclear fuel; a first heat exchanger above the reactor core that receives high temperature nuclear fuel from the reactor core; a second heat exchanger above the reactor core that receives nuclear fuel from the first heat exchanger and delivers lower temperature nuclear fuel to the reactor core; and a containment vessel surrounding the reactor vessel, the first heat exchanger, and the second heat exchanger. 2. The molten fuel nuclear reactor of clause 1 further comprising: one or more impellers within the containment vessel that drive the flow of fuel through the reactor vessel, the first heat exchanger, and the second heat exchanger. 3. The molten fuel nuclear reactor of clause 1 or 2 further comprising: a neutron shield separating the reactor core from the first and second heat exchangers. 4. The molten fuel nuclear reactor of any of the above clauses further comprising: a reflector assembly surrounding at least a portion of the reactor vessel. 5. The molten fuel nuclear reactor of any of the above clauses further comprising: a reflector assembly within the reactor vessel located within the nuclear fuel at a periphery of the reactor core. 6. The molten fuel nuclear reactor of any of the above clauses further comprising: one or more baffles affecting nuclear fuel flow in at least one of the reactor core, the first heat exchanger, and the second heat exchanger. 7. The molten fuel nuclear reactor of any of the above clauses further comprising: a plenum between a nuclear fuel outlet of the first heat exchanger and a nuclear fuel inlet of the second heat exchanger. 8. The molten fuel nuclear reactor of any of the above clauses, wherein during operation natural circulation drives the flow of nuclear fuel through the reactor vessel, the first heat exchanger, and the second heat exchanger, the natural circulation created by a temperature difference between high temperature fuel in the reactor core and the lower temperature fuel exiting the second heat exchanger. 9. The molten fuel nuclear reactor of any of the above clauses, wherein the nuclear fuel in the reactor core is a salt of chloride, bromide, and/or fluoride. 10. The molten fuel nuclear reactor of any of the above clauses, wherein the nuclear fuel contains one or more of uranium, plutonium, or thorium. 11. The molten fuel nuclear reactor of any of the above clauses, wherein the first heat exchanger and the second heat exchanger are contained within a single shell. 12. The molten fuel nuclear reactor of any of the above clauses wherein the first heat exchanger is a single, shell-and-tube heat exchanger. 13. The molten fuel nuclear reactor of any of the above clauses, wherein one or both of the first heat exchanger and the second heat exchanger includes one or more individual, shell-and-tube heat exchangers. 14. A method for removing heat from a molten fuel nuclear reactor having a reactor core containing high temperature liquid nuclear fuel, the method comprising: delivering low temperature nuclear fuel into the reactor core, thereby displacing some high temperature nuclear fuel from the reactor core upward through a first heat exchanger and downward through a second heat exchanger; and routing coolant through the first and second heat exchangers, thereby transferring heat from the high temperature nuclear fuel to the coolant and converting the displaced high temperature nuclear fuel into the low temperature nuclear fuel. 15. The method of clause 14, wherein delivering the low temperature nuclear fuel into the reactor core includes passing the low temperature nuclear fuel from the second heat exchanger into the reactor core. 16. The method of clause 14 or 15, wherein delivering the low temperature nuclear fuel includes operating at least one impeller to drive flow of the nuclear fuel through the first and second heat exchangers. 17. The method of any of clauses 14-16 further comprising: neutronically shielding the first and second heat exchangers from neutrons generated in the reactor core. 18. The method of any of clauses 14-17, wherein routing the coolant includes delivering coolant at a temperature less than that of the high temperature nuclear fuel to the second heat exchanger. 19. The method of any of clauses 14-18, wherein routing coolant includes pumping coolant first through the second heat exchanger and then through the first heat exchanger. 20. The method of any of clauses 14-19, wherein the first and second heat exchangers are vertically-oriented shell-and-tube heat exchangers located above the reactor core. Notwithstanding that the numerical ranges and parameters setting forth the broad scope of the technology are approximations, the numerical values set forth in the specific examples are reported as precisely as possible. Any numerical values, however, inherently contain certain errors necessarily resulting from the standard deviation found in their respective testing measurements. It will be clear that the systems and methods described herein are well adapted to attain the ends and advantages mentioned as well as those inherent therein. Those skilled in the art will recognize that the methods and systems within this specification may be implemented in many manners and as such are not to be limited by the foregoing exemplified embodiments and examples. In this regard, any number of the features of the different embodiments described herein may be combined into one single embodiment and alternate embodiments having fewer than or more than all of the features herein described are possible. While various embodiments have been described for purposes of this disclosure, various changes and modifications may be made which are well within the scope contemplated by the present disclosure. For example, in any of the embodiments shown, the positions of the first heat exchanger stages and second heat exchanger stages may be reversed so that cooled fuel salt enters the reactor directly above the center of reactor core and hot fuel salt is removed at the periphery of the reactor core. Such a modification only requires reversing the direction of flow in the fuel circuit. As another example, when adapted for use in thermal reactors the embodiments of the vertically-segmented reactor may include one or more moderators to thermalize the neutrons in the reactor core. Such moderators may be located in the reactor core and may be components made with or including graphite, water, beryllium, or beryllium oxide. Numerous other changes may be made which will readily suggest themselves to those skilled in the art and which are encompassed in the spirit of the disclosure. |
|
056087675 | summary | FIELD OF THE INVENTION This invention generally relates to stand-alone means for generating low-power direct current or DC voltage. BACKGROUND OF THE INVENTION FIG. 1 shows an apparatus, disclosed in U.S. patent application Ser. No. 08/384,997, for electrically suppressing the electrochemical potential (ECP) near a BWR component which is susceptible to intergranular stress corrosion cracking (IGSCC). The apparatus is a self-contained means of locally protecting critical portions of metals, such as welds, by suppressing ECP in the immediate vicinity of that portion of the metal requiring protection in operating BWR plants. The apparatus shown in FIG. 1 is based on the concept of supplying electrons directly and locally to the surface of a sensitized metallic structural member 2, as in the case of the heat affected zone 6 of a weld 4, thereby inhibiting IGSCC. The electrical system depicted in FIG. 1 is capable of supplying sufficient electrons to the metal surface to inhibit the corrosion reaction due to local ECP exceeding the threshold value at which IGSCC can occur. In the circuit of FIG. 1, the center electrical conductor of a small mineral-insulated steel sheathed cable 16 is attached to the metal surface to be protected against IGSCC and connected to an electrical control circuit 10 that operates off the low-voltage DC power supply 20. The control circuit 10 and DC power supply 20 are enclosed in a housing 8 made of material able to withstand thermal and radiological conditions inside a boiling water reactor, but outside the reactor core. The passive conductor of a twisted-shielded pair of cable conductors is connected to a reference electrode 18 located in the oxidizing coolant near the metal surface and to a terminal of the control circuit. The current collected at the metal surface is controlled by the applied voltage on the load resistor R via an electrical conductor connected to the surface of the metal to be protected and to another terminal of the control circuit. This current I is converted to a voltage drop across R, which is input to a differential amplifier 12 of gain G. The differential amplifier output is the effective voltage "error signal", which is integrated by the operational amplifier 14 with time constant .tau.=R.sub.1 C. The small stand-off resistor R.sub.2 depletes excess charge build-up on the feedback capacitor C to eliminate any possibility of integrator malfunction. The collected current is dissipated in the load resistor R. Electron depletion of the metal and IGSCC are defeated since electrons are forced to flow into the metal to compensate for those that would be lost by oxidation of the metal. The apparatus shown in FIG. 1 has a power supply 20 which requires no external power source, but rather is energized by electrons (also referred to herein as .beta.-particles) produced during nuclear decay. The source of electrons was the .beta.-decay of a radioactive isotope, depicted in FIG. 1 as a current source 22. .beta.-decay is a common decay mode of many nuclear isotopes whereby the nucleus spontaneously converts a neutron to an energetic electron (.beta.-particle) ejected from the nucleus, a proton retained in the nucleus and an anti-neutrino. .beta.-decay is a manifestation of the so-called weak force in the nucleus, which obeys all the classical conservation laws, except parity in a small percentage of decay events. It is known, both theoretically and experimentally, that the emitted electron cannot exist in the nucleus prior to emission. It is created, in every respect exactly like any electron, during the decay process, in which the original nucleus is converted to a new element with the same mass number (A), but with one additional proton (Z.fwdarw.Z+1). .beta.-particles (or rays) can carry substantial kinetic energy when emitted. The energy distribution (spectrum) of these particles is continuous end displays a maximum energy, above which no particles exist. Their absorption in materials is known to vary inversely as a power law in the maximum energy (E.sub.max) and the spectrum is unchanged by absorption. In particular, the measurable activity of a .beta.-emitter is limited by self-absorption in the source itself, a factor that must be considered when designing devices employing .beta.-radiation. Typically .beta.-particles can be stopped by a millimeter thickness of most materials. Therefore, .beta.-sources are inherently weak sources of radiation dosage. Alternative modes of decay usually exist for many .beta.-emitters, such as electron capture, internal conversion, isomeric transition (.gamma.-emission), and positron emission. These competing modes do not produce useful electrons, so only the fraction of decay events that produce .beta.-rays are of interest in the current context. Self-absorption also limits useful decay events to those that have a substantial maximum .beta.-decay energy E.sub.max of the order of 1 MeV or greater. Therefore, all .beta.-emitters are not viable candidates for use in a .beta.-battery. In fact, it is not obvious that any isotope(s) exist with the requisite properties and lifetime. SUMMARY OF THE INVENTION The invention is a device for generating direct current by neutron activation of a plurality of series-connected beta-emitter (nuclear decay electron) cells, located in the out-of-core region of a light water nuclear reactor. The device can be used as either a current source, or preferably configured as a DC voltage source, capable of powering low-power, radiation-hardened, high-temperature integrated circuitry contained in the reactor vessel. As such, the device acts like a DC battery that is activated by (n, .gamma.) reactions, both thermal and epithermal (by resonance capture). The device is not operable until exposed to a substantial neutron flux, so it has unlimited shelf-life and is not radioactive during manufacture. In the preferred embodiment, a stable, i.e., nonradioactive isotope of the metallic rare-earth element dysprosium is configured in a "sandwich" geometry to generate sufficient current, when activated that a useful steady voltage can be generated by means of a simple voltage regulation circuit. This nuclear battery has a finite life, which depends on the flux levels it resides in and the current it is required to provide. Typically, the useful lifetime is several years for current output greater than a milli-amp. Other potential candidates for use in a .beta.-battery activated by neutron absorption are Sn.sup.122, Cs.sup.133, Tm.sup.169, Lu.sup.176, Ir.sup.191, Ir.sup.193 and In.sup.113. The low-power, DC current source of the present invention provides means for generating electrical current directly from a neutron-activated isomeric decay chain, without intervening conversion equipment. It utilizes a DC circuit with radiation-hardened components to convert current to voltage, when required by the application, without the need for external power supplied to a nuclear reactor vessel. The invention further provides means for supplying constant voltage, through the application of a radiation-hardened voltage regulation circuit, to stand-alone electronic circuitry, operable inside nuclear reactor vessels and/or piping. The invention utilizes a specific nuclear isotope, not commonly applied in the generation of nuclear power and chosen for its nuclear and physical properties, to generate nuclear electrical current from the .beta.-decay of (n, .gamma.) reaction products. This facilitates the generation of low-power DC current or voltage inside the nuclear primary containment without costly electrical penetrations. The choice of the little known Dy.sup.164 isotope allows for a sustained operating life in situ and a virtually unlimited shelf-life prior to insertion into the reactor. Battery life is extended when reactor shutdowns or power reductions occur, and ultimate end-of-life can be determined by proper design. |
description | This application claims the priority of Japanese application nos. JP 2004-006198, filed Jan. 14, 2004, and JP 2004-215095 filed Jul. 23, 2004, the disclosures of which are expressly incorporated by reference herein. 1. Field of the Invention The present invention relates to a nuclear power plant and an operation method thereof, and particularly, to augmenting a power generation capacity. 2. Description of the Related Art In a conventional newly-constructed nuclear power plant, a power output is augmented by, for example, improving either of a composition or a shape configuration of a fuel assembly, or the like, and by increasing a main steam flow rate at an outlet of a reactor. A technology of such a conventional example is disclosed in Japanese Patent Laid-Open Publication Hei. 9-264983. When applying the conventional technology described above to an existing nuclear power plant, the main steam flow rate increases substantially proportional to an increase of the power output. In order to suppress an increase of the main steam flow rate, a feedwater temperature may be lowered; however, if an extraction steam for heating the feedwater is simply decreased, thermal efficiency is extensively deteriorated and the power output hardly increases. This is not realistic option. Further, the increase of the main steam flow rate decreases a design margin of pressure vessel internals such as feedwater piping, a feedwater heater, a feedwater pump, and a steam dryer, and almost all power plant components, such as a main steam pipe, a high pressure turbine, a low pressure turbine, and a condenser. In a power plant using a normal boiling water reactor, the high pressure turbine is one of the components most likely to be the first to lose its design margin due to the increase of the main steam flow rate. Also in a nuclear power plant system other than a boiling water reactor, there is a similar problem with respect to a plant having a comparatively small design margin of the high pressure turbine, such that when applying a conventional technology to augment power output to an existing nuclear power plant, large scale improvement and change of the plant instruments is required. Consequently, there is a need for a nuclear power plant and operation method thereof that enable a power uprate of the plant without extensively changing a configuration of the plant, including its instruments. A first embodiment of the invention to solve the above problem is, after an operation cycle (i.e., a period from an activation of a nuclear power plant to an operation stop thereof for changing fuel), to augment a second reactor thermal power output in a second operation cycle to a level larger than a first reactor thermal power output in the previous operation cycle by decreasing a ratio of extraction steam which is led to a feedwater heater from a steam loop in the second operation cycle. A second embodiment of the invention to solve the above problem is, after an operation cycle, to augment a second reactor thermal power output in a second operation cycle to a level larger than a first reactor thermal power output in a previous operation cycle by decreasing a ratio of extraction steam which is led to a feedwater heater specifically from a middle area and an outlet of a high pressure turbine (the outlet steam extraction actually may be taken anywhere between the outlet of the high pressure turbine to any one of the inlets of a moisture separator, a moisture separator and heater, and a moisture separator and reheater). In addition, a third embodiment of the invention to solve the above problem is to augment a second reactor thermal power output in a second operation cycle of a reactor to a level larger than a first reactor thermal power output in a previous operation cycle by decreasing a mass flow rate of extraction steam led to a feedwater heater specifically from a middle area and outlet of a high pressure turbine out of extraction steam. In addition, a fourth embodiment of the invention to solve the above problem is to augment a second reactor thermal power output in a second operation cycle of a reactor to a level larger than a first reactor thermal power output in a previous operation cycle by decreasing a temperature rise amount at a high pressure feedwater heater placed downstream of a main feedwater pump. In addition, a fifth embodiment of the invention to solve the above problem is to augment a second reactor thermal power output in a second operation cycle of a reactor to a level larger than a first reactor thermal power output in a first operation cycle by stopping at least not less than one loop of an extraction steam pipe specifically from a middle area and outlet of a high pressure turbine. Here will be described an embodiment where the present invention is applied to the boiling water reactor of one of direct-cycle nuclear power plants. FIG. 1 shows a heat balance example of a boiling water reactor (BWR) after a power uprate according to the present invention, and FIG. 2 shows a heat balance example of the boiling water reactor before a power uprate. FIG. 3 shows a heat balance example of the boiling water reactor after a conventional power uprate. FIG. 4 shows an example for realizing a heat balance of the present invention shown in FIG. 1. Although in FIG. 1 an extraction steam amount is reduced by placing a valve at a middle area of an extraction pipe, the approach shown in FIG. 4 is applied when there is no space at the middle area of the extraction pipe and placement cost of the valve is high. In addition, each of FIGS. 5 and 6 show a conceptual drawing of an operation cycle of an embodiment of the present invention. In FIGS. 1, 2, and 3 reactor thermal power output is represented as Q, each mass flow rate of water and steam as G, and each enthalpy of water and steam as H. The reactor thermal power output Q and a mass flow rate G are expressed as ratios (%) based on their respective values at the reactor thermal power output of a reactor and a steam flow rate at an outlet of a reactor pressure vessel before a power uprate as shown in FIG. 2, and enthalpy is expressed in units of kJ/kg. In addition, each embodiment of the present invention shows a normal operation condition; operation conditions of an activation, stop time, transient state, and furthermore accident are excluded. This embodiment of the present invention is shown in FIG. 1, and the conceptual drawing of the operation cycles for complementing the embodiment is shown in FIG. 5. FIG. 1 is a drawing schematically showing the heat balance example in a case of performing the power uprate in a boiling water reactor that comprises a recirculation pump and a jet pump within a reactor pressure vessel 1, and has a main steam pipe 2, a high pressure turbine 3 and low pressure turbine 5 connected to the main steam pipe, a moisture separator 4 between the high pressure turbine and the low pressure turbine and a condenser 6 receiving steam from low pressure turbine 5. FIG. 5 contrasts relationships between an operation cycle and a reactor thermal power output, a main steam flow rate (steam flow amount flowing in the main steam pipe from the reactor pressure vessel), and an extraction steam amount together with a conventional power uprate method. One operation cycle is defined as a period from an activation out of a stop condition of a reactor operation to a stop thereof for a fuel change. In FIG. 5, an Nth operation cycle is shown before a power uprate method of the present invention is applied, and at this time the reactor thermal power output is Q=100%. A heat balance example before the power uprate is shown in FIG. 2. An (N+1)th operation cycle increases the reactor thermal power output by 5% and thereby makes Q=105%. An increase of the reactor thermal power output can be realized by any method, such as by: enlarging a pull-out amount of control rods in the (N+1)th cycle larger than in the Nth cycle; increasing a reactor core flow rate in the (N+1)th cycle larger than in the Nth cycle by increasing a rotation speed of the recirculation pump; and changing a kind of a fuel assembly. In addition, because applying the present invention results in lowering a temperature of feedwater supplied to the reactor pressure vessel, it can also be expected that the reactor thermal power output will naturally rise by coolant density feedback for core reactivity due to a lowering of the reactor-core-inlet coolant temperature. In some plants an extraction steam flow rate and main steam flow rate in one cycle are changed as shown in FIG. 6. In a case of a plant adopting the operation cycle as shown in FIG. 6, it is assumed that the heat balance, extraction steam flow rate, main steam flow rate, feedwater heating amount, and the like are compared at an operation point where the main steam flow rate becomes maximum in the operation cycle excluding transients, such as activation, stop, accident/transient phenomenon occurrence time, and test operation. When increasing the reactor thermal power output, it is necessary to increase a feedwater flow rate or to widen an enthalpy difference of a coolant between an inlet/outlet of the reactor pressure vessel in order to remove the additional increment of thermal energy from the reactor. The conventional power uprate method adopts the former method, increasing the feedwater flow rate in proportion to the reactor thermal power output. A heat balance example by the conventional power uprate method is shown in FIG. 3. As a result, in the conventional power uprate method the main steam flow rate of the (N+1)th operation cycle shown in FIG. 5 becomes 105%. The present invention adopts the latter method and is characterized by widening the enthalpy difference of the coolant between the inlet/outlet of the reactor pressure vessel by intentionally lowering a feedwater enthalpy at the inlet of the reactor pressure vessel. In order to lower the feedwater enthalpy at the inlet of the reactor pressure vessel, it is possible to decrease an extraction steam from a steam loop and thereby to decrease a steam amount sent to feedwater heaters 7, 9. However, if only an extraction steam amount is decreased, a thermal efficiency decreases and the total power generation increase is limited. Accordingly, by selectively decreasing an extraction steam amount from any of a middle area and outlet of the high pressure turbine (actually at any location from the outlet of the high pressure turbine and an inlet of the moisture separator), a steam amount flowing in the low pressure turbine is increased and thus the power generation amount is increased. Because most extraction steam from the middle area and outlet of the high pressure turbine is used at a feedwater heater downstream of a main feedwater pump 8, the power uprate method of the present invention may be viewed as a method of decreasing feedwater heating downstream of the main feedwater pump. In a case of a plant where an original extraction steam amount from the middle area and outlet of the high pressure turbine is little, in order to sufficiently decrease a feedwater temperature it may be necessary to also decrease an extraction steam amount extracted from the low pressure turbine in such a plant the extraction steam amount from the middle area and outlet of the high pressure turbine is decreased more, some extent of effect can be obtained. In the embodiment, in spite of increasing the reactor thermal power output by 5% compared to that of the Nth cycle, the main steam flow rate can be made same as that of the Nth cycle. The embodiment shows an ideal power uprate method in which the main steam flow rates of the Nth and (N+1)th operation cycles are assumed to be the same, however, they need not always be entirely the same and may be increased within a range of component design margin, for example, within the design margin of the high pressure turbine. When there are a plurality of extraction points at the middle area and outlet of the high pressure turbine, decreasing an extraction steam amount is most effective if the extraction point is selected at the most upstream side of the high pressure turbine. In this case although it is possible to place an extraction pipe flow rate adjustment valve 10 for controlling the extraction steam amount at this location, it is possible to completely close at least one extraction pipe. As a closing method, it is possible to place a shut-off valve in the extraction pipe or to plug the pipe. When an extraction pipe is completely closed, control loop instruments of for monitoring the extraction steam amount become unnecessary and operation control is also simplified. Whether controlling the extraction steam amount or completely closing the extraction pipes is preferred depends on the heat balance and the power uprate range, for example, it may be necessary to be able to adjust the steam extraction amount if an extraction steam amount per extraction pipe is high and when the extraction pipes are completely closed, a feedwater temperature lowers too much. In addition, instead of placing a shut-off valve in an extraction pipe, a feedwater flow rate flowing in a feedwater heater may be decreased. This embodiment is shown in FIG. 4, in which a feedwater heater bypass loop 11 is placed in the feedwater piping, and a part of feedwater is made to flow in the bypass loop 11. A low temperature coolant flowing in the bypass loop 11 bypasses at least one feedwater heater and then mixes with high temperature main feedwater. Thus a lowering of a feedwater temperature can be realized at an inlet of the reactor pressure vessel. Because when augmenting the reactor thermal power output and increasing the power generation amount of a nuclear power plant, the embodiment can suppress an increase of a feedwater flow rate and a main steam flow rate, it can suppress an increase of a load on a feedwater pipe, main steam pipe, and pressure vessel internals. Compared to the case of simply decreasing the extraction steam amount, the present invention can suppress the lowering of the thermal efficiency and obtain a larger power output. In addition, although in an extensive power uprate by a conventional power uprate method it generally becomes necessary to change the high pressure turbine, with the present invention a power uprate range performable without a change of the high pressure turbine widens compared to the conventional method. Further, as the feedwater temperature lowers, a thermal margin (corresponding to an MCPR (Minimum Critical Power Ratio) in a case of the BWR) of a reactor core increases, there is also the benefit of an increase of a design margin compared to the conventional method. Although in a power uprate a pressure loss and stability of the reactor core deteriorates, in the power uprate method of the present invention a void fraction of the reactor core becomes lower and an absolute value of void coefficient of the reactor core becomes larger, and thus the pressure loss of the reactor core is reduced, and the deterioration of the stability of the reactor core is also suppressed. The decrease of the pressure loss of the reactor core means that an increase of a load on the jet pump and recirculation pump for recirculating a coolant by a power uprate can also be suppressed. Because an increase in the amount of generation steam in the reactor core also becomes small compared to the increase of the thermal power output, an increase of carry under that occurs due to a steam entrainment into recirculation water is also small, and even in an extensive power uprate, it becomes easy to ensure a flow window. A direct-cycle nuclear power plant other than the boiling water reactor may also have a power uprate by a similar method. Table 1 shows a relationship among a reactor thermal power output, main steam flow rate, extraction steam flow rate, and feedwater enthalpy when applying the power uprate method of the embodiment to various output increase amounts. The reactor thermal power output and the main steam flow rate show ratios in the case of a reactor thermal power output of 100%, and the extraction steam flow rate shows a ratio for the main steam flow rate in the case of the reactor thermal power output of 100%. As seen from Table 1, even when making the reactor thermal power output 110%, the power uprate method of the present invention is widely applicable. A reason why the output is not shown only until 110% in Table 1 is that in a higher power uprate a change of the moisture separator and the like becomes necessary; if the moisture separator is changed or combined with a reactor pressure increase, the power uprate method of the present invention is more extensively applicable. Generally in the boiling water reactor a reactor thermal power output may be increased to 102% solely by improving measurement accuracy of a feedwater flowmeter and the like. Therefore, the present invention has greater applicability to a power uprate in ranges above 102%. Furthermore, in the power uprate up to a reactor thermal power output of 105%, it is generally unnecessary to extensively change system plant components, such as a change of the high pressure turbine. Using the present invention, particularly a large effect can be obtained because the change of the high pressure turbine becomes unnecessary even in the power uprate exceeding the reactor thermal power output 105%. TABLE 1ReactorFeedwaterthermal powerMain SteamExtraction SteamEnthalpyoutput (%)Flow Rate (%)Flow Rate (%)(kJ/kg)100100459241031004386910510042831107100407951101003873911010542831 Next will be shown an embodiment of the present invention applied to a pressurized water reactor (PWR) of an indirect cycle nuclear power plant. FIG. 7 shows a heat balance example of the pressurized water reactor of the present embodiment after a power uprate, and FIG. 8 shows a heat balance example of the pressurized water reactor before a power uprate. FIG. 9 shows a heat balance of the pressurized water reactor after applying a conventional power uprate method. Each of FIGS. 5 and 6 shows the conceptual drawing of the operation cycle of one embodiment of the present invention. In FIGS. 7, 8, and 9 reactor thermal power output is represented as Q, each mass flow rate of water and steam as G, and each enthalpy of water and steam as H. The reactor thermal power output Q and a mass flow rate G are expressed as ratios (%) based on their respective values at the reactor thermal power output and steam flow rate (steam amount flowing in a secondary main steam pipe from a steam generator) of a reactor before a power uprate as shown in FIG. 8, and enthalpy is expressed in units of kJ/kg. A heat exchange amount at a steam generator is an amount where a heat leak in a primary loop is subtracted from a reactor thermal power output, and because a normal heat leak amount is sufficiently small compared to the reactor thermal power output, the heat exchange amount at the steam generator and the reactor thermal power output are assumed equal. This embodiment of the present invention is shown in FIG. 7, and the conceptual drawing of the operation cycle for the embodiment is shown in FIG. 5. FIG. 7 schematically shows a heat balance example in the pressurized water reactor that comprises a reactor pressure vessel 1, a steam generator 13 transferring heat generated at a reactor core within the reactor pressure vessel to a secondary loop, a main steam pipe 2 leading secondary loop steam going out of the steam generator, a high pressure turbine 3 and low pressure turbine 5 connected to the main steam pipe, a moisture separator and heater 12 between the high pressure turbine and the low pressure turbine, and a condenser 6 receiving steam from low pressure turbine 5. FIG. 5 contrasts relationships between an operation cycle and a reactor thermal power output, a main steam flow rate, and an extraction steam amount in a case of using the embodiment together with a conventional power uprate method. One operation cycle is defined as a period from a reactor activation to a reactor operation stop for a fuel change. In FIG. 5 an Nth operation cycle is shown before an power uprate method of the present invention is applied, and at this time the reactor thermal power output is Q=100%. A heat balance example before the power uprate is shown in FIG. 8. An (N+1)th operation cycle increases the reactor thermal power output by 5% and thus makes Q=105%. An increase of the reactor thermal power output can be realized by any method, such as by: enlarging a pull-out amount of control rods in the (N+1)th cycle larger than in the Nth cycle; and changing a kind of a fuel assembly. In some plants an extraction steam flow rate and main steam flow rate in one cycle are changed as shown in FIG. 6. In a case of a plant adopting the operation cycle as shown in FIG. 6, it is assumed that the heat balance, extraction steam flow rate, main steam flow rate, feedwater heating amount, and the like are compared at an operation point where the main steam flow rate becomes maximum in the operation cycle excluding transients, such as activation, stop, accident/transient phenomenon occurrence time, and test operation. When increasing the reactor thermal power output, it is necessary to increase a primary coolant flow rate into the reactor pressure vessel and a secondary feedwater flow rate into the steam generator, or to enlarge an enthalpy difference of a primary coolant between an inlet/outlet of the reactor pressure vessel and that of a secondary coolant between an inlet/outlet of the steam generator in order to remove the additional increment of thermal energy from the reactor. The conventional power uprate method adopts the former method, increasing the primary coolant flow rate and the secondary feedwater flow rate in proportion to the reactor thermal power output. A heat balance example by the conventional power uprate method is shown in FIG. 9. As a result, in the conventional power uprate method the main steam flow rate of the (N+1)th operation cycle shown in FIG. 5 becomes 105%. The present invention adopts the latter method and is characterized by enlarging the enthalpy difference of the secondary coolant between the inlet/outlet of the reactor pressure vessel with intentionally lowering a secondary feedwater enthalpy at the inlet of the steam generator. In order to lower the feedwater enthalpy at the inlet of the reactor pressure vessel, although it is possible to decrease an extraction steam from a steam loop and thereby to decrease a steam amount sent to the feedwater heaters 7, 9. However, if only an extraction steam amount is decreased, thermal efficiency decreases and the total power generation increase is limited. Accordingly, by selectively decreasing an extraction steam amount from any of a middle area and outlet of the high pressure turbine (actually at any location from the outlet of the high pressure turbine and an inlet of the moisture separator), a steam amount flowing in the low pressure turbine is increased and thus the power generation amount is increased. Because most extraction steam from the middle area and outlet of the high pressure turbine is used at a feedwater heater downstream of a main feedwater pump 8, the power uprate method of the present invention may be viewed as a method of decreasing feedwater heating downstream of the main feedwater pump. In a case of a plant where an original extraction steam amount from the middle area and outlet of the high pressure turbine is little, in order to sufficiently decrease a feedwater temperature it may be is necessary to also decrease an extraction steam amount extracted from the low pressure turbine. If in such a plant the extraction steam amount from the middle area and outlet of the high pressure turbine is decreased more, some extent of effect can be obtained. In the embodiment, in spite of increasing the reactor thermal power output by 5% compared to that of the Nth cycle, the main steam flow rate can be made same as that of the Nth cycle. The embodiment shows an ideal power uprate method in which the main steam flow rates of the Nth and (N+1)th operation cycles are assumed to be the same, however, they need not always be entirely the same and may be increased within a range of component design margin, for example, within the design margin of the high pressure turbine. When there are a plurality of extraction points at the middle area and outlet of the high pressure turbine, decreasing an extraction steam amount is most effective if the extraction point is selected at the most upstream side of the high pressure turbine. In this case although it is possible to place an extraction pipe flow rate adjustment valve 10 for controlling the extraction steam amount at this location, it is possible to completely close at least one extraction pipe. As a closing method, it is possible to place a shut-off valve in the extraction pipe or to plug the pipe. When an extraction pipe is completely closed, control loop instruments for monitoring the extraction steam amount become unnecessary and operation control is also simplified. Whether controlling the extraction steam amount or completely closing the extraction pipes is preferred depends on the heat balance and the power uprate range, for example, it may be necessary to be able to adjust the steam extraction amount if an extraction steam amount per extraction pipe is high and when the extraction pipes are completely closed, a feedwater temperature lowers too much. In addition, instead of placing a shut-off valve in an extraction pipe, a feedwater flow rate flowing in a feedwater heater may be decreased. This embodiment is shown in FIG. 10, and it shows an example for realizing a heat balance of the present invention shown in FIG. 7. Although in FIG. 7 an extraction steam amount is reduced by placing a valve at a middle area of an extraction pipe, a method shown in FIG. 10 is applied when there is no space at the middle area of the extraction pipe and placement cost of the valve is high. In this embodiment a feedwater heater bypass loop 11 is placed in the feedwater piping, and a part of feedwater flow is made to flow in the bypass loop 11. A low temperature coolant flowing in the bypass loop 11 bypasses at least one feedwater heater and then mixes with high temperature main feedwater. Thus a lowering of a feedwater temperature can be realized at an inlet of the reactor pressure vessel. Because when augmenting the reactor thermal power output and increasing the power generation amount of a nuclear power plant, the embodiment can suppress an increase of a feedwater flow rate and a main steam flow rate, it can suppress an increase of a load on the feedwater pipe, main steam pipe, and steam generator. It is also possible to lower the reactor pressure vessel inlet temperature of a primary loop without increasing the primary coolant flow rate, and in this case it is more effective to suppress the increase of a load on the steam generator and a load on the primary coolant pump is also reduced. Furthermore, if the reactor pressure vessel inlet temperature of the primary loop lowers, a thermal margin (corresponding to a DNBR (Departure from Nucleate Boiling Ratio) in the case of the PWR) of a reactor core increases, there is also the benefit of an increase of a design margin compared to the conventional method. A indirect-cycle nuclear power plant other than the pressurized water reactor may also have a power uprate by a similar method. Thus, although the embodiments of the present invention are described, the invention is not limited thereto, and various variations are available without departing from the spirit and scope of the invention. |
|
claims | 1. A compact neutron generator, comprising:an electron beam source;a power rod at which an electron beam from said electron beam source is directed, said power rod including at least one neutron multiplying material;a target isotope;at least one neutron spectrum shaper disposed between said neutron multiplying material and said target isotope; andat least one cooling channel disposed around said at least one spectrum shaper and through which a coolant is pumped. 2. The apparatus of claim 1, wherein said power rod includes high-Z material. 3. The apparatus of claim 1, wherein said high-Z material is liquid lead bismuth eutectic. 4. The apparatus of claim 3, wherein said LBE is spiked with neutron multiplying material. 5. The apparatus of claim 1, including one neutron spectrum shaper, wherein said neutron spectrum shaper contains LBE. 6. The apparatus of claim 1, including first and second neutron multiplying materials, and first and second neutron spectrum shapers. 7. The apparatus of claim 6, wherein said generator is substantially cylindrical, and wherein said first neutron multiplying material is disposed in the general center of the cylinder, said first neutron spectrum shaper is axially disposed around said first neutron multiplying material, said target isotope is axially disposed around said first neutron spectrum shaper, said second neutron spectrum shaper is axially disposed around said target isotope, and said second neutron multiplying material is axially disposed around said second neutron spectrum shaper. 8. The apparatus of claim 7, further including a reflector axially disposed around said second neutron multiplying material so as to reflect second generation neutrons. 9. The apparatus of claim 7, further including one or more cooling channels disposed between one or more of said first and second neutron multiplying materials, said first and second neutron spectrum shapers, and said target isotope. 10. The apparatus of claim 7, further including a reflector axially disposed around said first and second neutron multiplying materials converters. 11. The neutron generator of claim 10, wherein said reflector is fabricated from a beryllium alloy. 12. The neutron generator of claim 11, wherein said beryllium alloy is beryllium deuteride. 13. The apparatus of claim 1, wherein said at least one neutron spectrum shaper includes a gamma emitting alloy that includes spectrum shaping additives for slowing high energy neutrons to energies in the epithermal or thermal neutron spectrum. 14. The apparatus of claim 13, wherein said neutron spectrum shaper includes a metal matrix fabricated from metal hydride material. |
|
description | The present disclosure is related to the field of electronics and more particularly to the field of micro electro mechanical systems and devices. Micro-electro mechanical systems (MEMS) continue to improve in functionality. New uses and applications for such devices continue to be discovered. MEMS can contain both mechanical structures and integrated circuit (IC) type electrical components. For example, very small mechanical switches, mirrors, sensors, can be manufactured using silicon manufacturing technology. Generally, devices of such MEMS can be manufactured in large quantities at low cost, making them cost-effective for many uses. There is a group of MEMS based devices built with a stationary frame that have at least one beam (possibly a cantilever beam) that connects a “movable” member to the stationary frame. As stated above the moveable portion or member can be a switch contact, a mirror, an accelerometer, an optical switch utilizing movable mirrors, a probe storage, or MEMS memory device, and a cell sorting device to name a few. In some MEMS, it is necessary to integrate at least one electrical component on the movable member. In these systems, one must provide electrical connections to these components. In one type of system, probe storage devices will have a movable member or movable structure that can be utilized to provide motion of a ceramic or semiconductor tip such as an atomic force microscope (AFM) tip, working as a read-write head, with respect to a memory/data storage material. Mechanical properties of suspension structures and moveable members and their dependence on time, temperature and mechanical load are very important design constraints. The mechanical configuration and materials utilized in each design can significantly change the functionality and performance of a MEMS device. Designers often try to provide suspension arrangements with very stable mechanical properties with large lifetimes, wide temperature ranges and high reliability under a wide range of mechanical loads. Time dependence of mechanical properties of suspension arrangements, as for example, time dependence of suspension spring constant can cause short-term and long-term instability of parameters of MEMS devices. Temperature dependence of suspension parameters can cause significant temperature dependence of parameters of MEMS. Significant dependence of suspension properties on mechanical load can cause non-linear effects in MEMS. All the above types of instability are highly undesirable in most applications. It can be appreciated that an electrical connection between the stationary frame and the movable portion or movable structure is often required and can be established by different means. For example, an electrical connection can be provided through the body of the substrate either using conductivity of bulk material or with help of highly conductive layers formed within the body of the wafer. In such cases, the body of the suspension beam can be utilized as a conductor or a low-resistive layer can be created within the suspension beams using, for example, ion implant or silicidation. An electrical connection can also be formed between the frame and the movable structure by depositing conductive layers on top of the suspension. Different metals, poly-silicon, and other conductive materials, as for example, titanium nitride can be used for this purpose. Suspension beams also can be made out of such conductive material. The above described approaches are less than perfect and have many drawbacks and deficiencies. For example if the electrical connection to the movable structure is established through the bulk of the wafer, typically very few electrical connections can be made, as for example, a power connection and a ground connection. Providing more than one connection can require electrical isolation between different conductors. Although this task can be solved, for example, by using SOI material, forming suspension beams in the device layer and isolating the conductors from each other by trenches etched through the device layer, these approaches are costly and require either special material or complex manufacturing processes. Therefore, designs utilizing electrical connections through the bulk of the substrate have limited application. Placing a metal conductor on top of the suspension beams as well as using conductive layers within body of the wafer can create a “bi-metallic” configuration, where different layers have different coefficients of thermal expansion and temperature changes can make the beams bend either up or down depending on whether the temperature rises and falls. Such temperature dependent bending can cause significant temperature dependence of parameters of MEMS devices, which is highly undesirable in most cases. Besides that, deposited layers of metal can create built-in stress in the beams from this deposition of conductive material. This stress can change with time and also after exposure to temperature cycles. For example phenomenon known as stress relaxation can cause undesirable changes in the suspension parameters. This change in parameters of MEMS device can cause thermal hysteresis, fracture and fatigue among other things. Therefore, this “sandwich” approach is also less than perfect. Using suspension structures that are manufactured out of conducting material that is different from material of the wafer, is also less than perfect because of the stress gradients, which are typically present in the deposited metal layer(s). The stress gradient can be result from metal deposition processes such as sputtering, evaporation, plating, etc. The stress gradient can cause bending and other deformation of suspension beams. Beams with built-in stress gradient also can undergo other phenomena such as stress relaxation making suspension properties unstable in time and dependent on temperature. As stated above, one technical challenge is that often a movable structure can require numerous conductors and thus, numerous conductors need to be provided from the frame to the movable structure. In some cases-conductors should be capable of carrying significant current. Since the suspension beams are relatively narrow in many cases, typically only a limited number of conductors such as one or two conductors can be placed on top of a suspension beam. Increasing the number of suspension beams is undesirable in many cases as this may cause significant changes in mechanical properties of the suspension. In particular, increasing the spring constant of the suspension beyond an acceptable limit can adversely affect the entire design. Therefore, providing the required number of electrical connections to the movable part of MEMS devices can be a challenging task. The following is a detailed description of embodiments of the disclosure depicted in the accompanying drawings. The embodiments are in such detail as to clearly communicate the disclosure. However, the amount of detail offered is not intended to limit the anticipated variations of embodiments; on the contrary, the intention is to cover all modifications, equivalents, and alternatives falling within the spirit and scope of the present disclosure as defined by the appended claims. While specific embodiments will be described below with reference to particular configurations of hardware and/or software, those of skill in the art will realize that embodiments of the present invention may advantageously be implemented with other equivalent hardware and/or software systems. Aspects of the disclosure described herein such as computer controlled manufacturing may be stored or distributed on computer-readable media, including magnetic and optically readable and removable computer disks, as well as distributed electronically over the Internet or over other networks, including wireless networks. Data structures and transmission of data (including wireless transmission) particular to aspects of the disclosure are also encompassed within the scope of the disclosure. In one embodiment, a micro-electro mechanical system (MEMS structure) is disclosed. The MEMS structure fabricated on a substrate or on a wafer can include a stationary frame, a movable structure or movable member, and a suspension. The suspension can support the movable structure and can include a set of structural beams and a set of conductor routing beams between the frame and the movable structure. The set of structural beams can consist of at least one structural beam and the set of conductor routing beams can consists of at least one conductor routing beam. The movable structure can have at least one degree of freedom for motion. For example, movable structure can have one translational degree of freedom, two translational degrees of freedom, one rotational degree of freedom, etc. Motion of the movable structure within each of its degrees of freedom can be achieved due to forces applied to the movable structure. The forces can cause the movable structure to displace from its neutral position. A restoring force proportional to the displacement of the movable structure with respect to the neutral position can be generated by the suspension beams in response to the applied force. The displacement of the movable structure can be determined by a balance between the applied force and the restoring force provided by the suspension. The suspension can be characterized by a set of spring constants. Each spring constant or spring rate is associated with the corresponding degree of freedom of the movable structure. For example, if the movable structure rotates around the X axis located in plane of the wafer or frame then its suspension is characterized by a torsional spring-constant around X-axis. If the movable structure moves significantly in both the X and Y directions in the plane of the frame then suspension can be characterized by two translational spring constants in X direction and in Y direction reflecting ability of the movable structure to move in these directions responding to a force applied to it. Therefore, in general, suspension and movement in all directions can be characterized by a set of spring constants. The spring constant of suspension reveals a force required per unit of a lateral or angular displacement of the movable structure 101 in corresponding degree of freedom. The set of structural beams can be the major contributor to the spring constants corresponding to some of the degrees of freedom of the movable structure. In particular, spring constant of the set of the structural beams can be more than ten times the spring constant of the set of the conductor routing beams for at least one degree of freedom of the movable structure. The set of conductor routing beams can provide a majority of electrical connections from the frame to the movable structure. Accordingly, multiple routing beams can be utilized to support multiple conductors without significantly affecting the mechanical movement dynamics of the movable structure. FIGS. 1A and B show a MEMS device 100, which can be fabricated from a semiconductor substrate. The device can have a frame 102, a movable member or movable structure 101, a set of main suspension beams or structural beams 105, 106, 107, and 108, (structural beams 105-108) and a set of routing beams 112, 114, 116, 118, 122, 124, 126, and 128 (routing beams 112-128). In order to provide required functionality of the MEMS device, the movable member 101 should have at least one degree of freedom in its motion. Structural beams 105-108 and routing beams 112-128 can suspend movable structure 101 such that the movable structure can move in at least one direction or in a particular plane. The movable structure 101 could be manufactured as a component such as an accelerometer, a gyroscope, an electrical contact, a mirror, an optical switch, a add-drop multiplexer, an optical radiation modulator, a cantilever with AFM tip, a probe storage device, a micro-tweezers, a precision MEMS-based positioning stage, an electrostatic actuator, an electromagnetic actuator, a piezoelectric actuator, thermal actuator, and valve. The movable structure 101 could be manufactured as a components such as an accelerometer, a gyroscope, an electrical contact, a mirror, an optical switch, a add-drop multiplexer, an optical radiation modulator, a cantilever with AFM tip, a probe storage device, a micro-tweezers, a precision MEMS-based positioning stage, an electrostatic actuator, an electromagnetic actuator, a piezoelectric actuator, thermal actuator, and valve. The suspension or beams 105-108 and 112-128 should be compliant enough to allow motion of the movable structure 101 in some directions or degrees of freedom and suppress motion of the movable structure in other directions or degrees of freedom as it can be required by design. The spring rate provided by the suspension can be different for each degree of freedom. Planes X, Y, are illustrated in FIG. 1A and planes X and Z are illustrated in FIG. 1B. Rigidity of the suspension can be different based on the placement and the geometry of the beams 105-108 and 112-128 where their placement and geometry can dictate the spring rate in a particular direction. For example, the suspension of movable structure 101 may suppress motion of the movable structure 101 in the Y direction due to the compression and tension stiffness of the beams 105-108 and 112-128. Accordingly, for each degree of freedom the suspension of the movable structure 101 can be characterized by a spring constant and in specific directions the spring constants can be tightly controlled. The MEMS device 100 can have electrical components located on the movable structure 101 to achieve a desired function of the system. For example, in a piezo-resistive accelerometer stress-sensitive resistors can be placed on the structural beams 105-108 in locations adjacent to the movable structure 101, where the movable structure 101 can act as a “proof mass” used in traditional accelerometers. As described above, other electrical components or actuators can be formed on the beams 105-108 and 112-128 and on the movable member 101. Conductors for carrying power or a signal can be formed on the movable structure 101. The structural suspension beams 105-108 can be formed from a bulk material of the substrate, for example from monocrystalline silicon. In some embodiments, the structural beams 105-108 can be bare and have no supplemental layers such as protective layers deposited or grown on the beams 105-108. In other embodiments, it can be beneficial to add at least one protective layer like thermal oxide layer on the structural beams 105-108. In some embodiments the main suspension beams 105-108 have no conductors and have no doped layers or deposited layers, which can cause either stress relaxation or temperature dependent bending of the beams 105-108 due to thermo-mechanical effect. If dielectric protective layers are grown or deposited on the structural beams 105-108 then the thickness of the protective layers can be much smaller than thickness of these beams 105-108 such that the protective layer(s) do not significantly change the spring rates of the beams 105-108. In some embodiments the material utilized to provide the protective dielectric should not exhibit stress relaxation properties. Thin thermal oxide grown on monocrystalline silicon can be a good example of such protective layer with these desired properties. The routing beams 112-128 can provide an electrical connection between the frame 102 and electrical components located on the movable structure 101. The routing beams 112-128 can be formed from the bulk material of the wafer or can be formed from a different conductive material, as for example, poly-silicon. Each routing beam 112-128 formed from a bulk material of the wafer may include a conductive layer on top of, or within the beam to provide an electrical connection from the frame 102 to the movable structure 101. In some embodiments routing beams 112-128 can be formed from a material of the substrate with and a conductive trace on top of a silicon body. Conductors can be electrically isolated from the substrate with dielectric layers. Being a part of the suspension structure, both structural beams 105-108 and routing beams 112-128 can contribute to the spring constants of the suspension of the movable structure 101. In some embodiments, monocrystalline silicon can be utilized to manufacture the structural beams 105-108. Monocrystalline silicon's mechanical properties generally change relatively little over time and do not exhibit significant hysteresis, fatigue or creep as a result of mechanical cycling. There are known MEMS structures where monocrystalline silicon suspension beams have survived billions of cycles without measurable change in mechanical properties of the beams. Therefore, in some embodiments structural beams 105-108 can be made from a single piece of monocrystalline silicon. Structural beams 112-128 can provide long-term stability, temperature stability, stable mechanical parameters within wide range of mechanical load and minimal changes in mechanical properties as a result of cyclic mechanical load. The same may not be true for the rotating beams. Routing beams may have layers, which can cause some change of mechanical properties due to stress relaxation in one of the layers, some temperature dependence of mechanical parameters due to thermo-mechanical stresses, and some change of mechanical properties as a result of cyclic mechanical load due to creep and fatigue. In some embodiments, the routing beams 112-128 can be made mechanically much weaker than the structural beams 105-108 such as over ten times weaker. Thus, the spring rate of the routing beams 112-128 can be minimized such that a significant number of routing beams and electrical connections can be made between the frame 102 and the movable structure 101 without significantly affecting the spring rates of the suspension in the directions corresponding to some degrees of motion for the movable structure. Accordingly, the mechanical properties of the suspension including the set of spring constants in the directions of motion are dictated mostly by the structural beams 105-108. In order to minimize the spring rate of the routing beams 112-128 they can be mechanically much thinner, narrower and/or longer than the structural beams 105-108. In some embodiments, the total spring constant of the set of routing beams 112-128 can be over ten (10) times smaller than the spring constant provided by all of the structural beams 105-108. In some embodiments the spring constant of the structural beams 105-108 can be between 100 and 1000 times greater that the spring constant provided by the routing beams 112-128 for each degree of freedom of the movable structure 101. As a result, even significant change in mechanical properties of the routing beams 112-128 or change in the number of routing beams in the design can have a limited effect on the mechanical properties of suspension of the movable structure 101. This feature or arrangement can increase the stability of the mechanical and other parameters of MEMS device 100. Accordingly, multiple routing beams 112-128 can be utilized to support multiple conductors without significantly affecting the static and dynamic properties of the movable structure 101 and dynamics of the movable part(s) of the MEMS device. The routing beams 122-128 can be connected to the structural beams 107 and 108 with bridges, such as bridge 131 in order to prevent excessive out-of-plane bending of the routing beams 112-128. The bridge 131 can also adjust the resonance frequency of the system or a movement of the movable structure 101. For example, routing beam 118 can be connected to the structural beam 106 with bridge 131. Bridge 131 can be formed using a material of the substrate, deposited conductive or dielectric layer and combination of these materials. Mechanical stiffness of the bridge 131 may be sufficient to provide required functionality, for example, prevent excessive bending of the routing beam, but it may not significantly affect spring constant of the structural beams 105-108 and cause asymmetry in the suspension of movable member 101. In the embodiment of FIG. 1, the main degree of freedom for the movable structure 101 is motion in a direction perpendicular to the X-Y plane of the substrate or in the Z-direction. Therefore, spring constant of the routing beams in Z-direction may be much smaller than spring constant of the main beams in Z-direction. The difference in the Z-stiffness of structural beams 105-108 and the routing beams 112-128 can be adjusted to suit particular design needs. In some embodiments, the structural beams are rectangular and are formed from monocrystalline silicon. The structural beams 105-108 can have a rectangular cross-section with a width of 50 um Ws and thickness of 10 um Ts. As shown, eight metal routing beams can have widths “Wr” of 5 μm and thickness “Tr” of 1 μm. Thus, in some embodiments, the cross sectional area of the structural beams can be ten times greater than the cross sectional area of the routing beams where the length of all beams can be assumed as the same. It is known that bending stiffness Sbend or spring constant of the beam with rectangular cross-section can be calculated as: Sbend=K*min (W, T)3*max (W,T)/L3, where W, T, L are width, thickness, and length of the beam, correspondingly, and K is a numerical coefficient, which depends on type of connection on ends of the beams (both ends are clamped, one free end, etc.). In this example coefficients K and length L of all the structural and all the routing beams can be assumed to be the same. Therefore, the ratio of spring constant of the set of four structural beams to the set of eight routing beams is equal to: (4*103*50)/(8*13*5)=5000. (Note, the mechanical stiffness of the bridge 131 is not taken into account) Even a significant instability of mechanical parameters of the routing beams in this example, clearly has very limited effect on the mechanical and dynamic parameters of overall suspension. Referring to FIG. 1B, a cross-sectional view, A-A of FIG. 1A through a suspension area is illustrated. Portions of the substrate have been micro-machined away to form a relief area 113 that can separate at least a portion of the movable structure 101 from a stationary frame 102. Monocrystalline silicon substrate/wafer 100 can be used for manufacturing of the MEMS device. Accordingly, the wafer 100 can be etched to form a portion of the MEMS device that can move referred to as a movable structure 101. The movable structure 101 can be suspended by a set of structural beams 107, and 108, and a set of conductive or routing beams 122, 124, 126, and 128 (122-128). Both the structural beams 107 and 108 and the routing beams 12-128 can be connected on one end to the frame 102 and on another end to the movable member 101. The structural beams 107, and 108 can be formed by micromachining monocrystalline silicon out of the wafer 100. The movable structure 101, structural beams 107, and 108 and routing beams 122-128 represent remaining material of the wafer after micromachining has been performed on portions of the body of substrate 100. As illustrated, in cross-section A-A the thickness or cross sectional area of the structural beams 107 and 108 is significantly smaller than thickness or cross sectional area of the substrate 100. The routing beams 122-128 can be manufactured of metal to allow the routing beams 122-128 to transfer power and/or electrical signals from the frame 102 to the movable member 101. In some embodiments, conductive routing beams 122-128 can be formed by depositing metal on top of the substrate 100 followed by etching material off of the substrate under the beams 122-128. As a result, routing beams 122-126 can be located at least partially above the surface of the wafer 100 as it can be seen in cross-section A-A. The routing beams 122-126 can have a significantly smaller thickness and width than the structural beams 107 and 108 resulting in significantly smaller mechanical strength and spring constant in Z-direction. Thus, numerous-routing beams can be added to the device without substantially affecting the dynamic or static properties of the movable structure 101. Referring to FIG. 2 a top view of another MEMS type device 200 is illustrated. As compared to the device illustrate in FIG. 1A the device in FIG. 2 can have a decrease of stiffness contributed by the routing beams 112, 118, 122, 126, and 128 (112-128) with respect to the structural beams 105, 106, 107 and 108 (105-108). Portions of the substrate 100 have been etched away to form a relief area 113 that separates movable structure 101 from a stationary frame 102. Accordingly, the substrate 100 can be etched to form a portion of the MEMS device that can move. The movable structure 101 can be suspended by a set of structural beams 105-108 and routing beams 112-128, and electrically connected to the frame by a set of routing beams 112-128. Both the structural beams 105-108 and the routing beams 112-128 can be connected on one end to the frame 102 and on another end to the movable member 101. The structural beams 105-108 can be formed by micromachining on a monocrystalline silicon substrate. The structural beams represent material that can remain after micromachining of portions of the substrate 100 is performed. The thickness of the structural beams 105-108 or cross sectional area of the structural beams 105-108 can be smaller than thickness of the substrate 100. The routing beams 112-128 can transfer/conduct electrical signals from the frame 102 to the movable member 101. Routing beams 112-128 can be formed by depositing metal on top of the substrate 100 followed by micromachining the substrate. As a result, routing beams 112-128 can be formed from metal only, from metal and dielectric layer, as for example silicon dioxide or silicon nitride, and also from metal and material of the substrate, for example silicon. Stress in the conductive material of the routing beams can cause undesirable bending of the routing beams. In order to decrease the bending of the routing beams they can be formed as a thicker multilayer structure where a layer of dielectric or substrate material under the conductor decreases the bending of the routing beams. Layers of metal and substrate material can be separated by a dielectric layer. However, using material of the substrate as a part of the structural beams can cause significant increase in their stiffness. Some options for maintaining the large ratio of structural to routing beams spring constant is discussed below. The suspended movable structure 101 can move in the direction perpendicular to the surface of the substrate. The routing beams have significantly smaller mechanical strength than the main beams. This can be achieved by using several design options illustrated in FIG. 2. The width of routing beams 112, 118, 122, 116, and 128 can be much smaller than width of the main suspension beams 105, 106, 107, and 108. As beam spring constant is a linear function of beam width (for a particular plane/direction), making routing beams narrower than the structural beams in a specific plane decreases the ratio of spring constants of routing beams to main suspension beams. It can be appreciated that the routing beams 112, 118, 122, 116 and 128 can be made longer than the structural beams 105-108 and the longer the beam the lower the spring constant. In FIG. 2 the routing beams 126, 128, and 118 are parallel to the structural beams 105, 106, 107, and 108 and longer than these beams. Routing beam 112 is “straight”, but not parallel to the main beams because of a different angular orientation. Beam 122 is longer than the structural beams because of its curvilinear shape. As spring constant of the beams is inversely proportional to the cube (power of three) of beam length. Thus, increasing routing beam length can substantially decreases the spring constant of the beam. Although the structural beams 105, 106, 107, and 108 are shown as straight beams in FIG. 1 and FIG. 2, they can have different geometry (length, width, height, shape). Referring to FIG. 3 a micromechanical structure with L-shaped structural beams is illustrated. The movable structure 201 can be suspended by a set of four L-shaped structural beams (205, 215), (206, 216), (207, 217), and (208, 218) (referred to as structural beams 205-218) and four L-shaped routing beams (225, 235), (226, 236), (227, 237), and (228, 238), (referred to as routing beams 225-238). As illustrated by cross-section B-B, the structural beams 205-218 can be formed by etching or micromachining through the thickness of the substrate or wafer. Each L-shaped structural beam 205-218 can have two straight beams connected at the joints 245, 246-247, and 248. The routing beams 225-238 can have both a width and a thickness that is much smaller than the width and thickness of the structural beams 205-218. The thickness of structural beams 205-218 in the thickness dimension of the substrate can be much larger than the width of the structural beams 205-218. Therefore, in contrast with the microstructures described in FIG. 1A-B and FIG. 2, the suspended movable structure can move in the X-Y plane of the wafer and has a very limited ability to move in the Z direction perpendicular to the surface of the wafer. Assuming that motion in X and Y directions is required for functionality of the movable structure 201, spring constants of the structural beams 205-218 and the routing beams 225-238 in the X and Y directions should be considered. When the movable structure 101 moves in X-Y plane, the structural beams 205-218 can bend in both the X and Y directions. Bending stiffness of the structural beams 205-218 can be proportional to the third power of beams width in the X-Y plane, linearly proportional to their thickness and inversely proportional to cube of the structural beams 205-218 length. Therefore, in order to reduce the contribution of routing beams 225-238 to the overall suspension stiffness, the routing beams 225-238 can be made narrower, thinner and longer than the structural beams. In another embodiment, a MEMS device similar to the one shown in FIG. 3 has a movable structure suspended by four-structural beams having thickness of 200 μm and width of 16 μm. Sixteen routing beams have thickness of 16 μm (including conductive layers) and width of 10 μm. The length of structural beams can be made twenty percent (20%) smaller than the length of the routing beams 225-238 (for example by using some of the design approaches presented in FIG. 2). Thus, the suspension beams can bend and the movable structure can move in the plane of the wafer. Using the same formula as discussed above, one can find that the ratio of spring constant of the structural beams to the spring constant of the routing beams can be described as follows: [(4*163*200)/(0.8*L)3]/[(16*103*16)/L3]=25. Although the ratio of spring constants is not as large as in the example described with respect to FIG. 1, it is still sufficient for a significant improvement of stability of mechanical properties of the suspension, the movable structure and the MEMS device in general. It can be appreciated that many of MEMS devices are manufactured by a release etching process where “through holes” are etched in the substrate to define the geometry of the movable structure and the suspension comprising some number of beams. In such a process some mechanical and environmental protection can be provided to the movable structure either before or after the release etch. This protection can be achieved by bonding a wafer or a die with movable structures to at least one cap wafer or at least one cap die. The purpose of this step is to either protect the movable structure from one side or enclose the movable structure in a space between two cap wafers providing protection of the movable structure from environment. Capping can also be done by wafer-level bonding. Adding cap layer provides different options for location of both structural and routing beams. In some cases it can be beneficial to have routing beams located at the interface with the cap layer. In other cases routing beams can be located on the opposite to the cap side of the movable structure substrate. In some embodiments, the cap may carry some functional components of the MEMS device. For example, a capacitive position sensor can be formed by creating two electrodes—one on the stationary cap and another one on the movable structure. Motion of the movable structure causes change in the mutual position of the plates of the capacitive sensor and corresponding change in the capacitance. This dependence of capacitance on position of the movable structure can be used for detecting and controlling position of the movable structure. A representative process that can be used for fabrication of a movable structure with a suspension comprising both structural beams and routing beams is described in the next embodiment. Referring to FIG. 4 a series of steps illustrated as FIG. A, B, C, and D have been performed on a substrate 301. Referring to FIG. 4A the substrate 301 has a top side 302 and a bottom side 303. In FIG. 4 B a set of conductors 311 has been formed in the body of the Substrate 301 on the top side 302. In addition a conductive material has been deposited once substrate and a set of conductors 312 has been formed on the top of the substrate 301. It can be appreciated that deposited conductive layers 312 are elevated above/built on a top surface of the substrate 301. Conductors 311 can be insulated from the body of the substrate 301 by p-n junctions and protected from the top side with a dielectric layer. Conductors 312 can be insulated from the body of the substrate by a dielectric layer deposited or grown on the substrate prior to deposition of the conductive material. Conductors 312 can be covered with an environmentally stable protective layer, for example by dielectric. FIG. 4C shows the substrate 301 after a first micromachining process. In FIG. 4C areas 321, 322, and 323 have been micro-machined from the top side of the substrate 301 to start the formation of a frame, a movable structure, structural beams and routing beams. The removal of material can be done utilizing many different processes including deep reactive ion etching (DRIE), other plasma etching processes, gaseous etching, for example, using XeF2, wet anisotropic etching, wet isotropic etching, physical etching, as for example ion milling, or some other form of micromachining. A combination of different etching processes could also be utilized. Target etching depth for the first micromachining process can be chosen to be slightly larger than the target thickness of the routing beams. Protection of the surface of the wafer including conductors 311, 312 during the micromachining process can be provided, for example, by a layer of photoresist or by a layer of dielectric. At the next step the substrate can be subjected to a second micromachining process. As illustrated by FIG. 4D material of the substrate can be removed from the bottom or back side of the substrate. The second micromachining step can be done to etch a profile through the wafer. As a result of the second micromachining process, the cavities 321, 322, 323 formed on the previous step meet with the cavities etched in the second micromachining process and relief areas 421 and 422 are created. Again such a process can utilize different micromachining methods such as the DRIE and other methods described above. Protection of the back side of the wafer during the second micromachining process can be provided, for example, by a layer of photoresist or by a layer of dielectric. Similar to the side view provide in FIG. 1B, the remaining material can form a frame 402, a movable structure 401, a set of structural beams 411, 412 and a set of routing beams 415. It can be seen that there can be a misalignment between the patterns defined for the first micromachining process and the second micromachining process as illustrated by the off-set of the structural beam 411. In some cases such offset can be undesirable because it can cause formation of stress concentrators on the structural beams. In order to avoid the offset it is possible to form structural beams with smaller thickness as it is illustrated by the structural beam 412. The structural beam 412 is formed by forming a recess in the substrate 301 during the first micromachining step and etching through the remaining thickness of the substrate in the recess area during the second micromachining step. As a result thickness of the structural beam 412 is smaller than the thickness of the structural beam 411. However the structural beam 412 does not have offset between top and bottom portions and corresponding stress concentrators. Referring to FIG. 5, a flow diagram 500 of a method for fabricating a micro-electro-mechanical system (MEMS) is illustrated. Although the flow diagram may appear to describe the method as sequential actions, the order of the actions could be modified or the actions could occur concurrently without parting from the scope of this application. As illustrated by block 502, conductors could be fabricated on a first surface of a substrate. The first surface could be considered as a top surface. The conductors could be a metallic material and the conductors could be placed on the substrate using a deposition process. As illustrated by block 504 the top surface can be micro machined to a predetermined depth. Generally, this depth will be slightly greater than the Z height of the routing beams. The substrate can then be micro-machined from a bottom side or a side that is opposite the side machined in block 504, as illustrated in block 506. The second side can also be micro-machined to a predetermined depth and such a depth can dictate the final Z height of the routing beams. Machining from the second side can form the frame, the routing beams, the structural beams, and the movable structure. As illustrated by block 509, a protective covering or measures can be taken to protect the components that have been formed. The process can end thereafter. After such micromachining from the top and bottom of the wafer, the MEMS structure can have a series of relief areas or spaces from a top side to a bottom side where all of the material has been etched away such that the movable structure can have some degrees of freedom to move. The set of routing beams can have a combined stiffness in some of the degrees of freedom at least ten times smaller than the combined stiffness of all structural beams in the same directions. Alternatively, total cross sectional area of all routing beams can be less than twenty percent of the combined cross sectional area of the structural beams. Such a configuration have many more conductive beams than structural beams as many conductive beams can be placed between the movable structure and the wafer without adding a significant amount of stiffness to the suspension. In general, the structural beams can provide at least over ninety percent of the resistance to deflection of the movable structure. It can be appreciated that the suspension can be in the form of a set of parallel structural silicon beams can be fabricated as a result from the above process. These silicon beams can serve as the main structural suspension between the movable structure and the frame. In addition separate silicon routing beams can be formed where the routing beams serve the purpose of supporting conductive traces. Also as discussed above, bridges can be created between the structural beams and the routing beams and between the routing beams. The bridges or flexible members connecting the beams can decrease undesirable bending of the routing beams due to thermo-mechanical stresses. The bridges, however, can affect the lateral stiffness of the suspension. It will be apparent to a person skilled in the art that the invention can be used in fabrication of MEMS structures that can be utilized in different MEMS devices, including accelerometers, gyroscopes, electrical switches, movable mirrors, optical switches, add-drop multiplexers, optical radiation modulators, cantilevers with AFM tips, probe storage devices, micro-tweezers, precision MEMS-based positioning stages, electrostatic actuators, electromagnetic actuators, piezoelectric actuators, thermal actuators, valves, and other MEMS devices. The disclosed embodiments can take the form of an entirely hardware embodiment, or an embodiment containing both hardware and software elements for the manufacture of a MEMS device. In some embodiments, the disclosed arrangements can utilize software, which includes but is not limited to firmware, resident software, microcode, etc to assist in the manufacturing of the MEMS device. Furthermore, the invention can take the form of a computer program product accessible from a computer-usable or computer-readable medium providing program code for use by or in connection with a computer or any instruction execution system. For the purposes of this description, a computer-usable or computer readable medium can be any apparatus that can contain, store, communicate, propagate, or transport the program for use by or in connection with the instruction execution system, apparatus, or device. A computer can retrieve instructions from an electronic storage medium. The medium can be an electronic, magnetic, optical, electromagnetic, infrared, or semiconductor system (or apparatus or device) or a propagation medium. Examples of a computer-readable medium include a semiconductor or solid state memory, magnetic tape, a removable computer diskette, a random access memory (RAM), a read-only memory (ROM), a rigid magnetic disk and an optical disk. Current examples of optical disks include compact disk-read only memory (CD-ROM), compact disk-read/write (CD-R/W) and DVD. A data processing system suitable for storing and/or executing program code can include at least one processor, logic, or a state machine coupled directly or indirectly to memory elements through a system bus. The memory elements can include local memory employed during actual execution of the program code, bulk storage, and cache memories which provide temporary storage of at least some program code in order to reduce the number of times code must be retrieved from bulk storage during execution. Input/output or I/O devices (including but not limited to keyboards, displays, pointing devices, etc.) can be coupled to the system either directly or through intervening I/O controllers. Network adapters may also be coupled to the system to enable the data processing system to become coupled to other data processing systems or remote printers or storage device through intervening private or public networks. Modems, cable modem and Ethernet cards are just a few of the currently available types of network adapters. It will be apparent to those skilled in the art having the benefit of this disclosure that the present invention contemplates methods, systems, and media that can fabricate a MEMS device. It is understood that the form of the invention shown and described in the detailed description and the drawings are to be taken merely as examples. It is intended that the following claims be interpreted broadly to embrace all the variations of the example embodiments disclosed. |
|
abstract | Devices for measuring the surface state of a surface, at least one portion of which has a surface curvature. The devices may include a probe for being in contact with the curved surface portion. The probe may be stressed on the surface with a substantially constant stress. A way to measure the displacement of the probe may be provided and arranged to measure the displacement of the probe along an axis substantially perpendicular to the surface. The probe may also be relatively displaced in relation to the surface only along a path following the surface curvature. |
|
abstract | A method of controlling a nuclear power plant includes determining a first maximum linear heat generation rate and a first minimum critical power ratio by a core monitoring system, and determining a second maximum linear heat generation rate and a second minimum critical power ratio by utilizing the first maximum linear heat generation rate and the first minimum critical power ratio determined by the core monitoring system and plant data. The second maximum linear heat generation rate and the second minimum critical power ratio are compared with predetermined thermal limit values and a control signal outputted from an automatic power regulator system to a re-circulation flow control system and to a control rod control system is held when at least one of the second maximum linear heat generation rate and the second minimum critical power ratio exceeds the predetermined thermal limit values. |
|
summary | ||
summary | ||
062563637 | claims | 1. A transport/storage container for spent nuclear-fuel elements, the container comprising: a vessel having a side wall with an inner surface defining an interior extending along an axis; and a plurality of like basket sections forming a stack extending substantially a full axial length of the interior and forming a plurality of axial full-length rectangular-section wells adapted to receive the spent fuel elements, each of the basket sections being formed of: at least one respective filler block in each of the quadrants having a curved outer surface complementarily engaging the inner surface at the respective level and planar inner surfaces forming walls of respective openings. a respective metal tube extending substantially the full length of each of the wells, the spent nuclear-fuel elements being within the metal tubes. a vessel having a side wall with an inner surface defining an interior extending along an axis; a plurality of like basket sections forming a stack extending substantially a full axial length of the interior and forming a plurality of axial full-length rectangular-section wells adapted to receive the spent fuel elements, each of the basket sections being formed of: at least one respective filler block in each of the quadrants having a curved outer surface complementarily engaging the inner surface at the respective level and planar inner surfaces forming walls of respective openings; and; a respective metal tube fitting complementarily snugly in and extending substantially the full axial length of each of the wells, the spent nuclear-fuel elements being within the metal tubes. 2. The fuel-element transport/storage container defined in claim 1 wherein the plates are made of borated aluminum. 3. The fuel-element transport/storage container defined in claim 1 wherein the long plates are thicker than the short plates. 4. The fuel-element transport/storage container defined in claim 1 wherein the inner surface is generally cylindrical and centered on the axis, the long plates being perpendicular to each other and subdividing the interior at the respective level into four quadrants. 5. The fuel-element transport/storage container defined in claim 4, further comprising 6. The fuel-element transport/storage container defined in claim 5 wherein each filler block is provided with shielding. 7. The fuel-element transport/storage container defined in claim 1, further comprising 8. The fuel-element transport/storage container defined in claim 7 wherein the tube is provided with axial guide passages and is provided therein with neutron-absorbing rods. 9. The fuel-element transport/storage container defined in claim 1 wherein the long plates are formed with interfitting half-width joint slots. 10. A transport/storage container for spent nuclear-fuel elements, the container comprising: |
043127037 | claims | 1. A nuclear reactor installation comprising a housing containing a reactor core and provided with means for dissipating the heat generated in the reactor core during power operation, which means comprise at least one primary fluid circuit incorporating a pump and extending through the reactor core, and at least one secondary fluid circuit for heat utilization arranged outside the reactor housing to utilize the heat from said core, said secondary circuit incorporating a pump and coupled for heat transfer to said primary circuit by means of an intermediate heat exchanger; wherein said installation is provided with further means for dissipating the decay heat produced in the core of the nuclear reactor after the reactor operation has been switched off and the power shut down, said further means comprising a third fluid circuit arranged outside the reactor housing, and said third fluid circuit comprising a pump means, said third fluid circuit being coupled to the primary circuit by said intermediate heat exchanger, said intermediate heat exchanger being structurally integrated to comprise a single vessel housing containing conducting and heat transfer members respectively forming part of the secondary circuit and the third fluid circuit and arranged at substantially the same location in the flow path of the fluid in the primary circuit so that the said primary fluid flows substantially simultaneously over the conducting members of both the secondary utilization circuit and the third decay heat fluid circuit, and wherein said intermediate integrated heat exchanger situated outside of the reactor core comprises a vessel which accommodates the external portion of the primary circuit including the primary fluid containing the heat to be dissipated from the core during the power and decay phases of reactor operation and also accommodates heat exchange portions of said secondary fluid circuit and said third fluid circuit one of said portions forming a utilization circuit for absorbing the heat evolved during the power generation phase and the other of said portions forming a decay heat circuit for absorbing the heat generated during the decay phase; each of said circuits comprising a heat-transporting fluid, fluid distribution chambers, heat exchange tubes connected at the proximate ends of said chambers, fluid collectors connected to the distal ends of said tubes and to heat utilization or cooler means outside of the heat exchanger vessel; said tubes from each said portions being combined into a tube bundle in heat exchange contact with said primary fluid to remove the heat therefrom, said tubes in said bundle being disposed in parallel relationship to each other and arranged so that said tubes from said decay heat circuit are positioned at the outer portions of said bundle and the tubes from said utilization circuit form the inner portion of the bundle. |
description | This application claims the benefit of prior U.S. provisional application Ser. No. 62/098,514, filed Dec. 31, 2014, the contents of which are incorporated by reference. This invention was made with Government support under Cooperative Agreement No. DE-NE0000633 awarded by DOE. The Government has certain rights in this invention. This disclosure generally relates to a nuclear reactor instrumentation system. Nuclear reactor instrumentation systems include a multitude of sensors for monitoring various nuclear reactor system parameters, e.g., pressure, temperature, liquid level, and neutron flux. The data measured by the sensors in the reactor instrumentation system are generally transmitted to a control room and displayed on meters, gauges, or display screens to nuclear reactor operators. The operators rely on data provided by reactor instrumentation systems to safely operate the nuclear reactor system and to identify and respond to potential emergency situations. With respect to nuclear reactor systems, issues may arise beyond the design of the nuclear power plant, including external events or accidents such as seismic events, aircraft impacts, a complete loss of power, or accidents directly affecting a control room and not the reactor (e.g., fire in the control room). While these types of accidents may not directly affect a nuclear reactor such as to trigger design basis protection systems, they may affect an operator's ability to monitor the reactor. For example, a fire in a control room, a complete loss of electrical power, or an aircraft impact may be isolated from the reactor but may nonetheless impair the reactor monitoring systems or the control room. Alternatively, such events may also directly affect the reactor or the buildings or locations where the events occur, thereby creating conditions that are hazardous to safe human habitability and which may impede the ability to monitor conditions locally. The invention as described below is directed to a remote monitoring system which, in some versions, may prevent reactor operators or emergency personnel from having to enter a hazardous environment in order to determine critical reactor parameters necessary to verify proper operation or reactor safety systems (e.g., decay heat removal systems and containment systems) upon the occurrence of such accidents or events. The present disclosure describes a remote nuclear reactor instrumentation monitoring system. Various implementations described in this disclosure may include some or all of the following features. In some versions, a remote nuclear reactor instrumentation monitoring system may include a wireless transmitter, a backup power source (e.g., a battery, radioisotope thermoelectric generator (RTG), or other backup power source), and one or more processors (e.g., a microprocessor, microcontroller, or finite state machine). The processors may be programmed to identify a loss of normal power to a nuclear reactor instrumentation system and, in response to identifying the loss of normal power, cause power to be provided from the backup power source to the wireless transmitter and one or more reactor instrumentation sensors. The processors may further receive data from the reactor instrumentation sensors and cause the wireless transmitter to transmit the data to one or more computing devices (e.g., a desktop computer, laptop computer, one or more servers, a tablet computer, a smartphone, etc.). In some examples, the reactor instrumentation sensors may measure various nuclear reactor plant parameters (e.g., pressures, temperatures, liquid levels, and neutron flux or fluence). In preferred versions, a remote nuclear reactor instrumentation system may provide nuclear reactor operators with a safe and secure means for monitoring various reactor plant parameters, such as one or more of those referred to above, during a beyond-design-basis nuclear plant event or accident. In some versions of the invention, the backup power source is a radioisotope thermoelectric generator. In some versions of the invention, the loss of normal power to the nuclear reactor system comprises the identification of a complete loss of power to the nuclear reactor system. In yet other examples, the loss of power comprises a loss of power to a post-accident monitoring system. In some versions, the invention comprises a wireless transmitter, and in some implementations the wireless transmitter is located in a reactor building. In accordance with preferred implementations, the wireless transmitter causes data to be transmitted to a location remote from the reactor building. The wireless transmitter, in some examples of the invention, is a one-way transmitter. In some versions, the operations comprise logging data from one or more sensors. Signal conditioning circuitry may further be provided, electrically connected to the one or more sensors and the wireless transmitter. In some examples, signal multiplexing circuitry is electrically connected to the one or more sensors and the wireless transmitter. In various versions of the invention, the one or more sensors may include one or more sensors for monitoring a valve position indication, reactor coolant system temperature, reactor coolant system pressure, reactor coolant system level, containment temperature, containment pressure, containment level, decay heat removal level, decay heat removal pressure, reactor pool and spent fuel pool level, reactor pool and spent fuel pool temperature, neutron flux, and control rod position. In some versions, the post-accident monitoring system monitors one or more of the above sensors. In some examples, the normal power is electrically connected to a backup power source to charge the backup power source during normal reactor operations. In preferred versions, the system includes one or more computing devices having a wireless receiver configured to receive the data transmitted by the wireless transmitter. In one version of the invention, the wireless transmitter is located within a protected area. Most preferably, the operations comprise causing the wireless transmitter to transmit data from the protected area to a remote area. The details of one or more implementations of the subject matter described in this specification are set forth in the accompanying drawings and the description below. Other features, aspects, and advantages of the subject matter will become apparent from the description, the drawings, and the claims. FIG. 1 illustrates an example implementation of a nuclear power system 100 that includes multiple nuclear reactor systems 150 and remote monitoring systems 155. In some implementations, the system 100 may provide for a remote nuclear reactor instrumentation monitoring system powered from a backup or reserve power supply. In some aspects, a remote monitoring system 155 is powered from a backup or reserve power supply such as, for example, a qualified battery system (e.g., VLA type batteries), a non-qualified battery system (e.g., VRLA type batteries), an RTG, or other backup power source. The example remote monitoring system 155 includes a wireless transmitter electrically coupled to one or more reactor instrumentation sensors (e.g., active post-accident monitoring (PAM) variables). Upon the loss of a primary reactor instrumentation system (e.g., due to a an accident or event such as those described above) the remote monitoring system 155 may be activated to monitor the nuclear reactor instrumentation sensors and transmit received data wirelessly to one or more computing devices located a safe distance from the accident. In some implementations, the remote monitoring system 155 may include a sensor (e.g., an electro-mechanical device or a computing device having one or more processors) that detects one or more indications that a primary reactor instrumentation system is not operating normally. The remote monitoring system 155 may then be triggered to begin monitoring nuclear reactor system parameters and wirelessly transmitting the monitored data. In some implementations, the reactor instrumentation sensors monitored by the remote monitoring system 155 may include one or more of: valve position indication, reactor coolant system temperature, reactor coolant system pressure, reactor coolant system level, containment temperature, containment pressure, containment level, decay heat removal level, decay heat removal pressure, reactor pool and spent fuel pool level, reactor pool and spent fuel pool temperature, neutron flux (or fluence), and control rod position. In FIG. 1, the system 100 includes multiple nuclear reactor systems 150 that are electrically coupled to an electrical power system 135. Although only three nuclear reactor systems 150 are shown in this example, there may be fewer or more systems 150 that are included within or coupled to the nuclear power system 100 (e.g., 6, 9, 12, or otherwise). In one preferred implementation, there may be twelve nuclear reactor systems 150 included within the system 100, with one or more of the nuclear reactor systems 150 including a modular, light-water reactor as further described below. With respect to each nuclear reactor system 150, in a preferred version of the invention a reactor core 20 is positioned at a bottom portion of a cylinder-shaped or capsule-shaped reactor vessel 70. Reactor core 20 includes a quantity of fissile material that produces a controlled reaction that may occur over a period of perhaps several years or longer. Although not shown explicitly in FIG. 1, control rods may be employed to control the rate of fission within reactor core 20. Control rods may include silver, indium, cadmium, boron, cobalt, hafnium, dysprosium, gadolinium, samarium, erbium, and europium, or their alloys and compounds. However, these are merely a few of many possible control rod materials. In nuclear reactors designed with passive operating systems, various physical, chemical, or other properties are employed to ensure that safe operation of the nuclear reactor is maintained during normal operation or even in an emergency condition without operator intervention or supervision, at least for some predefined period of time. In implementations, a cylinder-shaped or capsule-shaped containment vessel 10 surrounds reactor vessel 70 and is partially or completely submerged in a reactor pool, such as below waterline 90, within reactor bay 5. The volume between reactor vessel 70 and containment vessel 10 may be partially or completely evacuated to reduce heat transfer from reactor vessel 70 to the reactor pool. However, in other implementations, the volume between reactor vessel 70 and containment vessel 10 may be at least partially filled with a gas and/or a liquid that increases heat transfer between the reactor and containment vessels. Containment vessel 10 may rest on a skirt (not shown) at the base of reactor bay 5. In a particular implementation, reactor core 20 is submerged within a liquid, such as water, which may include boron or other additive, which rises into channel 30 after making contact with a surface of the reactor core. In FIG. 1, the upward motion of heated coolant is represented by arrows 40 within channel 30. The coolant travels over the top of heat exchangers 50 and 60 and is drawn downward by way of convection along the inner walls of reactor vessel 70 thus allowing the coolant to impart heat to heat exchangers 50 and 60. After reaching a bottom portion of the reactor vessel, contact with reactor core 20 results in heating the coolant, which again rises through channel 30. Although heat exchangers 50 and 60 are shown as two distinct elements in FIG. 1, heat exchangers 50 and 60 may represent any number of helical coils that wrap around at least a portion of channel 30. In another implementation, a different number of helical coils may wrap around channel 30 in an opposite direction, in which, for example, a first helical coil wraps helically in a counterclockwise direction, while a second helical coil wraps helically in a clockwise direction. However, nothing prevents the use of differently-configured and/or differently-oriented heat exchangers and implementations are not limited in this regard. Further, although water line 80 is shown as being positioned just above upper portions of heat exchangers 50 and 60, in other implementations, reactor vessel 70 may include lesser or greater amounts of water. In FIG. 1, normal operation of the nuclear reactor module proceeds in a manner wherein heated coolant rises through channel 30 and makes contact with heat exchangers 50 and 60. After contacting heat exchangers 50 and 60, the coolant sinks towards the bottom of reactor vessel 70 in a manner that induces a thermal siphoning process. In the example of FIG. 1, coolant within reactor vessel 70 remains at a pressure above atmospheric pressure, thus allowing the coolant to maintain a high temperature without vaporizing (e.g., boiling). In some implementations, the nuclear reactor system 150 may operate at saturation pressure. In such implementations, coolant within reactor vessel 70 may remain at a saturation pressure while circulating (e.g., natural) to cool the core 20 and transfer heat to coolant within heat exchangers 50 and 60. As coolant within heat exchangers 50 and 60 increases in temperature, the coolant may begin to boil. As the coolant within heat exchangers 50 and 60 begins to boil, vaporized coolant, such as steam, may be used to drive one or more turbines that convert the thermal potential energy of steam into electrical energy. After condensing, coolant is returned to locations near the base of heat exchangers 50 and 60. During normal operation of the reactor module of FIG. 1, various performance parameters of the reactor may be monitored by way of sensors positioned at various locations within the module. Sensors within the reactor module may measure reactor system temperatures, reactor system pressures, containment vessel pressure, reactor primary and/or secondary coolant levels, reactor core neutron flux (or fluence), and/or reactor core neutron fluence. Signals that represent these measurements may be reported external to the reactor module by way of a conduit to a reactor bay interface panel (not shown), e.g., in a control room. One or more of the components and sensors of each nuclear reactor system 150 may be critical loads, such as, for example, active engineered safety feature (ESF) loads such as containment isolation valves, decay heat removal (DHR) valves, other actuatable valves and equipment, as well as sensors. In some aspects, such ESF components may be designed to fail to their safety position upon loss of control power or motive power. In addition, one or more of the components and sensors of each nuclear reactor system 150 may be non-critical loads, such as, for example, active PAM variables. PAM variables may include, for example, ESF actuation system valve position indication, reactor coolant system temperature, reactor coolant system pressure, reactor coolant system level, containment temperature, containment pressure, containment level, decay heat removal level, decay heat removal pressure, reactor pool and spent fuel pool level, reactor pool and spent fuel pool temperature, neutron flux (or fluence), and control rod position. In the illustrated nuclear power system 100, the electrical power system 135 (shown in block diagram form) may provide AC and DC current to all of the electrical loads of the nuclear reactor systems 150 in the system 100. For example, AC power (e.g., 120 VAC, one phase, 60 Hz) may be provided to the nuclear reactor systems 150 through one or more AC busses 145 (illustrated as one bus but contemplated as more than one parallel bus). AC power bus 145, in some aspects, may supply AC power to critical loads (e.g., ESF loads). AC power may also be provided to non-critical loads of the nuclear reactor systems 150 through one or more AC busses 140 (illustrated as one bus but contemplated as more than one parallel bus). The remote monitoring system 155 is at least communicably coupled to the electrical power system 135 (e.g., at AC busses 140, 145, or both, and/or to a bus supplying DC current) to monitor for power losses or other events that may give rise to a loss of normal reactor instrumentation systems. In some implementations, the remote monitoring system 155 receives power from the electrical power system 135 during normal nuclear reactor system operation to charge a remote monitoring system backup power supply. In some implementations, the remote monitoring system 155 is communicatively coupled to other nuclear reactor systems (not shown; e.g., control room alarm systems) to monitor for other events that may make normal means of monitoring nuclear reactor system parameters difficult or hazardous for operators. Although FIG. 1 illustrates a separate remote monitoring system 155 as being associated with each nuclear reactor system 150, in some implementations, a single remote monitoring system 155 may be used to monitor nuclear reactor sensors associated with multiple nuclear reactor systems 150. In some implementations, a single remote monitoring system may be used to monitor reactor instrumentation sensors associated with all of the nuclear reactor systems 150 in a nuclear power system 100. FIG. 2 illustrates a block diagram of a nuclear power instrumentation system 200 that includes a remote monitoring system 155 that uses a back-up power source. For example, in some aspects, system 200 includes one or more nuclear reactor systems 150, a remote monitoring system 155, a normal power source 215 (e.g., electrical power system 135), reactor instrumentation sensors 250 (e.g., active post-accident monitoring (PAM) variables), and a control room 260. The nuclear reactor system 150, and, optionally, the normal power source 215, remote monitoring system 155, and backup power source 220 are housed in a reactor building 210. In some implementations, a protected area 212 may be designated around one or more reactor buildings 210 at a nuclear power plant site. A protected area 212 may, for example, be an area encompassing a nuclear power plant and its safety equipment. The control room 260 may include one or more reactor interface panels 265 for displaying the reactor plant parameters as measured by the nuclear reactor instrumentation system 200 to operators. The backup power source 220 may be, for example, a qualified battery system (e.g., VLA type batteries), a nonqualified battery system (e.g., VRLA type batteries), a radioisotope thermoelectric generator (RTG), or other backup power supply. In some implementations, the backup power supply may include a connection (e.g., the “To External Power Source” block of FIG. 2) for an external power source (e.g., diesel generator, gasoline generator, combustion/turbine generator). The external power may be supplemental to or in replacement of backup power source 220. The reactor instrumentation sensors 250 may be digital, analog, or a combination of digital and analog sensors positioned at various locations within the reactor system 150. Reactor instrumentations sensors 250 may measure reactor system temperatures, reactor system pressures, containment vessel pressure, reactor primary and/or secondary coolant levels, reactor core neutron flux, and/or reactor core neutron fluence. The reactor instrumentation sensors 250 may monitor PAM variables including, for example, ESF actuation system valve position indication, reactor coolant system temperature, reactor coolant system pressure, reactor coolant system level, containment temperature, containment pressure, containment level, decay heat removal level, decay heat removal pressure, reactor pool and spent fuel pool level, reactor pool and spent fuel pool temperature, neutron flux (or fluence), and control rod position. The example remote monitoring system 155 is electrically coupled to the reactor instrumentation sensors 250 to receive data signals generated by the sensors 250 and includes a wireless transmitter 225 configured to receive the data signals from the reactor instrumentation sensors 250 and wirelessly transmit the reactor instrumentation data to one or more computing devices 270a and 270b (e.g., a desktop computer, laptop computer, server or group of servers, tablet computer, smartphone, cellular telephone, etc.) located outside of the reactor building 210. The remote monitoring system 155 may include a sensor 230, signal conditioning circuitry 235, signal multiplexing circuitry 240, and data logging circuitry 245. The remote monitoring system sensor 230 can detect an event that causes a loss of the normal reactor instrumentation system, and, in response, can activate the remote monitoring system 155. For example, the sensor 230 may be configured to detect a loss of normal power to the normal reactor instrumentation system and/or a reactor system 150, a loss of power to a PAM system, a complete loss of power (e.g., a primary and a secondary means of power) to the reactor instrumentation system, a reactor system, and/or a PAM system, or an event causing damage to or loss of a reactor interface panel 265 or the control room 260 (e.g., a fire in the control room). In order to detect such events, the sensor 230 may, for example, monitor for power interruptions to the reactor instrumentation system, reactor system 150, and/or the PAM system. In some implementations, the sensor 230 may be configured to monitor for emergency conditions in the control room 260, for example, by monitoring control room alarms which may require evacuation of the control room such as, e.g., a fire alarm or radiation alarm. In some implementations, the sensor 230 is an electro-mechanical device. Upon detecting an event such as those described above, the sensor 230 may establish an electrical connection between the backup power source 220 and the remote monitoring system 155. An electro-mechanical implementation of sensor 230 may, by establishing the electrical connection, provide power to the wireless transmitter 225 and to the remote monitoring system 155. In some implementations, the sensor 230 may be a power transistor, a semiconductor-controlled rectifier (SCR), a solid-state relay (SSR), or other appropriate device. In some implementations, the sensor 230 may be a computing device (e.g., a microprocessor, microcontroller, or finite state machine) programmed to monitor for and detect an event causing a loss of the reactor instrumentation system, activate the remote monitoring system 155, and control further operation of the remote monitoring system 155. The signal conditioning circuitry 235 may package the data conveyed by the reactor instrumentation sensor 250 signals for transmission by the wireless transmitter 225, for example, by encapsulating the data in appropriate data packets. The signal conditioning circuitry 235 may also include analog to digital signal conversion circuitry. Additionally, in the case of signals received from analog sensors, signal conditioning circuitry 235 may amplify, filter, and range match the signals. During normal operation, power is provided from the normal power source 215 to the reactor instrumentation sensors 250. The reactor instrumentation sensors 250 monitor various reactor system 150 parameters and transmit signals representing these measurements to operators on a reactor interface panel 265 in the control room 260. During normal operations, the signals or data from the instrumentation sensors 250 may be communicated to the control room 260 and reactor interface panel 265 using wired communications or, optionally, wireless communications. In some implementations, the backup power source 220 is also charged by the normal power source 215 during normal operation. When the remote monitoring system 155 (e.g., sensor 230) detects an event that causes a loss of the reactor instrumentation system, the remote monitoring system 155 is activated to transmit data from the reactor instrumentation sensors 250 to computing devices 270a and 270b located outside of the reactor building 210. Activating the remote monitoring system 155 may include connecting the remote monitoring system 155 to the backup power supply 220, e.g., by causing an electro mechanical device or solid state switch to actuate. The remote monitoring system 155 then receives data signals from the nuclear instrumentation sensors 250 and transmits the data to the computing devices 270a and 270b having receivers configured to receive the transmitted data. For example, before receiving data from the wireless transmitter the computing devices 270a and 270b may be required to provide authentication credentials. Thus, the remote monitoring system 155 may provide nuclear operators with a safe and secure means for monitoring reactor parameters from a remote location if access or power to a normal means of monitoring a reactor instrumentation system is lost. In some implementations, the remote monitoring system 155 may also provide power (e.g., from the backup power source 220) to one or more of the nuclear instrumentations sensors 250. In some implementations, wireless transmitter 225 is capable of transmitting signals of sufficient strength to be received by computing devices 270a and 270b located outside of the protected area 212. In some implementations, the wireless transmitter 225 is a one-way transmitter, for instance, the wireless transmitter 225 may not include a wireless signal receiver, and may thereby, prevent unauthorized alteration of the remote monitoring system 155 and data transmitted by the remote monitoring system. In some implementations, the nuclear instrument sensor data may be encrypted prior to being transmitted by the wireless transmitter. In addition, in order to receive data from the wireless transmitter the computing devices 270a and 270b may be preconfigured to be capable of decrypting the encrypted data from the wireless transmitter 225. FIG. 3 is a flowchart that illustrates an example method 300 for operating a remote monitoring system for a nuclear power system. In some implementations, method 300 may be executed by or with a remote monitoring system 155. More specifically, in some implementations, method 300 may be executed by or with sensor 230 of a remote monitoring system 155, e.g., in implementations in which the sensor is a computing device. Method 300 is described in reference to the remote monitoring system 155 of FIG. 2 above. Method 300 may begin at step 310, which includes detecting a loss of normal power to a nuclear reactor instrumentation system. The detected loss of power may be a complete loss of power to a reactor system (e.g., reactor system 150), or a loss of power to a PAM system. In some implementations, detecting the loss of normal power may include detecting a loss of power to a control room 260 or a reactor interface panel 265 in a control room 260. Method 300 may also include step 320, which includes automatically causing power to be provided from a backup power source 220 to a wireless transmitter 225 and reactor instrumentation sensors 250 in response to detecting the loss of power. For example, the remote monitoring system may cause an electro mechanical device or solid state switch to actuate, thereby, connecting the wireless transmitter 225 and reactor instrumentation sensors 250 to the backup power source 220. In some examples, the wireless transmitter 225 may normally be maintained in a low power mode (e.g., sleep mode), and during step 320 the remote monitoring system 155 (e.g., sensor 230) may cause the wireless transmitter 225 to transition to an active mode (e.g., waking up the wireless transmitter). In some implementations, step 320 may refer to activating the remote monitoring system 155 in response to a sensor 230 of the remote monitoring system 155 detecting the loss of normal power event. In such implementations, the remote monitoring system 155 may normally be maintained in a low power (e.g., sleep mode), and during step 320 transitioned into an active mode (e.g., waking up the remote monitoring system). Method 300 may also include step 330, which includes receiving data from one or more nuclear reactor instrumentation sensors 250. For example, as described above in reference to FIG. 2, a remote monitoring system 155 receives data signals from reactor instrumentation sensors 250. In some examples, as described in reference to FIG. 2 above, the remote monitoring system 155 may condition the data signals received form the reactor instrumentation signals using signal conditioning circuitry 235. Additionally, the remote monitoring system 155 may alternately select data to be transmitted from among the reactor instrumentation sensors 250, for example, using signal multiplexing circuitry 240. In addition, the remote monitoring system may store the data using data logging circuitry 245. Method 300 may also include step 340, which includes causing the wireless transmitter 225 to transmit the data to one or more computing devices 270a or 270b. In some implementations, wireless transmitter 225 is capable of transmitting signals of sufficient strength to be received by computing devices 270a and 270b located outside the reactor building 210 and the protected area 212. In some implementations, the wireless transmitter 225 may only transmit data and not receive data. In some implementations, the reactor instrument sensor data may be encrypted prior to being transmitted by the wireless transmitter. In addition, in order to receive data from the wireless transmitter, the computing devices 270a and 270b may be preconfigured to be capable of decrypting the encrypted data from the wireless transmitter 225. Implementations of the subject matter and the operations described in this specification can be realized in digital electronic circuitry, or in computer software, firmware, or hardware, including the structures disclosed in this specification and their structural equivalents, or in combinations of one or more of them. Implementations of the subject matter described in this specification can be realized using one or more computer programs, i.e., one or more modules of computer program instructions, encoded on computer storage medium for execution by, or to control the operation of, data processing apparatus. Alternatively or in addition, the program instructions can be encoded on an artificially generated propagated signal, e.g., a machine-generated electrical, optical, or electromagnetic signal that is generated to encode information for transmission to suitable receiver apparatus for execution by a data processing apparatus. A computer storage medium can be, or be included in, a computer-readable storage device, a computer-readable storage substrate, a random or serial access memory array or device, or a combination of one or more of them. Moreover, while a computer, storage medium is not a propagated signal; a computer storage medium can be a source or destination of computer program instructions encoded in an artificially generated propagated signal. The computer storage medium can also be, or be included in, one or more separate physical components or media (e.g., multiple CDs, disks, or other storage devices). The operations described in this specification can be implemented as operations performed by a data processing apparatus on data stored on one or more computer-readable storage devices or received from other sources. The term “data processing apparatus” encompasses all kinds of apparatus, devices, and machines for processing data, including by way of example a programmable processor, a computer, a system on a chip, or multiple ones, or combinations, of the foregoing. The apparatus can include special purpose logic circuitry, e.g., an FPGA (field programmable gate array) or an ASIC (application specific integrated circuit). The apparatus can also include, in addition to hardware, code that creates an execution environment for the computer program in question, e.g., code that constitutes processor firmware, a protocol stack, a database management system, an operating system, a cross-platform runtime environment, a virtual machine, or a combination of one or more of them. The apparatus and execution environment can realize various different computing model infrastructures, such as web services, distributed computing and grid computing infrastructures. A computer program (also known as a program, software, software application, script, or code) can be written in any form of programming language, including compiled or interpreted languages, declarative or procedural languages, and it can be deployed in any form, including as a stand-alone program or as a module, component, subroutine, object, or other unit suitable for use in a computing environment. A computer program may, but need not, correspond to a file in a file system. A program can be stored in a portion of a file that holds other programs or data (e.g., one or more scripts stored in a markup language document), in a single file dedicated to the program in question, or in multiple coordinated files (e.g., files that store one or more modules, sub programs, or portions of code). A computer program can be deployed to be executed on one computer or on multiple computers that are located at one site or distributed across multiple sites and interconnected by a communication network. The processes and logic flows described in this specification can be performed by one or more programmable processors executing one or more computer programs to perform actions by operating on input data and generating output. The processes and logic flows can also be performed by, and apparatus can also be implemented as, special purpose logic circuitry, e.g., an FPGA (field programmable gate array) or an ASIC (application specific integrated circuit). Processors suitable for the execution of a computer program include, by way of example, both general and special purpose microprocessors, and anyone or more processors of any kind of digital computer. Generally, a processor will receive instructions and data from a read only memory or a random access memory or both. Elements of a computer can include a processor for performing actions in accordance with instructions and one or more memory devices for storing instructions and data. Generally, a computer will also include, or be operatively coupled to receive data from or transfer data to, or both, one or more mass storage devices for storing data, e.g., magnetic, magneto optical disks, or optical disks. However, a computer need not have such devices. Devices suitable for storing computer program instructions and data include all forms of non-volatile memory, media and memory devices, including by way of example semiconductor memory devices, e.g., EPROM, EEPROM, and flash memory devices; magnetic disks, e.g., internal hard disks or removable disks; magneto optical disks; and CD ROM and DVD-ROM disks. The processor and the memory can be supplemented by, or incorporated in, special purpose logic circuitry. Particular implementations of the subject matter have been described. Other implementations, alterations, and permutations of the described implementations are within the scope of the following claims as will be apparent to those skilled in the art. For example, the actions recited in the claims can be performed in a different order and still achieve desirable results. Accordingly, the above description of example implementations does not define or constrain this disclosure. Other changes, substitutions, and alterations are also possible without departing from the spirit and scope of this disclosure. Accordingly, the invention should be determined entirely by reference to the claims that follow. |
|
abstract | A method of producing uranium halides is disclosed in which chlorine gas is introduced into a liquid uranium-nickel alloy. NaCl salt is surrounding the crucible containing the liquid uranium-nickel alloy, producing a eutectic mixture of NaCl—UCl3. Upon chlorination, the metal halide dissolves in the matrix salt forming a solution. Adding the reactant metal, uranium to the nickel, the alloy is able to remain molten throughout processing. The liquid metal alloy may be removed from the salt bath, while the halogen gas continues to enter the system through the sparge until the desired composition of NaCl—UCl3—UCl4 is achieved. The method and system can be used to produce other metal halide salts such as actinide, lanthanide or transition metal halides contained in a matrix salt consisting of alkali and/or alkaline earth halides. |
|
abstract | An apparatus and method to remotely perform automated piping and piping attachment weld inspections. The apparatus has two spaced positioning arms that rotate out from one side of a frame structure and a kicker arm that rotates out from an opposite side of the frame structure at a location between the two positioning arms. The positioning arms and the kicker arm wedge the frame structure between an object to be scanned and an opposing structure. A scanning subassembly supported on the frame structure is configured to pivot and move in an appropriate direction and to pilot a transducer around the surface of the object to be scanned. |
|
053316789 | summary | BACKGROUND OF THE INVENTION The present invention relates to nuclear fuel assemblies, and more particularly, to grid strips which form an egg crate type fuel rod support grid in a pressurized water nuclear fuel assembly. Conventionally, pressurized water nuclear reactors have a core of nuclear fuel assemblies, in which the fuel rods are supported and spaced relative to each other, by spacer grids. Each cell of the grid utilizes a system of fuel rod support features including low stiffness springs and opposing high stiffness arches to contact, locate, and stabilize the fuel rod. At the time of fabrication of the fuel assembly, the geometry of each grid and associated cell is intended to provide lateral forces between the fuel rod and the support features. This type of fuel rod support is represented by U.S. Pat. Nos. 4,803,043, 4,879,090 and 5,188,797. Typically, the separation distance in the as built grid, between each spring and its opposing arches, is less than the outside diameter of a new fuel rod. Thus, when a rod is inserted into a grid cell, the spring is compressed and the rod is held between the support features with a preload force. Since these support or contact features project into the flow stream that passes through the cell during operation in the reactor, the rod support structure should present a low cross section to minimize pressure drop losses. The effect of the reactor environment on the initial grid/rod interface is to cause the preload force to diminish through a combination of short-term and long-term mechanisms, followed by gap formation in cases where the force drops to zero. Short-term mechanisms include the effects of initial heatup of the fuel (partial relaxation of forming stresses in the grid strip and possible yielding of the grid strip due to reduced yield strength at higher temperatures) and initial pressurization of the reactor (spring compression is reduced because the fuel rod diameter decreases due to differential pressure). Long-term mechanisms include spring stress relaxation due to temperature and neutron flux, fuel rod cladding diametral creepdown, and, in the case of spacer grids made of Zircaloy, radiation growth of the grid cell. Full scale flow tests have shown that inadequate rod support by the grid results in excessive rod vibration, leading to wear and possibly rod failure. These tests have shown that the key to limiting rod wear is the prevention of significant gaps between the grid contact features and the rod. Based on the mechanisms described above there are two general ways to minimize gaps. One can lessen the creepdown of the fuel rod cladding or improve the short-term and long-term responses of the grid features to reactor operating conditions. It is the latter approach which is used in the present invention. SUMMARY OF THE INVENTION It is, accordingly, an object of the present invention to improve the short-term and long-term response of the fuel rod support structures in the fuel assembly grid, by resisting the inherent tendency of the spring preload to decrease during reactor operation. This is accomplished in the present invention, by stamping the grid strips to form beam springs such that each end of the beam spring is rigidly supported by a pedestal or the like which projects from the flat base region of the grid strip. In particular, a grid strip in accordance with the present invention, comprises a substantially flat plate having length, height and width dimensions, and a plurality of slots extending along the height dimension at regular intervals along the length dimension, thereby defining successive cell walls between successive slots along the length dimension. Each cell wall has upper, central and lower regions along the height dimension, each region including a substantially flat base area and fuel rod support structure projecting integrally from the base area along the width dimension of the strip. The support structure in each of the upper and lower regions includes a relatively stiff, arched stop which projects in a first direction and the support structure in the central region includes a relatively soft, arched spring which projects in a second direction opposite the first direction. The spring includes spaced apart pedestals formed in the base area of the central region and projecting in the second direction, and a resilient beam extending between and rigidly supported by the pedestals, so as to project in the second direction beyond the projection of the pedestals. Preferably, the pedestals project into each cell, a distance that puts them in close proximity to a fuel rod of the fabricated assembly. The crown of the beam spring projects slightly beyond the pedestal projection, in preload contact with the fuel rod. Preferably, each pedestal forms an arch that curves along the length dimension of the strip and the beam forms an arch that curves along the height dimension of the strip. The arch stops are preferably formed between a pair of longitudinal cut-outs that extend along the length dimension of the strip. The beam is formed between a pair of transverse cut-outs that extend along the height dimension of the strip, and each pedestal is formed between one longitudinal cut-out and the pair of transverse cut-outs. In a normal operating configuration in a nuclear reactor core, the length dimension of the strip would be horizontally oriented, the height dimension vertically oriented, and the width dimension oriented horizontally in a direction perpendicular to the length dimension. The present invention provides a number of significant advantages over conventional springs. The horizontal pedestals at the base of the beam, limit the deflection of the beam to prevent damage by fuel rods that are excessively deflected during handling when the grid is fabricated or reconstituted. The flow blockage area of the spring is minimized, thus reducing the pressure drop associated with the spring. The shape of the beam spring against the fuel rod as loaded in the cell is almost flat, Especially in a fuel assembly grid in which the strips are made from a zirconium alloy material, e.g., Zircaloy, long-term exposure to radiation in the reactor core causes the material to elongate, with the amount of elongation directly related to the amount of straining (cold-working) the material experienced after annealing. Therefore, the elongated shape of the beam spring amplifies the differential growth between the base region of the strip and the beam spring, thereby minimizing or eliminating gap formation between the spring and the fuel rod despite prolonged core operation. Additional straining of the spring (overform, then force back) and creating a slight cant of the pedestal projections away from each other during the forming process of the spring, can further enhance the amplification effect. |
claims | 1. A method for treating a tumor of a patient, in a beam treatment center comprising a floor, with positively charged particles, comprising the steps of:mounting a synchrotron to an elevated floor section above the floor of the beam treatment center;transporting the positively charged particles from said synchrotron, through said beam transport system, to a position above a patient positioning system; said step of transporting further comprising the steps of:at a first time, passing the positively charged particles through a first fixed-position beam transport line terminating along a first axis;at a second time, passing the positively charged particles through a second fixed-position beam transport line terminating along a second axis within twenty degrees of ninety degrees off of the first axis; andat a third time, passing the positively charged particles through a third fixed-position beam transport line terminating along a third axis within twenty degrees of forty-five degrees off of at least one of the first axis and the second axis,wherein none of said synchrotron and said beam transport system penetrate through the floor of the beam treatment center. 2. The method of claim 1, further comprising the step of:repositioning a repositionable nozzle system from a first termination end of said first fixed-position beam transport line at a first time to a second termination end of said second fixed-position beam transport line at a second time and to a third termination end of said third fixed-position beam transport line at a third time. 3. The method of claim 2, further comprising the step of:moving said repositionable nozzle system along a nozzle track, said nozzle track forming an arc of a circle, the center of the circle comprising an isocenter; andsaid patient positioning system positioning the tumor at the isocenter. 4. The method of claim 2, further comprising the step of:said first fixed-position beam transport line directing the positively charged particles to three entry points of a treatment zone position along a horizontal treatment plane, said second fixed-position beam transport line, and said third fixed-position beam transport line, wherein all magnets of said first fixed-position beam transport line, said second fixed-position beam transport line, and said third fixed-position beam transport line are positioned on said horizontal beam treatment plane. 5. The method of claim 2, further comprising the steps of:at the first point in time, transporting the positively charged particles through a vertical beam treatment plane and all magnets of said first fixed-position beam transport line;at the second point in time, transporting the positively charged particles along the vertical treatment beam while passing the positively charged particles through said second fixed-position beam transport line, andat the third point in time, transporting the positively charged particles along the vertical treatment beam while passing the positively charged particles through said third fixed-position beam transport line. 6. The method of claim 1, further comprising the step of:directing the positively charged particles at an upward treatment angle through said third fixed position beam transport line terminating in said upward treatment angle, said upward treatment angle within twenty degrees of forty-five degrees of horizontal. 7. The method of claim 1, said step of transporting further comprising the step of:said third fixed-position beam transport line guiding the positively charged particles from a first point above a plane of magnets of said synchrotron to a second point below said plane of magnets of said synchrotron, and to an upward treatment angle to a position above said patient positioning system, wherein the positively charged particles used to treat the tumor do not pass below a level of the floor. 8. The method of claim 1, further comprising the steps of:passing the positively charged particles from said synchrotron at a downward extraction angle through a Lambertson magnet; andsaid step of passing extracting the positively charged particles to a level below said synchrotron and above the floor of the beam treatment center to a starting position of the beam transport system, said beam transport system installed entirely above the floor of the beam treatment center. |
|
summary | ||
044938110 | abstract | A preamplifier for a wide range neutron flux monitoring system is disclosed. The preamplifier contains first to fifth amplifiers and a selection switch. The first amplifier for high frequency band amplification has a low input impedance circuit. The second amplifier for low frequency band amplification has a high input impedance circuit. Either of the first and second amplifiers can provide a path for the neutron flux level signal derived from a detector according to the frequency of the neutron flux level signal. The third amplifier (pulse amplifier) amplifies the output signal of the first amplifier and transfers the amplified signal to a pulse signal counting system. The lower frequency band Campbell signal is applied to the Campbelling system, through the second amplifier, the fourth amplifier (low frequency band Campbelling amplifier), and the selection switch. The higher frequency band Campbell signal is applied to the Campbelling system, through the first amplifier, the fifth amplifier (high frequency band Campbell amplifier), and the selection switch. |
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.