patent_number
stringlengths 0
9
| section
stringclasses 4
values | raw_text
stringlengths 0
954k
|
---|---|---|
054127009 | description | DETAILED DESCRIPTION OF THE INVENTION Referring to FIGS. 1 and 2, the barrier plate 1 has a plurality of penetrations 15, and each driveline penetration is sealed with an elastomer flapper seal 4. The closure head 3 is a 28 inch thick solid plate or fabricated weldment of sufficient characteristics having penetrations for the control rod 9 and shutdown drive mechanisms 10. The closure head 3 and barrier plate 1 combined to form a secondary seal boundary 6. A primary boundary 6a is formed by the barrier plate 1 and the reactor vessel 2. All of the pressure load which is applied to the barrier plate 1 from the closure head 3 is transferred through compression posts 7 at every fuel tube 11 located at the bottom of the closure head 3. The load is then carried back to the reactor vessel 2 through the closure studs 8. All of the in-core reactor instrumentation 12 and gadolinium injection piping 13 are permanently affixed to the barrier plate 1. The instrumentation cables 20 are attached to a cable rack 14 suspended from a refueling guard plate 15a that is attached to the top of the barrier plate 1. To refuel the reactor, the external structure 26 is raised by a series of screw jacks 24. The control position extension tubes are disengaged from the barrier plate 1. An elastomer bellows seal 5 exists to insure a closed envelope between the closure head 3 and the barrier plate 1. This permits containment of heavy water evaporation which feeds directly into the cleanup system without contamination of the fuel cell. The area between the barrier plate 1 and the closure head 3 is exhausted removing all contaminated gases trapped between the primary and secondary boundaries. The external structure 26 and control mechanism 10 are then removed to allow access for refueling. During refueling instrumentation plugs 16 are removed and the fuel assembly 17 is replaced. None of the instrumentation cable 20 is disturbed during refueling. When a instrumentation closure plug 16 is removed from the top of a flow tube 11, the instrumentation in that plug is automatically disconnected at the connector 23 mounted on the guard plate 22. As the fuel assembly 17 is removed a seal may be placed over the barrier plate penetration 15 preventing heavy water evaporation while allowing the replacement of the nuclear fuel. The foregoing description of the preferred embodiment of the invention has been presented for purposes of illustration and description. It is not intended to be exhaustive or to limit the invention to the precise form disclosed, and obviously many modifications and variations are possible in light of the above teaching. |
041918886 | abstract | An ion extraction system utilizing small hole accel grid (SHAG) optics is disclosed. A screen grid, an accel grid and a decel grid are positioned downstream of a neutral, highly ionized plasma source, and each of these grids have apertures in axial alignment. The accel grid has a relatively small aperture in the range of less than 50% to approximately 10% the size of the aperture of the screen grid. The electric field set up by the screen grid and the accel grid serve to extract an ion beam from the plasma source and focus the ion beam through the accel grid aperture. The small aperture in the accel grid is formed by drilling a relatively large aperture in the accel grid and then securing a thin metallic foil on at least the downstream face of the accel grid to cover the large, drilled aperture.The relatively small aperture is etched in the thin metallic foil by the ion beam. |
claims | 1. An X-ray examination apparatus, comprising an x-ray source, an x-ray detector, an absorption means arranged between the x-ray source and the x-ray detector, a control unit for adjusting the degree of absorption of the absorption means, an image processing unit and a display unit, wherein the absorption degree is optimized in dependence upon at least one of user specific parameters (r), apparatus specific parameters (s), structure parameters (C), parameters (R) classifying the subject matter of the image, and further comprising a superposition unit which superposes, prior to the adjustment of the absorption means, a calculated adjustment of the absorption means on an X-ray image to be displayed. 2. An X-ray examination apparatus, comprising an x-ray source, an x-ray detector, an absorption means arranged between the x-ray source and the x-ray detector, a control unit for adjusting the degree of absorption of the absorption means, an image processing unit and a display unit, wherein the absorption degree is optimized in dependence upon at least one of user specific parameters (r), apparatus specific parameters (s), structure parameters (C), parameters (R) classifying the subject matter of the image, and further comprising an adaptation unit for adapting the parameter (r) over several X-ray exposures. 3. An X-ray examination apparatus, comprising an x-ray source, an x-ray detector, an absorption means arranged between the x-ray source and the x-ray detector, a control unit for adjusting the degree of absorption of the absorption means, an image processing unit and a display unit, wherein the absorption degree is optimized in dependence upon at least one of user specific parameters (r), apparatus specific parameters (s), structure parameters (C), parameters (R) classifying the subject matter of the image, and further comprising a parameter extraction unit for receiving the image freed from attenuation and for extracting the parameters which characterize the image. |
|
description | This application is a continuation of U.S. application Ser. No. 11/246,485, filed on Oct. 7, 2005 now U.S. Pat. No. 7,349,524. The present invention relates to an X-ray generator that generates the X-ray and extreme ultraviolet (“EUV”) light, and an exposure apparatus having the same. In manufacturing such a fine semiconductor device as a semiconductor memory and a logic circuit in photolithography technology, a reduction projection exposure apparatus has been conventionally employed which uses a projection optical system to project a circuit pattern formed on a mask (reticle) onto a wafer, etc. to transfer the circuit pattern. It is also important for the fine processing to use the exposure light having a shorter wavelength, to make uniform the light intensity that Koehler-illuminates the reticle, and to make uniform the effective light source distribution as an angular distribution of the exposure light that illuminates the reticle and the wafer. The minimum critical dimension to be transferred by the projection exposure apparatus or resolution is proportionate to a wavelength of light used for exposure. Thus, a projection optical apparatus using the EUV light with a wavelength of about 10 nm to about 15 nm much shorter than that of the UV light (referred to as “EUV exposure apparatus” hereinafter) has been developed. The EUV exposure apparatus typically uses a laser plasma light source. It irradiates a laser beam to a target material to generate plasma for use as the EUV light. The EUV exposure apparatus also typically uses a discharge plasma light source that generates the plasma and generates the EUV light by introducing gas to the electrode for discharging. For example, prior art include Japanese Patent Publications, Application Nos. 2002-174700 and 2004-226244. However, the laser plasma light source generates not only the EUV light but also flying particles called debris from the target material. In addition, the debris is emitted from the supply mechanism that supplies the target material. The debris also spreads from the electrode material in the discharge plasma light source. The debris causes contaminations, damages, and lowered reflectivity of optical elements, making uneven the light intensity and deteriorating the throughput. Accordingly, U.S. Pat. No. 6,359,969 arranges a debris mitigation system between a light emitting point and a mirror so as to remove the debris. The debris mitigation system is designed to remove the debris and transmit the EUV light, but actually it shields part of the EUV light and lowers the light intensity and throughput. In addition, the debris mitigation system shields the EUV light of a certain angle range, makes uneven the angular distribution and lowers the imaging performance. For example, FIG. 3 schematically shows a relationship between the light intensity per unit solid angle and the angle from the optical axis near the light source outlet. E1 is energy taken in by the optical system. The minimum angle θ1 is determined, as shown in FIG. 4, by an area shielded by the debris mitigation system, and the maximum angle θ2 is determined by the downstream optical system. Without the debris mitigation system, the minimum angle θ1 is smaller, and the angular uniformity and the light intensity that depends upon a product between the angle and the light intensity improves, but the mirror would get damaged by the debris. Accordingly, it is an exemplary object of the present invention to provide an X-ray generator and an exposure apparatus, which improve the uniformity of each of the light intensity and the angular distribution of the exposure light. An X-ray generator according to one aspect of the present invention for generating plasma and X-ray emitted from the plasma includes a unit for generating the plasma, and plural reflection optical systems for introducing the X-ray through different optical paths. An exposure apparatus according to another aspect of the present invention includes the above X-ray generator, an illumination optical system for illuminating a reticle having a pattern with X-ray generated by said X-ray generator, and a projection optical system for projecting the pattern of the reticle illuminated by said illumination optical system, onto an object to be exposed. A device manufacturing method according to still another aspect of the present invention includes the steps of exposing an object using the above exposure apparatus, and developing the object exposed. Other objects and further features of the present invention will become readily apparent from the following description of the preferred embodiments with reference to accompanying drawings. Referring now to the accompanying drawings, a description will be given of an X-ray generator (EUV light source) 10 according to this embodiment. Here, FIG. 1 is a partial section of the EUV light source 10. The EUV light source 10 includes, in a vacuum chamber 12, a plasma generating means that is not shown in FIG. 1 and will be described later, a debris mitigation system 14, and first and second optical systems 20 and 30 that introduce the EUV light through different optical paths. Thus, the EUV light source 10 has plural optical systems, makes uniform the angular distribution, and increases the light intensity of the light source. The first optical system 20 is a condenser optical system that includes a spheroid mirror and condenses the X-ray (or EUV light) generated from the plasma generating point PL. One of the focal points of the first optical system 20 is the plasma generating point PL, and the other is the light source outlet O. A light that connects a center of the plasma generating point PL to a center of the light source outlet O corresponds to the optical axis OA. The acceptable solid angle is determined by the debris mitigation system 14 and the downstream optical system. The second optical system 30 is an optical system that enhances the light intensity of the light source outlet O and the angular distribution uniformity. More specifically, the second optical system 30 supplements the light intensity and the angular distribution of the EUV light at the light source outlet O corresponding to the angular range shielded by the debris mitigation system 14. The second optical system 30 includes a spheroid mirror 32 and a hyperboloid mirror 34. The number of reflections is once in the first optical system 20, whereas the number of reflections is twice in the second optical system 30. Therefore, the number of reflections is different between these optical systems. One of the focal points of the second optical system 30 is also the plasma generating point PL, and the other is also the light source outlet O. More specifically, the spheroid mirror 32 has one focal point at the plasma emitting point PL, and the other focal point F on the optical axis. The hyperboloid mirror 34 has the focus points at both the plasma emitting point PL and the light source outlet O. Thus, the first and second optical systems 20 and 30 have approximately the same condensing point, where a phrase “approximately the same” intends to cover tolerance. The second optical system 30 is arranged at a position that does not shield the first optical system 20. This embodiment assumes that the plasma emitting point PL uniformly distributes on the focal plane, and the EUV light emits isotropically from each location. It also assumes that the first optical system 20 ideally images, at an image point or the light source outlet O, the plasma emitting point PL of the object point. Therefore, the image uniformly circularly distributes at the image position, and the angular distribution of the EUV light does not depend upon the location. The reflectance of the first optical system 20 is set to R. From the above assumptions, the brightness at the light source outlet O is expressed by IR [W/mm2/sr/nm] irrespective of the capturing optical system, where I [W/mm2/sr/nm] is the brightness of the emission at the plasma emitting point PL. Since an image has a fixed size S at the light source outlet O captured by the optical system downstream from the light source, the light intensity per solid angle at the light source outlet O is IRS [W/sr/nm]. Therefore, a difference of the light intensity per solid angle at the light source outlet O is only the reflectance. If there is only the first optical system 20, the debris mitigation system 14 shields the light and forms an area A that does not include the reflected light, for example, as shown in FIG. 2. FIG. 3 is an angular distribution of the EUV light emitted from the light source. E1 is the energy captured by the first optical system 20. However, when the second optical system 30 is properly designed and its reflectance is set to R′, the angular distribution can be corrected as shown in FIG. 4. In FIG. 4, E1 is the energy captured by the first optical system 20, and E2 is the energy captured by the second optical system 30. In FIG. 4, E1 denotes the light intensity distribution similar to that in FIG. 3, and the light intensity distribution E2 is extended by an angular zone from θ0 to θ1. Thereby, the light intensity increases, the throughput increases, and the more uniform angular distribution in the range from θ0 to θ2 improves the imaging characteristic. The capturing amount of the EUV light is expressed by a product (or etendue) between the solid angle and the size. The etendue [mm2·sr] is defined as (solid angle captured by the optical system)×size. The etendue of 1 or smaller is preferable for exposure of a size of 100 nm or smaller. A description will now be given of the concrete structure of FIG. 1. First, as shown in FIG. 5, in the state where only the first optical system 20 exists, the plasma emitting point PL uniformly distributes in a circle with Φ0.5 mm on the focal plane, and the plasma brightness of 50 [W/mm2/sr/nm]. For example, it is assumed by taking the debris removing capability into account the debris mitigation system 14 disclosed in U.S. Pat. No. 6,359,969 has a size of Φ100 mm. The image has a size of 10 mm2 and a solid angle of 0.1 sr (etendue=1 [mm2·sr]) as a result of capture by the optical system downstream from the light source. Table 1 shows parameters of the first optical system 20 determined in terms of the size of the plasma emitting point, the size of the debris mitigation system 14, and the etendue: TABLE 1FIRST OPTICAL SYSTEM (SPHEROID MIRROR) 20DISTANCE BETWEEN FOCAL POINTS1000 mmLENGTH OF MAJOR AXIS1200 mmLENGTH OF MINOR AXIS660 mmANGLE BETWEEN OPTICAL90°-144°AXIS AND LIGHT INCIDENT UPON MIRROR5 srSOLID ANGLEANGLE BETWEEN OPTICAL3.4°-10°AXIS AND REFLECTED LIGHT SOLID ANGLE0.1 sr As shown in FIG. 6, the angular distribution at the light source outlet has IRS=300 [W/sr/nm], θ1=3.4° and θ2=10° in FIG. 3. Therefore, no light exists due to shielding by the debris mitigation system 14 from 0° to 3.4° from the optical axis OA, and the light exists from 3.4° to 10°. The image at the light source outlet O has a size of about 10 mm2, and a solid angle of 0.1 sr, and thus the etendue of about 1 [mm2·sr]. The energy per unit solid angle of the EUV light emitted from the light source becomes 300 [W/sr/nm], where the reflectance of the mirror is 0.6. The total energy captured by the first optical system 20 and emitted from the light source is 300 [W/sr/nm]×0.1 [sr]=30 [W/nm]. Accordingly, the second optical system 30 is configured as shown in FIG. 1 by combining the spheroid mirror 32 and the hyperboloid mirror 34 so as to supplement the angular range between 0°. and 3.4°. The EUV light emitted from the plasma emitting point PL is captured by the second optical system 30, and forms a light source image at the light source outlet. Table 2 shows one example of parameters of the second optical system 30. TABLE 2SECOND OPTICALSYSTEM 30SPHEROIDHYPERBOLOIDMIRROR 32MIRROR 34DISTANCE BETWEEN FOCAL370 mm630 mmPOINTSLENGTH OF MAJOR AXIS700 mmLENGTH OF MINOR AXIS660 mmDISTANCE BETWEEN APEXES440 mmANGLE BETWEEN OPTICAL AXIS7°-21°AND LIGHT INCIDENT UPONMIRRORANGLE BETWEEN OPTICAL AXIS0.75°-3.4°AND REFLECTED LIGHTSOLID ANGLE0.6 sr0.01 sr Due to the second optical system 30, the light exists in the range between 0.75°-and 3.4°, as shown in FIG. 7. The image at the light source outlet O by the second optical system 30 has a size of about 10 mm2 and a solid angle of 0.01 sr. Thus, the energy per unit solid angle of the EUV light emitted from the light source becomes 180 [W/sr/nm], where the reflectance of each of the spheroid and hyperboloid mirrors 32 and 34 is 0.6. The total energy captured by the second optical system and emitted from the light source is 180 [W/sr/nm]×0.01 [sr]=1.8 [W/nm]. This corresponds to θ0=0.75 and IR'S=180 in FIG. 4. As a result of that the first and second optical systems 20 and 30 are simultaneously used, the angular distribution of the energy per unit solid angle is as shown in FIG. 7, and the uneven angular distribution is corrected. The increasing rate of the total energy is 1.8 [W/nm]/30 [W/nm]=0.06, and the light intensity increases by about 6%. While the illustrative parameters of the second optical system 30 are shown in the table, the number of configurations of the second optical system 30 is not one even if it combines the spheroid mirror 32 and the hyperboloid 34. The image to be captured by the downstream illumination optical system has a size of 10 mm2 and a solid angle of 0.01 sr from 0° to 3.4°. Therefore, the maximum etendue that can be captured by the second optical system 30 and fed to the following optical system is 100 [mm2]×0.01 sr=0.1 [mm2·sr]. The second optical system may have an arbitrary configuration as long as it captures the etendue of 0.1 [mm2·sr] or greater from the plasma, and supplements the angular distribution between 0° and 3.4°. For example, the EUV light source 10A having a second optical system 30A having a configuration shown in FIG. 8 has the same effect. Table 3 shows parameters of the second optical system 30A. TABLE 3SECOND OPTICALSYSTEM 30ASPHEROIDHYPERBOLOIDMIRROR 32AMIRROR 34ADISTANCE BETWEEN FOCAL100 mm630 mmPOINTSLENGTH OF MAJOR AXIS700 mmLENGTH OF MINOR AXIS690 mmDISTANCE BETWEEN APEXES440 mmANGLE BETWEEN24°-24°OPTICAL AXIS ANDLIGHT INCIDENT UPONMIRRORANGLE BETWEEN OPTICAL0.75°-3.4°AXIS AND REFLECTED LIGHTSOLID ANGLE3 sr0.01 sr Alternatively, an EUV light source 10B having a second optical system 30B that includes a plane mirror 32B and a mirror 34B having a curvature may be used. The focal point of the second optical system 30B accords with two focal points of the first optical system 20, i.e., the plasma emitting point PL and the light source outlet O. The mirrors 32B and 34B in the second optical system 30 do not have a revolving body, but preferably have a rotational symmetry with respect to the optical axis. The number of reflections of the second optical system 30B is not limited to twice, but the smaller number of reflections is preferable when the energy attenuation due to the reflection is considered. This embodiment is similar to the first embodiment in that the second optical system 30B does not shield the optical path of the first optical system 20. The second optical system 30 may include plural mirrors each having a curvature. The focal points of the second optical system 30 accord with the two focal points of the first optical system 20, i.e., the plasma emitting point PL and the light source outlet O. The number of reflections of the second optical system is not limited to twice, but the smaller number of reflections is preferable when the energy attenuation due to the reflection is considered. This embodiment is similar to the first embodiment in that the second optical system 30 does not shield the optical path of the first optical system 20. Referring now to FIG. 10, a description will be given of the X-ray generator that has a debris mitigation system of this embodiment and the exposure apparatus 100 having the same. Here, FIG. 10 is a schematic block diagram of a structure of the exposure apparatus 100. The inventive exposure apparatus 100 is a projection exposure apparatus that exposes a circuit pattern of a reticle 120 onto an object 140 using the EUV light with a wavelength of 13.4 nm as exposure light in a step-and-scan or step-and-repeat manner. This exposure apparatus is suitable for a lithography process less than submicron or quarter micron, and the present embodiment uses the step-and-scan exposure apparatus (also referred to as a “scanner”) as an example. The “step-and-scan”, as used herein, is an exposure method that exposes a reticle pattern onto a wafer by continuously scanning the wafer relative to the reticle, and by moving, after a shot of exposure, the wafer stepwise to the next exposure area to be shot. The “step-and-repeat” is another mode of exposure method that moves a wafer stepwise to an exposure area for the next shot every shot of cell projection onto the wafer. The exposure apparatus 100 includes an illumination apparatus 110, a reticle stage 125 that supports and mounts the reticle 120, a projection optical system 130, a wafer stage 145 that supports and mounts the object 140 to be exposed, an alignment detecting mechanism 150, and a focus position detecting mechanism 160. The illumination apparatus 110 uses arc-shaped EUV light, for example, with a wavelength of 13.4 nm corresponding to an arc-shaped field of the projection optical system 130 to illuminate the reticle 120, and includes an EUV light source 112 and illumination optical system 114. The EUV light source 112 according to this embodiment is a laser plasma light source that irradiates a laser beam LL to a target T, and generates plasma and the EUV light EL radiated from the plasma. The EUV light source 112 may apply any one of the above EUV light sources 10 to 10B. The EUV light source 112 includes a laser light source part 40 that irradiates the laser beam LL, an optical system 50 that introduces the laser beam LL to the target T, and a target supply unit 60, in addition to the above structure of the EUV light source 10. FIG. 10 omits a detailed configuration of the EUV light source 10 for illustration purposes. The laser beam LL emitted from the laser light source part is condensed by the optical system 50, and irradiated onto the target T. The target T may include copper, tin, aluminum and other metal materials, or Xe gas, droplets and cluster. For example, the target T is intermittently supplied as Xe droplets from the target supply unit 60 in synchronization with the emissions of the laser beam LL of the laser light source part 40. The energy from the laser beam LL generates the high-temperature and high-density plasma from the target T, and emits the EUV light from the plasma 1. The EUV light is collected by the first optical system 10, and supplied to the following illumination optical system 114. The optical system 50 includes a lens, a mirror, a plane-parallel plate glass, etc., and serves as part of the vacuum diaphragm of the vacuum chamber 12. A laser introduction window 54 that transmits the laser beam LL to the vacuum chamber 12 is part of the optical system 50. The optical system 50 adjusts the laser beam LL for efficient acquisitions of the EUV light so that its spot size and energy density on the target T is necessary and sufficient to generate the plasma. The plasma also generates the debris in addition to the EUV light, which originates from the target T, copper, and target supply unit 60. The generated debris gradually adheres to and deposits on the first optical system 10, lowering the light intensity. Accordingly, the debris mitigation system 14 is arranged between the plasma emitting point PL and the first optical system 10. In addition, the second optical system 30 omitted in FIG. 10 supplements the EUV light shielded by the debris mitigation system 14. The illumination optical system 114 includes condenser mirrors 114a, and an optical integrator 114b. The condenser mirror 114a serves to collect the EUV light that is isotropically irradiated from the laser plasma. The optical integrator 114b serves to uniformly illuminate the reticle 120 with a predetermined numerical aperture (“NA”). An aperture to limit the illumination area to an arc shape is also provided. The illumination optical system 114 may use a multilayer mirror and an grazing angle total reflection mirror. The reticle 120 is a reflection reticle that has a circuit pattern or image to be transferred, and supported and driven by the reticle stage 125. The diffracted light from the reticle 120 is reflected by the projection optical system 130 and projected onto the object 140. The reticle 120 and the object 140 are arranged in an optically conjugate relationship. The exposure apparatus 100 is a scanner, and projects a reduced size of the pattern of the reticle 120 onto the object 140 by scanning the reticle 120 and the object 140. The reticle stage 125 supports the reticle 120 and is connected to a moving mechanism (not shown). The reticle stage 125 may use any structure known in the art. A moving mechanism (not shown) may include a linear motor etc., and drives the reticle stage 125 at least in a direction X and moves the reticle 120. The exposure apparatus 100 synchronously scans the reticle 120 and the object 140. The projection optical system 130 uses plural multilayer mirrors 130a to project a reduced size of a pattern of the reticle 120 onto the object 140. The number of mirrors 130a is about four to six. For wide exposure area with the small number of mirrors, the reticle 120 and object 140 are simultaneously scanned to transfer a wide area that is an arc-shaped area or ring field apart from the optical axis by a predetermined distance. The projection optical system 130 has a NA of about 0.2 to 0.3. The instant embodiment uses a wafer for the object 140, but it may include a spherical semiconductor and liquid crystal plate and a wide range of other objects to be exposed. Photoresist is applied onto the object 140. The object 140 is held onto the wafer stage 145 by a wafer chuck 145a. The wafer stage 145 moves the object 140, for example, using a linear motor in XYZ directions. The reticle 120 and the object 140 are synchronously scanned. The positions of the reticle stage 125 and wafer stage 145 are monitored, for example, by a laser interferometer, and driven at a constant speed ratio. The alignment detection system 150 measures a positional relationship between the position of the reticle 120 and the optical axis of the projection optical system 130, and a positional relationship between the position of the object 140 and the optical axis of the projection optical system 130, and sets positions and angles of the reticle stage 125 and the wafer stage 145 so that a projected image of the reticle 120 may be positioned in place on the object 140. A focus detection optical system 160 measures a focus position on the object 140 surface, and control over a position and angle of the wafer stage 145 may always maintain the object 140 surface at an imaging position of the projection optical system 130 during exposure. In exposure, the EUV light emitted from the illumination apparatus 110 illuminates the reticle 120, and images a pattern of the reticle 120 onto the object 140 surface. The instant embodiment uses an arc or ring shaped image plane, scans the reticle 120 and object 140 at a speed ratio corresponding to a reduction ratio to expose the entire surface of the reticle 120. The EUV light source 112 in the illumination apparatus 110 used for the exposure apparatus 100 improves the light intensity and the angular distribution of the exposure light, sufficiently removes the debris, and stably generates the EUV light. Thus, the exposure apparatus 100 may manufacture devices (such as a semiconductor device, a LCD device, an image-taking device (such as a CCD), and a thin-film magnetic head) with good economical efficiency and throughput. Referring now to FIGS. 11 and 12, a description will be given of an embodiment of a device manufacturing method using the above exposure apparatus 100. FIG. 11 is a flowchart for explaining manufacture of devices (i.e., semiconductor chips such as IC and LSI, LCDs, CCDs, etc.). Here, a description will be given of a fabrication of a semiconductor chip as an example. Step 1 (circuit design) designs a semiconductor device circuit. Step 2 (reticle fabrication) forms a reticle having a designed circuit pattern. Step 3 (wafer preparation) manufactures a wafer using materials such as silicon. Step 4 (wafer process), which is referred to as a pretreatment, forms actual circuitry on the wafer through photolithography using the mask and wafer. Step 5 (assembly), which is also referred to as a posttreatment, forms into a semiconductor chip the wafer formed in Step 4 and includes an assembly step (e.g., dicing, bonding), a packaging step (chip sealing), and the like. Step 6 (inspection) performs various tests for the semiconductor device made in Step 5, such as a validity test and a durability test. Through these steps, a semiconductor device is finished and shipped (Step 7). FIG. 12 is a detailed flowchart of the wafer process in Step 4. Step 11 (oxidation) oxidizes the wafer's surface. Step 12 (CVD) forms an insulating film on the wafer's surface. Step 13 (electrode formation) forms electrodes on the wafer by vapor disposition and the like. Step 14 (ion implantation) implants ions into the wafer. Step 15 (resist process) applies a photosensitive material onto the wafer. Step 16 (exposure) uses the exposure apparatus 100 to expose a circuit pattern of the reticle onto the wafer. Step 17 (development) develops the exposed wafer. Step 18 (etching) etches parts other than a developed resist image. Step 19 (resist stripping) removes the disused resist after etching. These steps are repeated, and multilayer circuit patterns are formed on the wafer. The device manufacturing method of this embodiment may manufacture a higher quality device than the conventional method. The device fabrication method that uses the exposure apparatus 100 and the resultant devices also constitute one aspect of the present invention. Further, the present invention is not limited to these preferred embodiments, and various variations and modifications may be made without departing from the scope of the present invention. This application claims a benefit of priority based on Japanese Patent Application No. 2004-295625, filed on Oct. 8, 2004, which is hereby incorporated by reference herein in its entirety as if fully set forth herein. |
|
summary | ||
description | The present invention is directed to resolution enhancement techniques for photolithography. Electronic circuits, such as integrated circuits (ICs), are used in a variety of products, from automobiles to microwaves to personal computers. Designing and fabricating IC devices typically involves many steps, sometimes referred to as the “design flow.” The particular steps of a design flow often are dependent upon the type of the circuit, its complexity, the design team, and the circuit fabricator or foundry that will manufacture the circuit. Typically, software and hardware “tools” verify the design at various stages of the design flow by running software simulators and/or hardware emulators. These steps aid in the discovery of errors in the design, and allow the designers and engineers to correct or otherwise improve the design. Several steps are common to most design flows. Initially, the specification for a new circuit is transformed into a logical design, sometimes referred to as a register transfer level (RTL) description of the circuit. With this logical design, the circuit is described in terms of both the exchange of signals between hardware registers and the logical operations that are performed on those signals. The logical design typically employs a Hardware Design Language (HDL), such as the Very high speed integrated circuit Hardware Design Language (VHDL). The logic of the circuit is then analyzed, to confirm that it will accurately perform the functions desired for the circuit. This analysis is sometimes referred to as “functional verification.” After the accuracy of the logical design is confirmed, it is converted into a device design by synthesis software. The device design, which is typically in the form of a schematic or netlist, describes the specific electronic devices (such as transistors, resistors, and capacitors) that will be used in the circuit, along with their interconnections. This device design generally corresponds to the level of representation displayed in conventional circuit diagrams. The relationships between the electronic devices are then analyzed, to confirm that the circuit described by the device design will correctly perform the desired functions. This analysis is sometimes referred to as “formal verification.” Additionally, preliminary timing estimates for portions of the circuit are often made at this stage, using an assumed characteristic speed for each device, and incorporated into the verification process. Once the components and their interconnections are established, the design is again transformed, this time into a physical design that describes specific geometric elements. This type of design often is referred to as a “layout” design. The geometric elements, which typically are polygons, define the shapes that will be created in various layers of material to manufacture the circuit. Typically, a designer will select groups of geometric elements representing IC components (e.g., contacts, channels, gates, etc.) and place them in a design area. These groups of geometric elements may be custom designed, selected from a library of previously-created designs, or some combination of both. Lines are then routed between the geometric elements, which will form the wiring used to interconnect the electronic devices. Layout tools (often referred to as “place and route” tools), such as Mentor Graphics' IC Station or Cadence's Virtuoso, are commonly used for both of these tasks. Circuit layout descriptions can be provided in many different formats. The Graphic Data System II (GDSII) format is a popular format for transferring and archiving two-dimensional (2D) graphical circuit layout data. Among other features, it contains a hierarchy of structures, each structure containing layout elements (e.g., polygons, paths or poly-lines, circles and textboxes). Other formats include an open source format named Open Access, Milkyway by Synopsys, Inc., EDDM by Mentor Graphics, Inc., and the more recent Open Artwork System Interchange Standard (OASIS) proposed by Semiconductor Equipment and Materials International (SEMI). These various industry formats are used to define the geometrical information in layout designs that are employed to manufacture integrated circuits. Once the design is finalized, the layout portion of the design can be used by fabrication tools to manufacture the circuit using a photolithographic process. There are many different fabrication processes for manufacturing a circuit, but most processes include a series of steps that deposit layers of different materials on a substrate, expose specific portions of each layer to radiation, and then etch the exposed (or non-exposed) portions of the layer away. For example, a simple semiconductor device component could be manufactured by the following steps. First, a positive type epitaxial layer is grown on a silicon substrate through chemical vapor deposition. Next, a nitride layer is deposited over the epitaxial layer. Then specific areas of the nitride layer are exposed to radiation, and the exposed areas are etched away, leaving behind exposed areas on the epitaxial layer, (i.e., areas no longer covered by the nitride layer). The exposed areas then are subjected to a diffusion or ion implantation process, causing dopants, for example phosphorus, to enter the exposed epitaxial layer and form charged wells. This process of depositing layers of material on the substrate or subsequent material layers, and then exposing specific patterns to radiation, etching, and dopants or other diffusion materials, is repeated a number of times, allowing the different physical layers of the circuit to be manufactured. Each time that a layer of material is exposed to radiation, a mask must be created to expose only the desired areas to the radiation, and to protect the other areas from exposure. The mask is created from circuit layout data. That is, the geometric elements described in a layout design define the relative locations or areas of the circuit that will be exposed to radiation through the mask. A mask or reticle writing tool is used to create the mask based upon the layout design, after which the mask can be used in a photolithographic process. As designers and manufacturers continue to increase the number of circuit components in a given area and/or shrink the size of circuit components, the shapes reproduced on the substrate (and thus the shapes in the mask) become smaller and are placed closer together. This reduction in feature size increases the difficulty of faithfully reproducing the image intended by the layout design onto the substrate. The diffractive effects of light often result in defects where the intended image is not accurately “printed” onto the substrate during the photolithographic process, creating flaws in the manufactured device. One or more resolution enhancement techniques (RETs) are often employed to improve the resolution of the image that the mask forms on the substrate during the photolithographic process. Examples of various resolution enhancement techniques are discussed in “Resolution Enhancement Technology: The Past, the Present, and Extensions for the Future,” Frank M. Schellenberg, Optical Microlithography XVII, edited by Bruce W. Smith, Proceedings of SPIE Vol. 5377, which article is incorporated entirely herein by reference. One of these techniques, “optical proximity correction” or “optical process correction”(OPC), adjusts the amplitude of the light transmitted through a lithographic mask by modifying the layout design data employed to create the mask. For example, edges in the layout design may be adjusted to make certain portions of the geometric elements larger or smaller, in accordance with how much additional light exposure (or lack of exposure) is desired at certain points on the substrate. When these adjustments are appropriately calibrated, overall pattern fidelity is greatly improved. Off-axis illumination (OAI) is another widely used RET, taking advantage of the relationship between image resolution and the propagation direction of the light transmitted through a lithographic mask. OAI improves the image resolution by shifting diffraction orders such that higher order diffraction beams can be collected by the collection pupil of the objective lens. A particular oblique illumination direction improves resolution of only those features that are correctly oriented with respect to that illumination direction, however. Consequently, different layout features may require different OAI light sources. A category of OAI light sources is dipole light sources. Examples of two such dipole light sources are illustrated in FIG. 5. Dipole illumination increases image resolution of layout features that are oriented perpendicular to the axis of the dipole, but results in a significantly degraded image contrast for layout features that are parallel to the axis of the dipole. A double exposure technique known as double dipole lithography (DDL) has been developed to utilize the benefits of dipole illumination and at the same time to reduce the degrading effects. In DDL, the layout design data are decomposed into two layout portions, corresponding to two dipole light sources with orthogonal dipole orientations. The two layout portions are then used to fabricate two masks. To simplify the description, an X dipole light source 501 and a Y dipole light source 503 are used here to denote the two light sources with orthogonal dipole orientations, as illustrated in FIG. 5. The two layout design portions are labeled as a vertical layout portion and a horizontal layout portion. The mask made with the vertical layout portion is exposed by the X dipole light source and the second mask made with the horizontal layout portion is exposed by the Y dipole light source. Accordingly, DDL uses two masks and two exposures followed by a single etch to print one layer of layout features onto a substrate. It should be appreciated that the two pairs of words, “X/Y” and “vertical/horizontal” are used to describe orientations relative to each other, not specific orientations. The layout decomposition for DDL is not as straightforward as it appears to be. Many features may not be classified as either horizontal or vertical layout features. It is particularly true for low aspect ratio features such as small jog, line-ends, and landing pads. Effects of neighboring features may also need to be considered. Thus, the layout decomposition remains a challenge in DDL. Aspects of the invention relate to decomposing layout design data based on partial intensity distribution information. The partial intensity distribution information may be generated by performing one optical simulation on the layout design data with an X dipole light source and another optical simulation with a Y dipole light source. In some embodiments of the invention, image contrast data, derived from the partial intensity distribution, may be used for the layout decomposition. In some other embodiments of the invention, image slope values, such as normalized image log slope (NILS) data derived from the partial intensity distribution information, may be used alone or in connection with the image contrast data for the layout decomposition. User-provided information, geometric information or any combinations of these may be employed to assist the layout decomposition. The layout decomposition may assign a layout pattern or a fragment of a layout pattern (both referred to as a feature hereafter) to either a horizontal layout portion or a vertical layout portion or both. A feature belonging to the first two categories is referred to as a single-exposure feature while a feature belonging to the third category is denoted as a double-exposure feature. The layout decomposition may be adjusted during an OPC process. A single-exposure feature may be changed to a double-exposure feature, or vice versa, based on effects of the OPC process. For example, if an OPC correction to a single-exposure feature is so large that the feature may not be printed well, the feature may be changed to a double-exposure feature. In another example, a feature may be changed from double-exposure to single-exposure if a process-window simulation predicts a risk of bridging between the feature and a neighboring feature. The partial intensity distribution information may be used to assist the decomposition adjustment. In some embodiments of the invention, features are grouped into a plurality of categories based on the partial intensity information. The decomposition adjustment treats features belonging to different categories differently. Various aspects of the present invention relate to using partial intensity information to decompose layout design data into two portions for DDL. In the following description, numerous details are set forth for the purpose of explanation. However, one of ordinary skill in the art will realize that the invention may be practiced without the use of these specific details. In other instances, well-known features have not been described in details to avoid obscuring the present invention. Some of the techniques described herein can be implemented in software instructions stored on a computer-readable medium, software instructions executed on a computer, or some combination of both. Some of the disclosed techniques, for example, can be implemented as part of an electronic design automation (EDA) tool. Such methods can be executed on a single computer or on networked computers. Although the operations of the disclosed methods are described in a particular sequential order for convenient presentation, it should be understood that this manner of description encompasses rearrangements, unless a particular ordering is required by specific language set forth below. For example, operations described sequentially may in some cases be rearranged or performed concurrently. Moreover, for the sake of simplicity, the disclosed flow charts and block diagrams typically do not show the various ways in which particular methods can be used in conjunction with other methods. Additionally, the detailed description sometimes uses terms like “generate” and “decompose” to describe the disclosed methods. Such terms are high-level abstractions of the actual operations that are performed. The actual operations that correspond to these terms will vary depending on the particular implementation and are readily discernible by one of ordinary skill in the art. Also, as used herein, the term “design” is intended to encompass data describing an entire integrated circuit device. This term also is intended to encompass a smaller group of data describing one or more components of an entire device, however, such as a portion of an integrated circuit device. Still further, the term “design” also is intended to encompass data describing more than one microdevice, such as data to be used to form multiple microdevices on a single wafer. Operating Environment The execution of various electronic design automation processes may be implemented using computer-executable software instructions executed by one or more programmable computing devices. Because these examples of the invention may be implemented using software instructions, the components and operation of a generic programmable computer system on which various embodiments of the invention may be employed will first be described. Further, because of the complexity of some electronic design automation processes and the large size of many circuit designs, various electronic design automation tools are configured to operate on a computing system capable of simultaneously running multiple processing threads. The components and operation of a computer network having a host or master computer and one or more remote or slave computers therefore will be described with reference to FIG. 1. This operating environment is only one example of a suitable operating environment, however, and is not intended to suggest any limitation as to the scope of use or functionality of the invention. In FIG. 1, the computer network 101 includes a master computer 103. In the illustrated example, the master computer 103 is a multi-processor computer that includes a plurality of input and output devices 105 and a memory 107. The input and output devices 105 may include any device for receiving input data from or providing output data to a user. The input devices may include, for example, a keyboard, microphone, scanner or pointing device for receiving input from a user. The output devices may then include a display monitor, speaker, printer or tactile feedback device. These devices and their connections are well known in the art, and thus will not be discussed at length here. The memory 107 may similarly be implemented using any combination of computer readable media that can be accessed by the master computer 103. The computer readable media may include, for example, microcircuit memory devices such as read-write memory (RAM), read-only memory (ROM), electronically erasable and programmable read-only memory (EEPROM) or flash memory microcircuit devices, CD-ROM disks, digital video disks (DVD), or other optical storage devices. The computer readable media may also include magnetic cassettes, magnetic tapes, magnetic disks or other magnetic storage devices, punched media, holographic storage devices, or any other medium that can be used to store desired information. As will be discussed in detail below, the master computer 103 runs a software application for performing one or more operations according to various examples of the invention. Accordingly, the memory 107 stores software instructions 109A that, when executed, will implement a software application for performing one or more operations. The memory 107 also stores data 109B to be used with the software application. In the illustrated embodiment, the data 109B contains process data that the software application uses to perform the operations, at least some of which may be parallel. The master computer 103 also includes a plurality of processor units 111 and an interface device 113. The processor units 111 may be any type of processor device that can be programmed to execute the software instructions 109A, but will conventionally be a microprocessor device. For example, one or more of the processor units 111 may be a commercially generic programmable microprocessor, such as Intel® Pentium® or Xeon™ microprocessors, Advanced Micro Devices Athlon™ microprocessors or Motorola 68K/Coldfire® microprocessors. Alternately or additionally, one or more of the processor units 111 may be a custom-manufactured processor, such as a microprocessor designed to optimally perform specific types of mathematical operations. The interface device 113, the processor units 111, the memory 107 and the input/output devices 105 are connected together by a bus 115. With some implementations of the invention, the master computing device 103 may employ one or more processing units 111 having more than one processor core. Accordingly, FIG. 2 illustrates an example of a multi-core processor unit 111 that may be employed with various embodiments of the invention. As seen in this figure, the processor unit 111 includes a plurality of processor cores 201. Each processor core 201 includes a computing engine 203 and a memory cache 205. As known to those of ordinary skill in the art, a computing engine contains logic devices for performing various computing functions, such as fetching software instructions and then performing the actions specified in the fetched instructions. These actions may include, for example, adding, subtracting, multiplying, and comparing numbers, performing logical operations such as AND, OR, NOR and XOR, and retrieving data. Each computing engine 203 may then use its corresponding memory cache 205 to quickly store and retrieve data and/or instructions for execution. Each processor core 201 is connected to an interconnect 207. The particular construction of the interconnect 207 may vary depending upon the architecture of the processor unit 201. With some processor cores 201, such as the Cell microprocessor created by Sony Corporation, Toshiba Corporation and IBM Corporation, the interconnect 207 may be implemented as an interconnect bus. With other processor units 201, however, such as the Opteron™ and Athlon™ dual-core processors available from Advanced Micro Devices of Sunnyvale, Calif., the interconnect 207 may be implemented as a system request interface device. In any case, the processor cores 201 communicate through the interconnect 207 with an input/output interfaces 209 and a memory controller 211. The input/output interface 209 provides a communication interface between the processor unit 201 and the bus 115. Similarly, the memory controller 211 controls the exchange of information between the processor unit 201 and the system memory 107. With some implementations of the invention, the processor units 201 may include additional components, such as a high-level cache memory accessible shared by the processor cores 201. While FIG. 2 shows one illustration of a processor unit 201 that may be employed by some embodiments of the invention, it should be appreciated that this illustration is representative only, and is not intended to be limiting. For example, some embodiments of the invention may employ a master computer 103 with one or more Cell processors. The Cell processor employs multiple input/output interfaces 209 and multiple memory controllers 211. Also, the Cell processor has nine different processor cores 201 of different types. More particularly, it has six or more synergistic processor elements (SPEs) and a power processor element (PPE). Each synergistic processor element has a vector-type computing engine 203 with 128×128 bit registers, four single-precision floating point computational units, four integer computational units, and a 256 KB local store memory that stores both instructions and data. The power processor element then controls that tasks performed by the synergistic processor elements. Because of its configuration, the Cell processor can perform some mathematical operations, such as the calculation of fast Fourier transforms (FFTs), at substantially higher speeds than many conventional processors. It also should be appreciated that, with some implementations, a multi-core processor unit 111 can be used in lieu of multiple, separate processor units 111. For example, rather than employing six separate processor units 111, an alternate implementation of the invention may employ a single processor unit 111 having six cores, two multi-core processor units each having three cores, a multi-core processor unit 111 with four cores together with two separate single-core processor units 111, etc. Returning now to FIG. 1, the interface device 113 allows the master computer 103 to communicate with the slave computers 117A, 1157, 117C . . . 117x through a communication interface. The communication interface may be any suitable type of interface including, for example, a conventional wired network connection or an optically transmissive wired network connection. The communication interface may also be a wireless connection, such as a wireless optical connection, a radio frequency connection, an infrared connection, or even an acoustic connection. The interface device 113 translates data and control signals from the master computer 103 and each of the slave computers 117 into network messages according to one or more communication protocols, such as the transmission control protocol (TCP), the user datagram protocol (UDP), and the Internet protocol (IP). These and other conventional communication protocols are well known in the art, and thus will not be discussed here in more detail. Each slave computer 117 may include a memory 119, a processor unit 121, an interface device 122, and, optionally, one more input/output devices 125 connected together by a system bus 127. As with the master computer 103, the optional input/output devices 125 for the slave computers 117 may include any conventional input or output devices, such as keyboards, pointing devices, microphones, display monitors, speakers, and printers. Similarly, the processor units 121 may be any type of conventional or custom-manufactured programmable processor device. For example, one or more of the processor units 121 may be commercially generic programmable microprocessors, such as Intel® Pentium® or Xeon™ microprocessors, Advanced Micro Devices Athlon™ microprocessors or Motorola 68K/Coldfire® microprocessors. Alternately, one or more of the processor units 121 may be custom-manufactured processors, such as microprocessors designed to optimally perform specific types of mathematical operations. Still further, one or more of the processor units 121 may have more than one core, as described with reference to FIG. 2 above. For example, with some implementations of the invention, one or more of the processor units 121 may be a Cell processor. The memory 119 then may be implemented using any combination of the computer readable media discussed above. Like the interface device 113, the interface devices 123 allow the slave computers 117 to communicate with the master computer 103 over the communication interface. In the illustrated example, the master computer 103 is a multi-processor unit computer with multiple processor units 111, while each slave computer 117 has a single processor unit 121. It should be noted, however, that alternate implementations of the invention may employ a master computer having single processor unit 111. Further, one or more of the slave computers 117 may have multiple processor units 121, depending upon their intended use, as previously discussed. Also, while only a single interface device 113 or 123 is illustrated for both the master computer 103 and the slave computers, it should be noted that, with alternate embodiments of the invention, either the computer 103, one or more of the slave computers 117, or some combination of both may use two or more different interface devices 113 or 123 for communicating over multiple communication interfaces. With various examples of the invention, the master computer 103 may be connected to one or more external data storage devices. These external data storage devices may be implemented using any combination of computer readable media that can be accessed by the master computer 103. The computer readable media may include, for example, microcircuit memory devices such as read-write memory (RAM), read-only memory (ROM), electronically erasable and programmable read-only memory (EEPROM) or flash memory microcircuit devices, CD-ROM disks, digital video disks (DVD), or other optical storage devices. The computer readable media may also include magnetic cassettes, magnetic tapes, magnetic disks or other magnetic storage devices, punched media, holographic storage devices, or any other medium that can be used to store desired information. According to some implementations of the invention, one or more of the slave computers 117 may alternately or additions be connected to one or more external data storage devices. Typically, these external data storage devices will include data storage devices that also are connected to the master computer 103, but they also may be different from any data storage devices accessible by the master computer 103. It also should be appreciated that the description of the computer network illustrated in FIG. 1 and FIG. 2 is provided as an example only, and it not intended to suggest any limitation as to the scope of use or functionality of alternate embodiments of the invention. Layout Decomposition Tool FIG. 3 illustrates an example of a layout decomposition tool 300 that may be implemented according to various embodiments of the invention. As seen in the figure, the layout decomposition tool 300 includes a simulation module 320, a decomposition module 340, and an OPC and decomposition adjustment module 360. As also shown in this figure, various implementations of the layout decomposition tool 300 may cooperate with (or incorporate, in whole or part) a layout design database 315 and an output database 385. As will be discussed in detail below, the layout decomposition tool 300 decomposes layout design data into a horizontal layout portion and a vertical layout portion based on partial intensity distribution information. The simulation module 320 receives the layout design data from the layout design database 315 and performs optical simulations on the layout design data to obtain the partial intensity distribution information. An X-dipole light source and a Y-dipole light source are simulated separately for the simulations. Next, the decomposition module 340 analyzes the partial intensity distribution information to assign features in the layout design data to either the horizontal layout portion or the vertical layout portion or both. As discussed earlier, the term “feature” may refer to a whole layout pattern (e.g. a polygon) or a fragment of a layout pattern (e.g. a portion of a polygon). The decomposition module 340 may use image contrast data derived from the partial intensity distribution information for the assignment. The decomposition module 340 may also use image slope values derived from the partial intensity distribution information for the assignment. Users may alternatively or additionally provide instructions to guide the assignment. Geometric information of a feature may also be considered by the decomposition module 340. It should be appreciated that the decomposition module 340 may decide the assignment of a feature based on the partial intensity distribution information not only for the feature but also for its neighboring features. After the initial decomposition performed by the decomposition module 340, the OPC and decomposition adjustment module 360 performs OPC on the horizontal layout portion and the vertical layout portion and may adjust the initial decomposition. During decomposition adjustment, single-exposure features may be changed to double-exposure features and double-exposure features may be changed to single-exposure features, based on the effects of the OPC. The partial intensity distribution information may also play a role in the decomposition adjustment. For example, the OPC and decomposition adjustment module 360 may treat features differently based on the partial intensity distribution information. It should be noted that the OPC and decomposition adjustment process may be performed more than one time or iteratively on a feature. As previously noted, various embodiments of the invention may be embodied by a computing system, such as the computing system illustrated in FIG. 1 and FIG. 2. Accordingly, one or more components of each of the simulation module 320, the decomposition module 340, and the OPC and decomposition adjustment module 360 may be implemented using one or more processors in a computing system. It should be appreciated that, while these three modules are shown as separate units in FIG. 3, a single computer (or a single processor in a computing system) may be used to implement two or more of these modules at different times. Also, various examples of the invention may be embodied by software-executable instructions, stored on a computer-readable medium, for instructing a computing system to implement one or more components of each of the simulation module 320, the decomposition module 340, and the OPC and decomposition adjustment module 360. Further, while the layout design database 315 and the output database 385 are shown as separate units in FIG. 3, a single computer accessible medium may be used to implement two or all three of these databases. Still further, each module may either be fully automated or allow a user to provide instructions for an operation. Layout Decomposition FIG. 4 illustrates a flowchart describing methods of layout decomposition according to various embodiments of the invention. For purposes of explanation, various methods encompassed in FIG. 4 will be described with reference to the layout decomposition tool 300 shown in FIG. 3. It should be appreciated that the operations illustrated in FIG. 4 may be employed by implementations of a different layout decomposition tool, according to various embodiments of the invention. Likewise, it should be appreciated that the layout decomposition tool 300 shown in FIG. 3 may be used to perform methods according to various embodiments of the invention different from those encompassed by the flowchart of FIG. 4. Also, it should be appreciated that various embodiments of the invention may be implemented by a system comprising one or more processors programmed to perform the operations described in FIG. 4. Still further, various embodiments of the invention may be implemented by processor-executable instructions, stored in a processor-readable medium, for causing one or more processors to perform the operations described in FIG. 4. The flow illustrated in FIG. 4 starts with operation 410, receiving layout design data by the layout decomposition tool 300. As discussed in the background section, DDL uses two masks and two exposures followed by a single etch to print one layer of layout features described by the layout design data onto a substrate. Accordingly, the layout design data need to be decomposed into a horizontal layout portion and a vertical layout portion for fabricating the two masks. In various embodiments of the invention, partial intensity distribution information is applied to decompose the layout design data. To generate the partial intensity distribution information, the simulation module 320 performs, in operation 420, two optical simulations on the layout design data using two dipole light sources, an X dipole light source and a Y dipole light source, separately. An example of the two dipole light sources is shown in FIG. 5. The optical simulations simulate two exposures in DDL. Unlike the two exposures in DDL using two different masks, however, the simulations are performed on the unified layout design data which have yet been decomposed. For each dipole light source, partial intensity distribution information is obtained. The term “partial intensity” reflects the fact that printing a layer of layout features requires two light exposures. It does not mean that illumination from both the dipole light sources are needed for printing each feature onto the substrate. As discussed in detail below, some features printed on the substrate may be formed mainly by illumination with one dipole light source (single-exposure features) while others may require two exposures (double exposure features). Many existing tools may be adopted by the simulation module 320 for the operation 420, such as the optical simulation tools included in the CALIBRE family of software tools available from Mentor Graphics Corporation, Wilsonville, Oreg. In operation 430, the decomposition module 340 analyzes the partial intensity distribution information generated by the operation 420 and decomposes the layout design data into two layout portions. With some implementations of the invention, image contrast information (contrast data) is derived from the partial intensity distribution information and is used for assigning a feature to either the horizontal layout portion or the vertical layout portion or both. As already discussed, when a feature is assigned to only one of the layout portions, it is called a single-exposure feature; when a feature is assigned to both of the layout portions, it is called a double-exposure feature. There are two sets of contrast data for each feature in the layout design data, one set for illumination by the X-dipole light source and the other for illumination by the Y-dipole light source. These two sets of data are compared by the decomposition module 340. If a feature has a contrast higher for one light source than for the other, it may be assigned as a single-exposure feature. For example, a feature with higher contrast data for the X-dipole light source may be assigned to the vertical layout portion only. If the contrasts of a feature for the two light sources are comparable, it may be assigned as a double-exposure feature. The assignment of the feature may also need to consider the feature's environment, or its neighboring features. FIG. 6a shows a section of a layout design target before decomposition. FIG. 6b shows features in the horizontal layout portion after the decomposition which is associated with the Y-dipole light source, while FIG. 6c shows features in the vertical layout portion after the decomposition which is associated with the X-dipole light source. Apparently from the figures, vertical features are found mainly in the vertical layout portion and horizontal features are found mainly in the horizontal layout portion. Some horizontal features, however, are also found in the vertical layout portion such as feature 600 in FIG. 6c. The decomposition module 340 may assign them as double-exposure features after determining that the contrast values for the two light sources are comparable in the region of these features. This assignment may be counter-intuitive since these features including feature 600 are parts of long horizontal lines and could not be derived based on simple rules. With some implementations of the invention, a threshold value for contrast differences between the X-dipole light source and the Y-dipole light source may be used to help assign features to single-exposure features or double-exposure features. For example, if contrast differences for a feature are above the threshold, the feature may be assigned to either the horizontal layout portion or the vertical layout portion depending on which dipole light source produce the higher contrast. This threshold value could be derived from experience or prior decomposition attempts—the decomposition process may be iterative. In some embodiments of the invention, absolute intensity values may also be considered for features with the contrast differences slightly above the threshold. This is because, for example, some features may require double exposure to achieve adequate light exposure even though they favor either X- or the Y-dipole illumination. A feature's geometric information or some rule-based methods may be used to assist the decomposition as well. For example, the vertical array features in FIG. 6a may be assigned quickly to the vertical layout portion mainly based on their regular array structure and neighboring features. In various embodiments of the invention, image slope information such as NILS may be used as a basis for the layout decomposition alternatively or additionally. NILS measures the steepness of the image in transition from bright to dark and may serve as a metric of image quality. Like the contrast data, the NILS values of a feature can be derived from the partial intensity distribution information. Based on the NILS values associated with the two dipole light sources, features may be assigned to one or two of the layout portions. Again, the NILS values of the feature's neighbors may also play a role in the assignment. In operation 440, the OPC and decomposition adjustment module 360 may, based on OPC effects, adjust the initial layout decomposition obtained in operation 430. The module 360 performs OPC on one or both of the layout portions to compensate errors due to diffraction or process effects. OPC corrects these errors by moving edges or adding extra polygons to the pattern written on the mask, as illustrated in FIG. 7a and FIG. 7b. These two figures show features before and after OPC, respectively (The thick lines represent the edges of the target polygons and features from the vertical and horizontal layout portions are superimposed on each other). Changes to features due to OPC can be seen in both the horizontal and vertical layout portions. In some cases, the changes may lead to decomposition adjustment. For example, if a correction made by OPC is so large that a single-exposure feature would not be printed well, the module 360 may change the feature to be a double-exposure feature. In another example, if process-window simulations show a risk of bridging between a double-exposure feature and its neighboring features, the feature may be changed to a single-exposure feature. The partial intensity distribution information may be applied to assist in adjusting layout decomposition during the operation 440. With various implementations of the invention, features may be grouped into a plurality of categories, e.g. dipole-strong, dipole-weak, and dipole-neutral categories. Features in the dipole-strong category have strong sensitivity to the dipole illumination such as features forming a repeated “diffraction grating” pattern. These dipole-strong features are often single-exposure features and should have a strong resistance against being changed to double-exposure features. Features having a small square shape usually belong to the dipole neutral category and should have a strong resistance against being changed from double-exposure features to single-exposure features. Users' instructions may also be considered by the OPC and decomposition adjustment module 360. FIG. 8 illustrates another example of OPC and decomposition adjustment. In this example, some features such as feature 800 are changed by the module 360 from single-exposure features to double-exposure features after OPC. While the invention has been described with respect to specific examples including presently preferred modes of carrying out the invention, those skilled in the art will appreciate that there are numerous variations and permutations of the above described systems and techniques that fall within the spirit and scope of the invention as set forth in the appended claims. |
|
053125975 | description | DETAILED DESCRIPTION OF A PREFERRED EMBODIMENT Referring now to FIG. 1, there is shown a partially cut-away, perspective view of an apparatus according to a preferred embodiment of the present invention. Apparatus 10 includes housing 12 having first end 14, second end 16, upper surface 18 and lower surface 20. Upper surface 18 bears fluid inlet 22 and fluid outlet 24 at ends 14 and 16, respectively. Inlet 22 and outlet 24 may bear flanges 26, 28, respectively. Bracket 30 is attached to first end 14 by some convenient means, such as welding or riveting. Support 32, of any convenient form, is attached to lower surface 20. Baffle 40, having closed end 42 and open end 44, is disposed within housing 12 (FIGS. 1, 2). Closed end 42 of baffle 40 is attached to bracket 30 by any convenient means. Baffle 40 may be welded to bracket 30, or attached by some other means such as rivets or bolts. Baffle 40 is substantially coaxial with housing 12. Coil 50 is disposed about baffle 40 in spaced relation thereto. Preferably, coil 50 is spirally wound about baffle 40. Coil 50 has a diameter, pitch, inlets 56, 58 and outlets 60, 62. As best seen in FIG. 2, coil 50 is separated from baffle 40 by spacing 70, and from housing 12 by spacing 72. Coil 50 is at least partially filled with hydride 80. In an example of a preferred embodiment of the present invention, housing 12 is a substantially cylindrical drum about 12" (30.5 cm) in diameter and 34.5" (about 87.6 cm) long. Baffle 40 is 8.6" (about 21.8 cm) in diameter and 27.8" (about 70.6 cm) long. Housing 12, bracket 30 and baffle 40 are preferably stainless steel. The dimensions of housing 12, bracket 30 and baffle 40 may, however, be varied as convenient. The dimensions and configuration of coil 50 depend on the dimensions of housing 12 and baffle 40. If coil 50 is made longer, more separation occurs before the gas reaches outlets 60, 62 of coil 50, but the resistance of the relatively greater quantity of hydride 80 to gas flow in the longer coil is greater than in a shorter coil. If coil 50 is shortened, the quantity of hydride 80 it can hold may be insufficient to achieve the desired separation. Similarly, the diameter of coil 50 affects the amount of hydride 80 it can hold, the resistance of the hydride in coil 50 to the flow of gas therethrough, and the heat transfer between the fluid and the hydride. Generally, the larger the diameter of coil 50, the lower the gas flow rate per unit cross-sectional area of coil 50 and the slower the heat transfer. Thus, the optimum dimensions of coil 50 are best determined by a modest amount of computation and experiment for each particular apparatus 10. For the dimensions given above for housing 12 and baffle 40, coil 50 is 21' (about 6.4 m) long, with a diameter of 1.25" (about 3.2 cm). Coil 50 is spirally wound about baffle 50, separated from baffle 40 and housing 12 by spacings 70, 72 of 0.3" (about 0.8 cm) each. The pitch of coil 50 is about 1.5" (3.8 cm). Other than at inlets 56, 58 and outlets 60, 62, coil 50 contains no seams or welds. Seams or welds are avoided so as to give no opportunity for hydrogen to escape the system. Coil 50 may include two segments, as shown in FIG. 1. In this configuration, a process gas enters coil 50 at inlet 56, proceeding to outlet 60 and inlet 58 before exiting coil 50 at outlet 62. If desired, additional process gas can be added to coil 50 at inlet 58, or monitoring or sampling equipment can be connected between outlet 60 and inlet 58. For example, inlets 56, 58 may be provided with thermowells for receiving temperature-monitoring apparatus. Alternatively, inlet 58 and outlet 60 may be omitted so that coil 50 is a single, seamless coil. If convenient, apparatus 10 may contain a plurality of concentric coils. The components of apparatus 10, including housing 12, bracket 30, support 32, baffle 40, and coil 50 are preferably made of stainless steel. If preferred, other materials that are compatible with the hydride and the process gas can be used instead of stainless steel. To remove hydrogen from a hydrogen-containing process gas mixture, the mixture is pumped from a source 82 into apparatus 10 at inlet 56 by a first pump 90. If convenient, additional process gas may be input at inlet 58. As the mixture is pumped by first pump 90 through coil 50, it contacts hydride 80. At least a portion, and preferably most of the hydrogen in the mixture is absorbed by hydride 80, so that largely hydrogen-free gas exits outlet 62. As the temperature of hydride 80 rises, the hydrogen equilibrium pressure also increases, so hydride 80 absorbs progressively less hydrogen. To maintain absorption, hydride 80 is cooled by pumping a fluid from a source 92 with a second pump 94 through a cooler/heater 96 causing it to flow from source 92 over coil 50. The fluid enters housing 12 via fluid inlet 22, is diverted by baffle 40 to flow over coil 50, and exits housing 12 via fluid outlet 24. Fluid flow is confined to the region between baffle 40 and housing 12, where rapid flow facilitates efficient heat transfer from hydride 80 and coil 50 to the fluid. Therefore, spacing 70 between coil 50 and baffle 40, and spacing 72 between coil 50 and housing 12, are preferably small enough for turbulent flow and efficient heat transfer, but large enough not to restrict fluid flow and slow heat transfer. For the dimensions given above for housing 12, baffle 40 and coil 50, spacings 70, 72 are each about 0.3" (0.76 cm). It will be evident to one of ordinary skill that the optimum spacings 70, 72 depend on the particular dimensions of the components of apparatus 10. In contrast to absorption, hydrogen desorption is an endothermic reaction. To release hydrogen from hydride 80, fluid is heated by cooler/heater 96 and pumped over coil 50. Thus, whether apparatus 10 is operated in hydrogen-absorption or hydrogen-desorption phase is determined by regulating its temperature with cooler/heater 96: raising and lowering the temperature of the fluid so it cools hydride 80 for absorption and warms hydride 80 for desorption. The fluid used to regulate the temperature of hydride 80 is preferably nitrogen, but may be any other convenient stable, gas or liquid that does not react with hydride 80, the process gas or the materials of apparatus 10, such as one of the inert gases, and can efficiently transfer heat in the desired operating temperature range. As will be evident, the viscosity of the fluid must be such that it flows well in that temperature range. The temperature of the fluid depends on the choice of hydride 80 and whether apparatus 10 is operating in the absorption or desorption phase of the cycle. The larger the temperature difference between the fluid and hydride 80, the better heat transfer therebetween and the more effective the absorption/desorption process. Hydride 80 is any suitable hydride, hydride composition or packing material having a hydride-forming metal deposited into a substrate. To increase the efficiency of hydrogen absorption/desorption, the surface area of hydride 80 is maximized by supplying hydride 80 in the form of small, porous particles or pellets. For many hydrides, the particle size is reduced with each absorption/desorption cycle until eventually the particles disintegrate into a fine-grained powder. The powder is a poor heat conductor and tends to compact so that gas does not readily flow through it, reducing the efficiency of the process. Furthermore, the powder can readily become entrained in the gas stream, migrating with it and causing contamination of downstream piping and equipment. Therefore, hydride 80 is preferably a granular, dimensionally-stable composition. It is well known that hydrides preferentially absorb all three isotopes of hydrogen, and most hydrides also differentially absorb the three isotopes of hydrogen (protium, deuterium, and tritium). Depending on the particular choice of hydride particles 80 placed in coil 50, apparatus 10 can be used to recover hydrogen from a hydrogen-containing gaseous mixture or to separate hydrogen isotopes (protium, deuterium and tritium) from each other. To recover hydrogen from a gaseous mixture, a low pressure hydride such as palladium, uranium or titanium is placed in coil 50. To separate hydrogen isotopes, a hydride with strong isotopic effects, such as palladium, vanadium or a lanthanum-nickel-aluminum alloy, is used. A hydride is said to have an isotopic effect if it differentially absorbs the three isotopes of hydrogen. Apparatus 10 is operated in a temperature cycling process. Each temperature cycle consists of a cooling, or separation, phase and a heating, or regeneration, phase. In the cooling phase hydride 80 is cooled to a low temperature by circulating a low temperature fluid over the exterior of coil 50. In the heating phase hydride 80 is heated to a high temperature by circulating a high temperature fluid over coil 50. The faster the temperature is cycled, the better is the separation efficiency. An apparatus 10 according to this invention can cycle the temperature quickly, therefore it gives excellent separation efficiency. To separate hydrogen from a gaseous mixture, the mixture is pumped through coil 50 from inlet 56 to outlet 62 in the cooling phase of the separation cycle. As the gaseous mixture passes through coil 50, it contacts hydride 80. At least a portion, and preferably most of the hydrogen in the mixture is absorbed by hydride 80, and nearly hydrogen-free gas is withdrawn from coil 50 at outlet 62. The cooling phase ends when the hydrogen absorption capacity of hydride 80 is saturated. Next, in the heating phase of the cycle, the hydrogen is desorbed from hydride 80 and collected at outlet 62 of coil 50. To separate hydrogen isotopes from each other, hydride 80 is one of two types of hydride. The first type, such as palladium, absorbs the lighter hydrogen isotopes better than the heavier isotopes, that is, it absorbs protium better than deuterium and tritium, and deuterium better than tritium. This type of hydride is used to separate heavier isotopes from lighter isotopes, such as tritium from protium and deuterium, or deuterium from protium. The second type of hydride, such as vanadium, absorbs the heavier isotopes better than the lighter isotopes, that is, it absorbs tritium better than deuterium and protium, and deuterium better than protium. This second type of hydride is used to separate the lighter isotopes from the heavier isotopes, such as protium from deuterium and tritium, and deuterium from tritium. For example, to separate tritium from a mixture of tritium and protium, hydride 80 is the first type of hydride, such as palladium or the stable hydrogen-absorbing composition described in commonly assigned and recently issued U.S. Pat. No. 5,248,649 titled Palladium/Kieselguhr Composition and Method. The composition is made by immersing a porous substrate such as kieselguhr in a concentrated solution of tetra-amine palladium (11) nitrate. Palladium from the solution is deposited onto the substrate, which is then removed from the solution, dried, and calcined. This process is repeated until the desired amount of palladium has been deposited onto the substrate. Finally, the composition is heat treated to ensure reduction of the palladium to metal. First, in the cooling phase of the cycle, a quantity of the mixture equal to about half or less of the hydrogen absorption capacity of the hydride in coil 50, is fed through inlet 56 into coil 50. Hydride 80, in the form of palladium particles or some other convenient hydride of the first type described above, tends to absorb the lighter protium before it absorbs the heavier tritium. Therefore, more of the protium is absorbed by hydride 80 near inlet 56 of coil 50 than is absorbed near the farther end, near outlet 62. The opposite occurs for the tritium, as more of tritium is absorbed at the farther end of coil 62, near outlet 62, than is absorbed near inlet 56. Next, a quantity of protium is added at inlet 56. The protium displaces the tritium that was absorbed by hydride 80 in the cooling phase of the cycle, and pushes the tritium progressively towards outlet 62 until all or most of the tritium is driven out of coil 50. Finally, in the heating phase of the cycle, hydride 80 is heated to desorb the protium. The protium is collected at inlet 56 of coil 50 and saved in a holding tank or some other convenient storage device for use in the next cycle. The palladium/kieselguhr composition described above is also effective in separating protium (H) from deuterium (D), and in separating molecular hydrogen (H.sub.2) from other gases. For example, apparatus 10 may be operated as described above to separate the heavier deuterium from the lighter protium in a mixture containing 50 vol. % of each isotope. In the cooling phase of the cycle, more of the protium is absorbed by the hydride composition near inlet 56 and more of the deuterium is absorbed near outlet 62 of coil 50. Protium is added to coil 50 at inlet 56 to displace the absorbed deuterium, whereupon a first product containing over 99.9 vol. % deuterium exits coil 50 at outlet 62. Coil 50 is then heated to desorb the protium, yielding a second product containing over 99.9 vol. % protium. Similarly, a mixture of 20 vol. % H.sub.2 and 80 vol. % other gases such as N.sub.2 can be separated into a first product containing over 99.9 vol. % H.sub.2 and a second product containing less than 0.2 vol. % H.sub.2. To separate a lighter hydrogen isotope from heavier isotopes, coil 50 is filled with a hydride such as vanadium which absorbs the heavier isotopes better than the lighter isotope. The operation cycle is otherwise the same as that described above for separating a heavier isotope from the lighter isotopes. If desired, two such apparatus 10, the first for separating a heavier isotope and the second for separating a lighter isotope, may be coupled to produce high purity heavier isotopes and high purity lighter isotopes at the same time. The separation factor of the system, or the ratio of unabsorbed gas to the ratio of absorbed gas per cycle, is constant for each particular apparatus 10. Therefore, the achievable degree of separation depends on the number of cycles. Separations of up to 99.999% can be obtained by operating apparatus 10 for a sufficient number of cycles. It will be apparent to those skilled in the art that many changes and substitutions can be made to the preferred embodiment herein described without departing from the spirit and scope of the present invention as defined by the appended claims. |
summary | ||
description | Before a description of the embodiments of the present invention, the basic principle of the present invention will be described with reference to FIGS. 4A to 4D. An X-ray exposure mask will be exemplified herein as an exposure mask, though the principle also applies to an electron beam exposure mask. FIG. 4A shows a state before the X-ray exposure mask of the present invention is manufactured. This X-ray exposure mask has an X-ray transparent supporting thin film (membrane film) 2 covered over an Si frame 1, and an X-ray absorber pattern 4 and X-ray transparent film 3 formed on the membrane film 2. The X-ray absorber pattern formed on this X-ray exposure mask is formed at a position 402 displaced from a desired pattern position 401 by the manufacturing step. FIG. 4B shows the X-ray exposure mask according to the present invention. The transparent film 3 is partially decreased in thickness to reduce the positional distortion of the X-ray absorber pattern to the desired position. When the portion 301 is formed, a corrected pattern 403 in which the distorted pattern is corrected to the desired position can be obtained. More specifically, to correct the pattern 402 whose position is to be corrected to the desired position 401, the film thickness of the transparent film 3 near the region of the pattern whose position is to be corrected is decreased by a predetermined amount relative to that of the transparent film 3 in the moving direction. This changes stress distribution acting in the plane of the mask to generate IDP, so the pattern position can be corrected. Even when a new transparent film 302 is deposited on part of the transparent film 3 instead of removing part of the transparent film 3, as shown in FIG. 4C, internal stress of the transparent films 3 and 302 near the pattern 402 to be moved can be adjusted. In this case as well, stress distribution acting in the plane of the mask can be changed to generate IPD, so the pattern position can be corrected. Similarly, as shown in FIG. 4D, to move the pattern 402 whose position is to be corrected to the desired pattern layout position 401, the transparent film 3 in a region and the proximity region 303 of the pattern 402 whose position is to be corrected is formed to have internal stress smaller than the transparent film stress in the moving direction by a predetermined amount. This changes stress distribution acting in the plane of the mask to generate IPD, so the pattern position can be corrected. Even when a thin film such as an anti-reflection film, etching stopper film, charge-up preventing film, or buffer film is formed on one or both surfaces of the membrane film 2, the pattern whose position is to be corrected can be moved to a desired pattern layout position by the same effects as described above. These methods of correcting the pattern position are very effective for a structure such as an X-ray exposure mask having a self-supporting film (membrane film) and effective not only for an X-ray exposure mask but also for an electron beam exposure mask for a reduction image projection lithography and Fresnel zone plate. However, when a pattern is formed on a structure that can be regarded as a rigid body, as in a reticle used for conventional photolithography, position correction using a change in stress is difficult. Hence, the above-described techniques are unique to an X-ray exposure mask or electron beam exposure mask for a reduction image projection lithography having a structure with a self-supporting film. Details of the present invention will be described below on the basis of the embodiments. FIGS. 5A to 5F and 6G to 6J are sectional views showing steps in manufacturing an X-ray exposure mask according to the first embodiment to the present invention. First, as shown in FIG. 5A, setting the substrate temperature to 1,025xc2x0 C. and pressure to 30 Torr, 10% hydrogen-diluted silane gas at 150 sccm, 10% hydrogen-diluted acetylene gas at 65 sccm, and 100% hydrogen chloride gas at 150 sccm are supplied into a reaction tube together with hydrogen as a carrier gas at 10 SLM to form a 2-xcexcm thick SiC film as an X-ray transparent thin film (membrane film) 2 on a cleaned 4xe2x80x3-diameter Si (100) wafer having a thickness of 2 mm using low-pressure CVD. As shown in FIG. 5B, at an Ar pressure of 1 mTorr, a 98-nm thick alumina film serving as an anti-reflection film and etching stopper 5 is formed on the upper surface of the substrate using an RF sputtering apparatus. A 600-nm thick SiO2 film as a patterning layer (transparent film) 3 having high X-ray transparency is formed on the anti-reflection film and etching stopper 5 by CVD using TEOS as a main material and then annealed to adjust stress in the SiO2 film to about 0 to 5 MPa. As shown in FIG. 5C, setting the pressure to 10 mTorr and the RF power to 200 W, the SiC film 2 in a region with a radius of 70 mm at the center of the lower surface of the transfer substrate is removed using aluminum as an etching mask by supplying CF4 gas at 25 sccm and O2 gas at 40 sccm using an RIE apparatus, thereby forming an opening region 6 serving as a mask for etching the Si wafer 1. As shown in FIG. 5D, a glass ring having an outer diameter of 125 mm, inner diameter of 72 mm, and thickness of 6.2 mm is bonded as a frame 7 using a UV curing resin. As shown in FIG. 5E, Si is removed by etching using a back etching apparatus by dropping a solution mixture containing hydrofluoric acid and nitric acid at a ratio of 1:1 to the portion where the SiC film 2 is removed. As shown in FIG. 5F, a commercially available electron beam positive resist ZEP520 (viscosity: 12 cps) is coated on the upper surface of the substrate rotating at a rotating speed of 2,000 rpm for 50 sec and baked at 175xc2x0 C. for two min using a hot plate to form a resist (photosensitive film) 8 having a thickness of 300 nm. A pattern is written on this substrate using an electron beam direct write apparatus at an acceleration voltage of 75 kV. To obtain desired drawing accuracy, multiple-exposure is performed to write the pattern four times. Setting a dose to 96 xcexcC/cm2 for a single-exposure, the proximity effect is corrected by dose correction. After e-beam writing, development processing is performed using a commercially available developing solution ZEP-RD at a liquid temperature of 18xc2x0 C. for one min. Subsequently, the resultant structure is rinsed for one min using MIBK to remove the developing solution. As shown in FIG. 6G, using the formed resist pattern as a mask, an SiO2 film pattern 2 is fabricated by reactive ion etching using CHF3 gas and CO gas. After the remained resist 8 is ashed in an oxygen plasma and removed, the resultant structure is washed by a solution mixture of sulfuric acid and hydrogen peroxide. As shown in FIG. 6H, a 0.6-xcexcm thick copper (Cu) film as an X-ray absorber material 4 is formed using an RF sputtering apparatus at an Ar pressure of 3 mTorr and then annealed to adjust stress in the Cu film to almost 0 MPa. The excessive Cu film portion is removed by the following method called resist etch-back. As shown in FIG. 6I, a commercially available electron beam positive resist ZEP520 (viscosity: 12 cps) is coated on the mask surface using the same apparatus as for previous resist application at a rotating speed of 2,000 rpm for 50 sec and baked at 175xc2x0 C. for two min using a hot plate to form a resist 81 having a thickness of 300 nm. Because of the characteristics of rotation coating, the surface had an almost flat coat. As shown in FIG. 6J, setting conditions under which the etching rates of the resist 8 and Cu are almost equal, the mask surface is etched by reactive ion etching using HBr gas until the SiO2 surface is exposed. For the X-ray exposure mask manufactured by the above process, the mask pattern layout position is measured using an image placement measurement apparatus (LMS-IPRO available from Leica), and the image placement error in size between the designed pattern position and the formed pattern is checked. The maximum image placement error is 50 nm, and the displacement is 35 nm for 3"sgr". The displacements occurred almost in one direction (Y direction). To move and correct part of the formed pattern by a predetermined amount to reduce the positional distortion, the transparent film 3 on the membrane film 2 is partially decreased in thickness by a predetermined amount using an apparatus shown in FIGS. 7A and 7B. FIG. 7A shows the overall arrangement of an etching apparatus for chemical dry etching. FIG. 7B is an enlarged view near the nozzle. Referring to FIGS. 7A and 7B, reference numeral 9 denotes a cooling gas; 10, a mask holder; 11, a spacer; 12, an etching gas; 13, an etching gas generator; 14, an X-Y stage driving motor; 15, a chamber; 16, a nozzle; and 17, a gap. Using this apparatus, the X-ray exposure mask is set on the mask holder 10 via the spacer 11 and cooled by the cooling gas 9 flowed to the membrane film surface in the opposite side of the absorber pattern surface. In this state, CF4 gas as the etching gas 12 is supplied from the etching gas generator 13 and sprayed to the upper surface of the X-ray exposure mask through the nozzle 16. At this time, only SiO2 as a transparent film material is selectively etched. An etching amount (film thickness) corresponding to a moving amount necessary for each pattern is calculated. The amount is also determined in consideration of the influence on the CD (Critical Dimension) of the pattern. In this case, the maximum etching amount (film thickness) is set to 40 nm, and the maximum displacement amount is set to 50 nm. As for degradation in CD uniformity of the pattern in the etching region, pattern-transfer by X-ray lithography with a gap of 10 xcexcm confirmed that the variation amount for an L/S (line and space) pattern with a size of 100 nm is 1.5 nm or less and had very small influence. FIG. 8 shows another result obtained by measuring the variation amount of the pattern position with respect to the etching amount of the SiO2 film and the transferred pattern size. As is apparent from FIG. 8, the position variation amount and pattern size changed in proportion to the etching amount. The variation amount of size is also suppressed to 2% or less even when the etching amount is 50 nm (position variation amount is 28 nm). The reason for this is as follows. When a material such as an SiO2 film is used as a transparent film, removal of the transparent film rarely influences the pattern size because the transparency is relatively high. In the conventional membrane film, the position variation amount relative to the etching amount becomes large because stress in the membrane film is as high as several hundred MPa. However, in the transparent film such as an SiO2 film used in the first embodiment, the variation amount relative to the etching amount is small because the film had stress as low as about 5 MPa, revealing that the technique is suitable to increase the image placement accuracy. Hence, when a mask is corrected using this technique, a highly precised mask with good image placement and CD can be manufactured. The apparatus shown in FIGS. 7A and 7B has a function of enabling etching at the respective coordinate positions using time control while scanning the mask by controlling the X-Y stage driving motor 14 for driving the X-Y stage, and can adjust the size of the etching region 301 by the nozzle 16. FIG. 9 shows an etching region 19 fabricated by this etching apparatus. Thus, control and fabrication are performed such that the positional distortion in the Y direction is reduced, and a desired mask pattern layout position is obtained, thereby improving the image placement accuracy of the exposure mask. When the pattern layout position of the mask manufactured by the above process is measured by the wafer coordinate measurement apparatus and evaluated by comparing the pattern with designed pattern data, the maximum positional distortion amount is 14 nm, and the displacement is 10 nm for 3"sgr". The second embodiment of the present invention will be described next in detail. The pattern layout position of an X-ray exposure mask manufactured by the same process as in the first embodiment is measured using a wafer coordinate measurement apparatus (LMS-IPRO available from Leica) and evaluated by comparing the pattern with designed pattern data. The maximum image placement error is 60 nm, and the displacement is 30 nm for 3"sgr". The displacements occurred almost in one direction. To move and correct the pattern position by a predetermined amount, a liquid phase wet etching apparatus shown in FIG. 10 is used. Referring to FIG. 10, reference numeral 10 denotes a mask holder; 14, an X-Y stage driving motor; 15, a chamber; 20, cooling water; 21, an etching liquid supply; and 22, an etching liquid. As the etching liquid, 49% HF solution is used. The membrane film 2 is supplied and sprayed from one spray nozzle to a desired region to be etched. Only SiO2 as a transparent film material is selectively etched. An etching amount (film thickness) corresponding to a moving amount necessary for each pattern is calculated. The amount is also determined in consideration of the influence on the CD of the pattern. This apparatus also has a function of enabling etching at the respective coordinate positions using time control while scanning the mask by controlling the X-Y stage driving motor 14 for driving the X-Y stage, and can adjust the size of the etching region by the nozzle. Thus, the formed pattern is moved and corrected to the designed pattern layout position, thereby improving the image placement accuracy. When the pattern layout position of the mask manufactured by the above process is measured by the wafer coordinate measurement apparatus and evaluated by comparing the pattern with designed pattern data, the maximum positional distortion amount is 18 nm, and the displacement is 10 nm for 3"sgr". The third embodiment of the present invention will be described next in detail. The pattern layout position of a mask manufactured by the same process as in the first embodiment is measured using a wafer coordinate measurement apparatus (LMS-IPRO available from Leica) and evaluated by comparing the pattern with designed pattern data. As shown in FIG. 11A, the maximum image placement error is 70 nm, and the displacement is 30 nm for 3"sgr". Almost all the displacements occurred toward the center of the mask. To move and correct part of the formed pattern by a predetermined amount, an ion implantation apparatus shown in FIG. 11B is used. Referring to FIG. 11B, reference numeral 9 denotes a cooling gas; 10, a mask holder; 11, a spacer; 14, an X-Y stage driving motor; 15, a chamber; 17, a gap; 23, ions; and 24, an aperture. To reduce positional distortion, Ar ions are implanted into the central portion of a membrane film located at the pattern portion in the central region at an energy of 180 keV and a dose of 1xc3x971015 cmxe2x88x922 through the aperture 24 having an X-Y driving function. This relaxed by about 10% internal stress of the transparent film and absorber film in the central region where the pattern is formed. Thus, the formed pattern is moved and corrected to the designed pattern layout position, thereby improving the image placement accuracy. When the pattern layout position of the mask manufactured by the above process is measured by the image placement measurement apparatus and evaluated by comparing the pattern with designed pattern data, the maximum positional distortion amount is 30 nm, and the displacement is 20 nm for 3"sgr". The fourth embodiment of the present invention will be described next in detail. The pattern layout position of a mask manufactured by the same process as in the first embodiment is measured using a wafer coordinate measurement apparatus (LMS-IPRO available from Leica) and evaluated by comparing the pattern with designed pattern data. As shown in FIG. 12A, the maximum image placement error is 60 nm, and the displacement is 28 nm for 3"sgr". The displacements occurred almost in one direction. To move and correct part of the formed pattern by a predetermined amount, a magnetron sputtering apparatus shown in FIG. 12B is used. Referring to FIG. 12B, reference numeral 9 denotes a cooling gas; 10, a mask holder; 11, a spacer; 14, an X-Y stage driving motor; 15, a chamber; 16, a nozzle; 17, a gap; 24, an aperture; and 25, sputtering particles. To reduce positional distortion, a second transparent SiO2 film having compression stress is formed only in a desired region on the surface of the absorber and transparent film pattern through the aperture 24 having an X-Y driving function. A deposition amount (film thickness) corresponding to a moving amount necessary for each pattern is calculated. The amount is also determined in consideration of the influence on the CD of the pattern. Thus, the formed pattern is moved and corrected to a designed pattern layout position, thereby improving the position accuracy. When the pattern layout position of the mask manufactured by the above process is measured by the image placement measurement apparatus and evaluated by comparing the pattern with designed pattern data, the maximum positional distortion amount is 30 nm, and the displacement is 20 nm for 3"sgr". As in the first to fourth embodiments, the image placement accuracy becomes considerably high. This is because, on the basis of the result of comparison/evaluation with designed pattern data after manufacturing the mask, the film thickness or stress of the transparent film pattern is controlled by the modification such that stress distribution for reducing degradation in position accuracy on the mask is obtained in accordance with the positional distortion amount of each pattern. Since only the transparent film on the membrane film is modified, various characteristics (absorption characteristic, phase characteristic, and shape) of the X-ray absorber pattern portion with respect to X-rays are maintained in the state before modification, and the CD uniformity of the pattern is also maintained. For this reason, a mask excellent not only in image placement accuracy but also CD uniformity can be manufactured. According to the first to fourth embodiments, in manufacturing a mask, positional distortion in a mask that does not meet the requirement for the image placement accuracy is reduced by modifying the transparent film portion on the membrane film, thereby manufacturing an X-ray exposure mask having a very high image placement accuracy. With this advantage, since the productivity of accurate masks improves, and cost of the mask manufacturing process can be reduced, inexpensive semiconductor devices or optical elements can be supplied. As the fifth embodiment of the present invention, a method of manufacturing an electron beam exposure mask for a reduction image projection lithography or SCALPEL mask will be described in detail. FIGS. 13A to 13E are sectional views showing steps in manufacturing a SCALPEL mask used in the fifth embodiment. First, as shown in FIG. 3A, a 150- to 200-nm thick SiNx film as a membrane film 52 is formed on a cleaned 4xe2x80x3-diameter Si (100) substrate 51 having a thickness of 1 mm using low-pressure CVD. A 50-nm thick boron-doped Si film as a patterning layer (transparent film) 53 is formed by LPCVD and then annealed to adjust stress in the Si film to almost 0 MPa. After that, a grillage structure pattern is formed using an RIE apparatus. Setting the pressure to 10 mTorr and the RF power to 200 W, CF4 gas at 25 sccm and O2 gas at 40 sccm are supplied to remove the SiNx film on the lower surface of the substrate, thereby forming an opening region 56 serving as a mask in etching the Si wafer. As shown in FIG. 13B, a commercially available electron beam positive resist ZEP520 (viscosity: 12 cps) is spin-coated on the upper surface and baked at 170xc2x0 C. for five min using a hot plate to form a resist (photosensitive film) 58. Next, Si is removed by etching using a back etching apparatus by dropping a KOH solution to the portion where the SiNx film is removed, thereby forming a strut. After washing and rinsing the substrate, a glass ring is bonded to a frame 57 using a UV curing resin. After that, a pattern is written on this substrate using an electron beam direct-write apparatus at an acceleration voltage of 75 kV. To obtain a desired image placement accuracy, multiple-exposure is performed to write the pattern four times. Setting a dose to 70 xcexcC/cm2 for a single-exposure, the proximity effect is corrected by dose correction. After writing, development processing is performed using a commercially available developing solution ZEP-RD at a liquid temperature of 18xc2x0 C. for one min. Subsequently, the resultant structure is rinsed for one min using MIBK to remove the developing solution. As shown in FIG. 13C, using the formed resist pattern as a mask, the Si film pattern 53 is fabricated by reactive ion etching using CF4 gas and Cl2 (chlorine) gas. After the remained resist is ashed in an oxygen plasma and removed, the resultant structure is washed by a solution mixture of sulfuric acid and hydrogen peroxide. As shown in FIG. 13D, a 60-nm thick W film as a scattering material 54 is formed using a DC sputtering apparatus and then annealed to adjust stress in the W film to almost 0 MPa. As shown in FIG. 13E, the excessive W film 54 is removed by resist etch-back, as in the first embodiment. More specifically, a commercially available electron beam positive resist ZEP520 (viscosity: 12 cps) is spin-coated on the mask surface using the same apparatus as for previous resist application and baked at 175xc2x0 C. for two min using a hot plate to form a resist film. Next, setting conditions under which the etching rates of the resist film and W are nearly equal, the mask surface is etched by reactive ion etching using HBr gas until the Si surface is exposed. For the mask manufactured by the above process, the mask pattern layout position is measured using the image placement measurement apparatus (LMS-IPRO available from Leica), and the difference in size between the designed pattern position and the formed pattern is checked. The maximum image placement error is 50 nm, and the displacement is 35 nm for 3"sgr". The displacements occurred almost in one direction (Y direction). To move and correct part of the formed pattern by a predetermined amount to reduce the positional distortion, the transparent film on the membrane film is partially decreased in thickness by a predetermined amount using an apparatus shown in FIGS. 7A and 7B. In this case, the maximum etching amount (film thickness) is set to 20 nm, and the maximum displacement amount is set to 30 nm. When the pattern layout position of the mask manufactured by the above process is measured by the image placement measurement apparatus and evaluated by comparing the pattern with designed pattern data, the maximum positional distortion amount is 14 nm, and the displacement is 10 nm for 3"sgr". The sixth embodiment of the present invention will be described next in detail. For a SCALPEL mask manufactured by the same process as in the fifth embodiment, the pattern layout position is measured using the image placement measurement apparatus (LMS-IPRO available from Leica) and evaluated by comparing the pattern with designed pattern data. The maximum positional displacement amount is 70 nm, and the displacement is 30 nm for 3"sgr". The displacements occurred almost in one direction (Y direction). To move and correct part of the formed pattern by a predetermined amount, an ion implantation apparatus shown in FIG. 11B is used. To reduce positional distortion, B (boron) ions are implanted mainly into the pattern region with the maximum displacement at an energy of 40 keV and a dose of 1xc3x971018 cmxe2x88x922 through an aperture 24 having an X-Y driving function to change internal stress distribution of the transparent film and absorber film at the central region where the pattern is formed. With this process, the formed pattern is moved and corrected to a desired pattern layout position, thereby improving the image placement accuracy. In this case, not only improvement of image placement accuracy by the modification of stress distribution but also suppression of degradation in image placement accuracy due to charging in the SiNx membrane film can be suppressed because the B-doped Si transparent film is a conductive layer. For this reason, the method of implanting ions into the SCALPEL mask to improve the image placement accuracy is very effective. When the pattern layout position of the mask formed by the above process is measured using the image placement measurement apparatus and evaluated by comparing the pattern with designed pattern data, the maximum positional displacement amount is 30 nm, and the displacement is 20 nm for 3"sgr". As described above, according to the fifth and sixth embodiments, in manufacturing a mask, positional distortion in a mask that does not meet the requirement for the image placement accuracy is reduced by modifying a transparent film 53 portion on a membrane film 52, thereby manufacturing an electron beam exposure mask having a very high image placement accuracy. With this advantage, since the productivity of accurate masks improves, and cost of the mask manufacturing process can be reduced, inexpensive semiconductor devices or optical elements can be supplied. A method of producing a microdevice using an exposure mask manufactured by each of the above embodiments will be described next. A microdevice herein includes a semiconductor chip such as an integrated circuit or ULSI, a liquid crystal device, a micromachine, and a thin-film magnetic head. A semiconductor device will be exemplified below. FIG. 14 shows steps in producing a semiconductor device. In step (circuit design) 1-1, the circuit of a semiconductor device is designed by CAD. In step (mask fabrication) 1-2, a mask is fabricated on the basis of the designed circuit pattern. The image placement accuracy of the mask is checked, and the pattern position is corrected by an arbitrary one of the methods of the first to fifth embodiments as needed. This ensures a predetermined accuracy. In step (wafer fabrication) 1-3, a wafer is fabricated using a material such as silicon. In step (wafer process) 1-4, an actual circuit pattern is formed on the wafer by lithography using the fabricated mask. The wafer process comprises a plurality of processes including a pre-process, resist application, pre-baking, exposure, post-exposure baking (PEP), development, rinsing, post-baking, etching, ion implantation, resist removing, and check. In step (assembly) 1-5, post-process is performed, and the wafer fabricated in step 1-4 is assembled to manufacture a semiconductor chip. Step 1-5 includes the assembly process (dicing and bonding) and packaging process (chip process). In step (check/correction) 1-6, the semiconductor device manufactured in step 1-5 is checked by operation confirmation test and durability confirmation test and corrected. With these processes, a semiconductor device is completed and is shipped (step 1-7). According to the production method of this embodiment, the transfer/exposure process can be executed at low cost by using an inexpensive exposure mask, so inexpensive semiconductor devices or optical elements can be supplied. The present invention is not limited to the above-described embodiments. The first to fourth embodiments may be appropriately combined. The transparent film thickness and stress control method for an X-ray exposure mask according to the first to fourth embodiments may be combined with the SCALPEL mask of the fifth or sixth embodiment. Also, the resist of the semiconductor device is not limited to ZEP-520, and SAL-601 (available from Shipley) or TDUR-N9TR4 (available from Tokyo Ohka Kogyo) may be used. The X-ray absorber is not limited to Cu, and Ni, Zn, Ga, Ge, W, Ta, Au, Re, or a Cu-nitride, a Ni-nitride, a Zn-nitride, a Ga-nitride, a Ge-nitride, a W-nitride, a Ta-nitride, an Au-nitride, a Re-nitride, a Cu-carbide, a Ni-carbide, a Zn-carbide, a Ga-carbide, a Ge-carbide, a W-carbide, a Ta-carbide, an Au-carbide, a Re-carbide, or alloy thereof may be used. The scattering material is not limited to W, and Ni, Zn, Ga, Ge, W, Ta, Au, Re, or a W-nitride, a Ni-nitride, a Zn-nitride, a Ga-nitride, a Ge-nitride, a W-nitride, a Ta-nitride, an Au-nitride, a Re-nitride, a W-carbide, a Ni-carbide, a Zn-carbide, a Ga-carbide, a Ge-carbide, a W-carbide, a Ta-carbide, an Au-carbide, a Re-carbide, or alloy thereof may be used. For the membrane film as well, not only SiC or SiNx but also SiCxNy, BN, or diamond film may be used. As the transparent film material of the X-ray exposure mask, not only the SiO2 film used in the embodiments but also an SION film may be used. As advantages of use of an SION film, stress control is easy as compared to the SiO2 film, and thermal diffusion of the absorber material Cu can be suppressed. SION is a suitable material for a transparent film pattern layer in the embodiments because Auger electron spectroscopy and Rutherford backscattering spectroscopy have indicated that stress control in forming an SION film is easy, and Cu does not thermally diffuse into the SION film even in annealing at a temperature of 500xc2x0 C. for 1 hr. Since annealing at a high temperature is possible, an absorber can be embedded into a concave portion with a high aspect ratio without any thermal diffusion and voids, so a highly accurate absorber pattern can be formed. As a suitable transparent film material, Si, Si3N4, SiC, Al2O3, SrO, MgO, Y2O3, or TiO2 having a high X-ray transparency in a wavelength range of 0.6 to 1.0 nm can also be used. The gases, solutions, and ion implantation materials used for etching the transparent film are also not limited to those used in the above embodiments, and various materials can be used. As the transparent film material of the electron beam exposure mask, not only the Si film used in the embodiments but also SiO2, Si3N4, SiC, Al2O3, SrO, MgO, Y2O3, TiO2 having a small scattering coefficient with respect to an electron beam, or a material obtained by doping an element with light weight therein can be used. The gases, solutions, and ion implantation materials used for etching the transparent film are also not limited to those used in the above embodiments, and various materials can be used. As has been described above in detail, according to the above embodiments, a process such as cutting, deposition, or ion implantation is performed for a transparent film pattern formed on a transparent supporting film (membrane film) to accurately correct positional distortion due to internal stress of the X-ray absorber pattern or scattering pattern, thereby realizing an exposure mask having a very high position accuracy. Hence, since the productivity of accurate masks improves, and cost of the mask manufacturing process can be reduced, inexpensive semiconductor devices or optical elements can be supplied. Additional advantages and modifications will readily occur to those skilled in the art. Therefore, the invention in its broader aspects is not limited to the specific details and representative embodiments shown and described herein. Accordingly, various modifications may be made without departing from the spirit or scope of the general inventive concept as defined by the appended claims and their equivalents. |
|
059129360 | abstract | Pipe connector assembly for replacing a core spray line in a nuclear reactor without field cutting, measuring, or welding such line. In one embodiment, the pipe connector assembly includes a first coupling member, a second coupling member, and at least one locking element. The first coupling member includes a flange, a pipe engaging portion, a spherical convex seat portion, a bore and a stud bore. The second coupling member includes a flange having a spherical concave seat portion for receiving the convex seat portion of the first coupling member, a pipe engaging portion, a bore, and a stud bore. The locking element includes at least one spherical washer and a crimp mechanism and couples the first coupling member and the second coupling member by extending through the flange stud bores. The spherical seat portions allow the coupling members to be rotationally misaligned while remaining to be substantially coaxially aligned thus accommodating additional core spray line length while maintaining a leak tight connection. |
050227874 | description | DETAILED DESCRIPTION OF PREFERRED EMBODIMENTS FIG. 1 shows an embodiment of the present invention. Waste water Q.sub.e flows into a return well 3 by way of a water pipe 2 above the return well by the action of a pump 1. A geothermal gas Q.sub.g is forced into a gas pipe 5 by a compressor 4 and into the waste water in the return well 3 through a top opening 6. 7 indicates the ground level. For the geothermal gas sent into the waste gas to be accompanied (carried) by the waste water all the way down to the bottom of the return well, the apparent velocity of the waste water V.sub.eo (=Q.sub.e /A, where A=.pi.D.sub.3.sup.2 /4) relative to the well 3 is set to a value equal to or more than 1.0m/s. Furthermore, in order to put the gas flowing downward under a hydrostatic pressure of the waste water, the apparent velocity of the gas V.sub.go (=Q.sub.g /A, where A=.pi.D.sub.3.sup.2 /4) is controlled in the range satisfying an equation: V.sub.go <1.33V.sub.eo -0.41. In the above equation Q.sub.e is the volume flow rate of the waste water, G.sub.g the volume flow rate of the gas, V.sub.eo the apparent flow velocity of the waste water in the return well, V.sub.go the apparent flow velocity of the gas in the well, and D.sub.3 the diameter of the return well. Thus, as shown in FIG. 2, the manner of flow below the mixing point of gas and liquid in the return well turns from a froth flow to a slug flow and then to a bubble flow as going down in the well. The volume of the gas becomes reduced while the gas is carried to the bottom of the return well, accompanied by the waste water. In FIG. 2, as indicated the regions designated (x) represent bubbles, the regions designated (y) represent water (water flow), and the regions designated (z) represent water droplets. In the upper pipe section, froth flow is represented in the middle pipe section, slug flow is represented; in the lower pipe section, bubble flow is represented. FIG. 3 shows the waste water velocity condition, V.sub.eo <1.0m/s, as a limit for accompanying (carrying) the geothermal gas and for the downward flow of the gas. In FIG. 3, the vertical dash-dot line separates the perfectly accompanying region as in the present invention on the right-hand side, from the imperfectly accompanying region on the left-hand side. The dashed curve with the circled points is the experimental confirmation. The straight line curve (near point B) represented by the dashed-double-dotted line defines below it the region of flow parameters in the return well as set in the present invention, namely, where V.sub.go <1.33V.sub.eo -0.41. FIG. 3 also shows the experimental relationship between the waste water velocity V.sub.eo and the gas velocity V.sub.go for making the hydrostatic pressure effective in the return well. Also, FIG. 4 shows an example of the pressure distribution in the return well. In FIG. 4, H is the corresponding head of water between points (1) and (2) of the return well; H' is the experimentally observed head of water; the circled points on the dashed curve were taken under flow conditions corresponding to point (A) in FIG. 3 (annular flow); the triangular points on the solid line curve were taken under flow conditions corresponding to point (B) in FIG. 3 (froth flow); the diamond points on the dash-dot curve were taken under flow conditions corresponding to point (C) in FIG. 3 (bubble flow). In this figure, in the flow pattern region (A) where the waste water velocity V.sub.eo is large compared to the gas velocity V.sub.go and a large annular spray flow or an annular flow is observed, the pressure in the return well is almost constant and the hydrostatic pressure does not play any role. Thus, changes in the gas volume in the return well are small, and most of the gas is carried to the bottom of the well as it is, remaining in the gaseous state. This makes it more difficult to return the gas to the earth crust. When the gas velocity becomes relatively small and the manner of the flow below the mixing point becomes a froth flow, however, the hydrostatic pressure becomes effective in the depth direction and sloped as shown by the pressure distribution (B). As the gas flows down deep in the well, the gas volume is reduced, and the change of the flow pattern is supported by these data. The third curve for flow conditions at point (C) demonstrates the increase in hydrostatic pressure as the gas velocity is further reduced. The divisional line in the V.sub.go -V.sub.eo plane in FIG. 3 is obtained by plotting the experimental bordering points at which the pressure distribution becomes sloped immediately after the mixing point of gas and liquid and at which the hydrostatic pressure begins to be effective. |
046997512 | abstract | A dosimeter which incorporates new methods for determining neutron dose. Less than one millirad of dose due to neutrons of all energies down to approximately 10.sup.3 eV can be measured, and the response can be adjusted by design of the dosimeter. The dosimeter utilizes the sputtering of material from a target due to the action of the neutrons and measuring of the amount of sputtered material to determine the dose. The sputtered material may be, for example, a noble gas or an inert solid. Various radiator materials can be included to interact with the neutrons so that the resulting charged particles control the sputtering process and hereby increase the sensitivity of the dosimeter. The target material can be, for example, noble-gas-impregnated polycrystalline or amorphous metals. The sputtered material is analyzed using resonance ionization spectroscopy, sputter-initiated resonance ionization spectroscopy or other methods to determine its quantity and hence the neutron dose. Applications include, but are not limited to, personnel neutron dosimetry and stationary neutron monitors. |
summary | ||
048329061 | claims | 1. A fuel assembly comprising: a channel box axially elongated; upper and lower tie plates fixed to upper and lower end portions of said channel box, respectively; first fuel rods each inserted in said channel box and retained by said upper and lower tie plates, each of said first fuel rods including a closed cladding and fuel pellets, enclosed in said closed cladding, containing fissile material and being free of burnable poison; and second fuel rods each inserted in said channel box and retained by said upper and lower tie plates, each of said second fuel rods including a closed cladding and fuel pellets, enclosed in said closed cladding and containing fissile material and burnable poison, wherein the outer diameters of said fuel pellets of said second fuel rods are larger than that of said fuel pellets of said first fuel rods. a channel box axially elongated; upper and lower tie plates fixed to upper and lower end portions of said channel box, respectively; first fuel rods each inserted in said channel box and retained by said upper and lower tie plates, each of said first fuel rods including a closed cladding and fuel pellets, enclosed in said closed cladding, containing fissile material and being free of burnable poison; and second fuel rods each inserted in said channel box and retained by said upper and lower tie plates, each of said second fuel rods including a closed cladding and fuel pellets, enclosed in said closed cladding and containing fissile material and burnable poison, wherein the outer diameters of said fuel pellets of said second fuel rods are larger than that of said fuel pellets of said first fuel rods, wherein the outer diameter of each of said second fuel rods is larger than that of each of said first fuel rods. 2. The fuel assembly as defined in claim 1, wherein each of said fuel pellets of said second fuel rods containing gadolinia as said burnable poison, and has a hole at the central portion thereof. 3. A fuel assembly comprising a channel box axially elongated; upper and lower tie plates fixed to upper and lower end portions of said channel box, respectively; first fuel rods each inserted in said channel box, retained by said upper and lower tie plates and comprising a closed cladding and first fuel pellets disposed in said closed cladding, each of said first fuel pellets containing fissile material but not containing gadolinia and second gadolinia-containing fuel rods each inserted in said channel box, retained by said upper and lower tie plates and comprising a closed cladding and second gadolinia-containing fuel pellets in said closed cladding, each of said second gadolinia-containing fuel pellets containing fissile material and gadolinia, wherein the outer diameter of said each second gadolinia-containing fuel rod and the outer diameter of each second gadolinia-containing fuel pellet are larger than that of said first fuel rod and that of said each first fuel pellet, respectively. 4. The fuel assembly as defined in claim 1, wherein said gadolinia-containing fuel pellets each are hollow and have a central hole. 5. The fuel assembly as defined in claim 1, wherein said gadolinia-containing fuel pellets each contained in said cladding and having a density of fissile material which is greater in the outer preipheral portion than its central portion. 6. The fuel assembly as defined in claim 3, wherein said rod gadolinia-containing fuel is a solid moderating rod containing solid material of slowing-down for neutron and gadolinia in said cladding. 7. A fuel assembly comprising: 8. The fuel assembly as defined in claim 7, wherein the inner surface of each of said second fuel rods is lined with one of Zr and Cu. |
abstract | An aging apparatus including a pulse laser, a beam expansion assembly, and a platform configured to carry an object is provided. The pulse laser transmits a first beam to the beam expansion assembly. The beam expansion assembly expands the first beam to a second beam and projects the second beam onto the object. |
|
046876243 | summary | BACKGROUND OF THE INVENTION The present invention relates in general to a liquid metal cooled fast breeder reactor equipped with an improved fuel handling mechanism, and more particularly to an improved liquid metal cooled fast breeder reactor which permits a closer installation of a fuel handling mechanism relative to an upper reactor core structure, thereby establishing compactness of the total structure of the reactor. In the conventional liquid metal cooled fast breeder reactors, whether loop-type or tank-type reactors, there is equipped, as shown in FIG. 1, a fuel handling mechanism 30 which comprises generally a fuel handling body 6 and a cylindrical housing 31 surrounding the fuel handling body for aseismatic purposes. More specifically, the conventional fuel handling mechanism 30 including the cylindrical housing 31 is provided at its lower end with a gripper 13 which is vertically moved by a gripper lifting device, and supported by a rotational driving device 16 and suspended therefrom within the reactor vessel 1 so that it is accessible to a selected one of core assemblies 9 in the reactor core 8. Thus, aseismatic supporting effects are achieved by the cylindrical housing 31, which embraces the suspended fuel handling body 6. In the case of a liquid metal cooled fast breeder reactor on a scale of 1,000 MWe, the housing 31 of its fuel handling mechanism will have approximately 10 m in length, 1.5 m in diameter and 10 ton in weight. From a viewpoint of achieving smaller and lighter structure of the reactor, it is necessary to decrease a diameter of a rotating plug 4 of the reactor vessel which supports both the fuel handling mechanism 30 and an upper reactor core structure 5 of the reactor. However, it is limited to place the fuel handling mechanism 30 closely adjacent to the upper core structure 5 due to the cylindrical housing 31, and the provision of the cylindrical housing is a bottleneck for producing smaller and lighter structure of the reactor. Besides, the housing 31 generally has a wall-thickness of about several tens of milimeters, and therefore repeatedly receives a thermal stress due to rocking motion of the liquid surface 12 of the liquid metal coolant in the reactor vessel 1 and the changes of operating temperature, and so forth. In order to decrease the thermal stress, it is necessary to provide, for example, a thermal insulator 32 on the external surface of the housing 31 adjacent to the liquid surface 12. This also have caused an increase of the weight of the housing and a difficulty in providing the fuel handling mechanism 30 in proximity to the upper core structure 5. The elements or parts represented by the other numerals in FIG. 1 will be explained hereinbelow in the description referring to FIG. 2 wherein like parts are identified by the same reference numerals in FIG. 1. SUMMARY OF THE INVENTION An object of the present invention is to provide a new, smaller and lighter liquid metal cooled fast breeder reactor which permits a close installation of the fuel handling mechanism and the upper core structure to thereby decrease diameters of the rotating plug and the reactor vessel. Another object of the present invention is to provide a new, liquid metal cooled fast breeder reactor which permits abolition of the housing of the conventional fuel handling mechanism and the thermal insulator provided thereon to thereby considerably decrease the total weight of the reactor structure. The liquid metal cooled fast breeder reactor according to the present invention has a reactor vessel, a reactor core in the reactor vessel, and a shield plug device for sealing an upper opening of the reactor vessel. The shield plug device consists of a fixed plug, and at least one rotating plug eccentrically mounted on the inner side of the fixed plug. The reactor has further an upper core structure extending downwardly through the rotating plug toward the reactor core, and a fuel handling mechanism suspended vertically down into the reactor vessel through the rotating plug. In order to attain the foregoing objects of the invention, the fuel handling mechanism according to the present invention comprises a fuel handling body without a housing, a rotational driving device placed on the rotating plug, and an aseismatic support extending outwardly from the upper core structure. The fuel handling body is supported, at its upper portion, by the rotational driving device, and secured, at its lower portion, by the aseismatic support. Additional objects and features of the present invention will become apparent from the detailed description of preferred embodiments of the invention, which will be made with reference to the accompanying drawings. |
description | This application claims priority from U.S. Provisional Patent Application No. 60/621,850, entitled “Radiation Shielding Syringe Container with Anti-Stick Barrier”, filed Oct. 25, 2004 by Brian Schaber. The invention relates to a radiation-shielding container for a syringe, and more particularly, a container including an anti-stick barrier to prevent a user from being stuck by the syringe needle. Certain types of drugs, known generally as radiopharmaceuticals, are preferably transported in containers that incorporate radiation-shielding features and materials. These containers often include shielding portions of lead or tungsten that prevent radiation emitted from the radiopharmaceutical from reaching the surroundings of the container. Some radiopharmaceuticals are produced in liquid form and are therefore suitable for injection into a patient using a syringe. Unlike some injection-based medications, which are generally supplied in a vial from which a dose is subsequently drawn, radiopharmaceuticals are often supplied pre-measured in individual syringes. By supplying a pre-measured amount of radiopharmaceutical in an individual syringe, the amount of handling of the radiopharmaceutical associated with administering a dose of the radiopharmaceutical is minimized. Also, the amount of time during which the radiopharmaceutical is unshielded during dose administration can be reduced. When administering any medication from a syringe, great care must be taken to avoid accidental needle sticks. In this regard, syringes are often provided with special caps that cover the syringe needles until such time as the dose is ready to be administered. In one embodiment, the invention provides a radiation-shielding container for storing a syringe. The radiation-shielding container includes a base assembly, a sleeve, and a cap assembly securable to the base assembly. The base assembly includes a body portion defining a chamber portion for receiving the syringe and including a base portion coupled to the body portion. The base portion including a radiation shield and a shell positioned proximate an outer surface of the radiation shield. The sleeve is configured for receiving a portion of the syringe, and the sleeve is housed within the chamber portion and releasably securable to the base assembly. The cap assembly defines a second chamber portion for receiving the syringe and includes a radiation shield and a shell positioned proximate an outer surface of the radiation shield. In another embodiment, the invention provides a radiation-shielding container including a syringe, a base assembly, a sleeve, and a cap assembly securable to the base assembly. The syringe includes a body, a plunger depending from one end of the body and axially movable relative to the body, and a needle extending from an opposite end of the body. The base assembly houses a portion of the syringe, and includes a body portion and a base portion. The body portion of the base assembly defines a chamber portion for receiving at least the body and the needle of the syringe. The base portion is coupled to the body portion and defines a cavity for receiving a portion of the body portion. The base portion includes a radiation shield and a shell positioned proximate an outer surface of the radiation shield. The sleeve is configured for receiving at least the body of the syringe, and the sleeve is housed within the chamber portion and is securable to the base assembly. The cap assembly houses a portion of the syringe and defines a second chamber portion for receiving at least the plunger of the syringe. The cap assembly includes a radiation shield and a shell positioned proximate an outer surface of the radiation shield. In yet another embodiment, the invention provides a radiation-shielding container for storing a syringe includes a base assembly, a body assembly, a sleeve, and a cap assembly securable tot eh base assembly. The base assembly defines a cavity and includes a radiation shield and a shell positioned proximate an outer surface of the radiation shield. The body assembly includes a first section defining a first chamber portion and a second section defining a second chamber portion for receiving a portion of the syringe. The first section of the body assembly is coupled to the base assembly and the second section is receiving within the cavity of the base assembly. The sleeve is generally cylindrical and is adapted and configured for receiving a portion of the syringe. The sleeve is housed within the first chamber portion of the body assembly and is releasably securable to the first section. The sleeve includes a radiation shield. The cap assembly defines a chamber portion for receiving a portion of the syringe, and includes a radiation shield and a shell positioned proximate an outside surface of the radiation shield. Other aspects and advantages of the invention will become apparent by consideration of the detailed description and accompanying drawings. Before any embodiments of the invention are explained in detail, it is to be understood that the invention is not limited in its application to the details of construction and the arrangement of components set forth in the following description or illustrated in the following drawings. The invention is capable of other embodiments and of being practiced or of being carried out in various ways. Also, it is to be understood that the phraseology and terminology used herein is for the purpose of description and should not be regarded as limiting. The use of “including,” “comprising,” or “having” and variations thereof herein is meant to encompass the items listed thereafter and equivalents thereof as well as additional items. Unless specified or limited otherwise, the terms “mounted,” “connected,” “supported,” and “coupled” and variations thereof are used broadly and encompass both direct and indirect mountings, connections, supports, and couplings. Further, “connected” and “coupled” are not restricted to physical or mechanical connections or couplings. FIG. 1 illustrates a single unit dose radiation-shielding container 20, or radiopharmaceutical pig, for storing and transporting a syringe 24. The container 20 includes a body 28, a base 32 coupled to the body 28, a cap 36 that is securable to the body 28, and a syringe sleeve 40 (FIG. 2) that is securable within the body 28. When secured, the cap 36 and the body 28 define a chamber 44 (FIG. 3) for storing the syringe 24. In the illustrated embodiment, the base 32 and the cap 36 include a plurality of flats 48, whereby the flats 48 facilitate opening and closing of the container 20. Referring also to FIGS. 2-4, the body 28 is generally cylindrical and, in the illustrated embodiment, is formed of a suitable polymer, such as nylon 66. The body 28 includes an outer surface 52 and an inner surface 56 that defines a chamber portion 60 having an open end 64 and a closed end 68. The outer surface 52, adjacent the open end 64, defines an annular protrusion 72 having a cap-securing structure in the form of radially outwardly extending ribs 76. The annular protrusion 72 extends into an open end 80 of the cap 36. In the illustrated embodiment, four ribs 76 are provided and are substantially equally spaced about the circumference of the open end 64. Axially inwardly spaced from the ribs 76, the outer surface 52 defines a circumferential groove 84 that is adapted to receive a resilient O-ring 88. The O-ring 88 engages the cap 36 to substantially seal the chamber 44 when the cap 36 is secured to the body 28. The body 28 includes a first section 92 and a second section 96, which has a reduced diameter relative to the first section 92 and defines the closed end 68 of the chamber portion 60. Thereby, the chamber portion 60 includes a reduced diameter portion 100. The reduced diameter portion 100 receives a bio-liner 104, as discussed further below, formed of plastic, poly-plastic, polypropylene, ABS, or the like. The inner surface 56 at the first section 92 of the body 28 defines an internally threaded portion 108 for securing the syringe sleeve 40 within the chamber portion 60. The first section 92 of the body 28 also defines an external annular channel 112 that opens toward the closed end 68 of the chamber portion 60. The annular channel 112 is adapted to receive the base 32, as discussed further below. The base 32 includes an outer portion 116, or shell, and an inner, radiation shield 120. The shell 116 is formed of a suitable polymer that may be similar to the polymer utilized for the body, and the radiation shield 120 is formed of a radiation-shielding material such as lead, tungsten, or the like. The radiation shield 120 is received by the shell 116 and is coupled thereto by a suitable adhesive. It should be readily apparent to those of skill in the art that the shield 120 and shell 116 may be coupled together by any number of methods, including fasteners, clips, interlocking portions, overmolding the shield 120, or the like. The base 32 defines a cavity 124 for receiving the body 28 of the container 20. The base 32 includes an upper cylindrical portion 128 that defines an opening 132 and is received by the annular channel 112 of the body 28. In one embodiment, the base 32 is coupled to the body 28 by an adhesive that bonds the upper cylindrical portion 128 within the annular channel 112, although other known coupling methods may be used. The base 32 includes an intermediate frusto-conical portion 136 that extends from the upper cylindrical portion 128 to a reduced-diameter lower portion 140, which receives the reduced diameter, second section 96 of the body 28. A bottom surface 144 of the base 32 supports the container 20. It should be readily apparent to those of skill in the art that the base 32 may have another shape, such as generally cylindrical. The cap 36 is generally cup-shaped to define a chamber portion 148 and includes an outer protective portion 152, or shell, an inner protective portion 156, and an intermediate radiation shield 160 positioned between the shell 152 and the inner portion 156. In the illustrated embodiment, the shell 152, the shield 160, and the inner portion 156 are bonded to one another with an appropriate adhesive. However, in a further embodiment the three pieces may be coupled together by any number of methods, including fasteners, clips, interlocking portions, or the like, or the shell 152 and the inner portion 156 may be a single piece formed by overmolding the shield 160, injection molding, or the like. The shell 152 is formed of a suitable polymer, which may be similar to the polymer utilized for the body 28 and the shell 116 of the base 32, and the radiation shield 160 is formed of a radiation-shielding material such as lead, tungsten, or the like. The inner portion 156 of the cap 36 defines a body-securing structure in the form of radially inwardly extending projections 164. The projections 164 cooperate with the ribs 76 to provide a releasable attachment between the cap 36 and the body 28. In the illustrated embodiment, to couple the cap 36 to the body 28, the annular protrusion 72 of the body 28 is inserted into the open end 80 of the cap 32, i.e., the cap chamber portion 148, and the cap 36, or the body 28, is rotated approximately one-quarter turn to engage the ribs 76 with the projections 164. The open end 80 of the cap 36 also engages the O-ring 88 when the cap 36 is coupled to the body 28 to provide a fluid tight seal for the chamber 44. It should be readily apparent to those of skill in the art that other coupling methods may be used for securing the cap 36 to the body 28, such as a threaded engagement. The syringe sleeve 40 is generally cylindrical and includes an inner radiation shield 168, an outer sleeve 172, and a latching member 176 pivotally coupled to the outer sleeve 172. The outer sleeve 172 is axially slidable relative to the radiation shield 168 of the syringe sleeve 40. The radiation shield 168 is formed of radiation-shielding material, such as lead, tungsten or the like, and includes a generally retaining member 180 at an upper end 184 thereof. In the illustrated embodiment, the outer sleeve 172 is formed of a suitable polymer, which may be similar to the polymer utilized for the body 28 and the shells 116, 152 of the base 32 and the cap 36. The outer sleeve 172 includes an externally threaded portion 188, which in the illustrated embodiment is positioned proximate a mid-point of the outer sleeve 172. The externally threaded portion 188 is configured for engagement with the internally threaded portion 108 of the body 28. The threaded engagement allows for support of the syringe sleeve 40 within the body 28, while allowing relative axial positioning of the syringe sleeve 40 with respect to body 28. Referring to FIGS. 5 and 6, the outer sleeve 172 is axially movable with respect to the radiation shield of the syringe sleeve 40 between a retracted position (FIG. 5) and an extended position (FIG. 6). The radiation shield 168 defines a first recess 192 near the upper end 184 and a second recess 196 near a lower end 200. The latching member 176 includes a tab portion 204 and an upwardly projecting actuating portion 208. When the outer sleeve 172 is in the retracted position, the tab portion 204 of the latching member 176 engages and is received by the first recess 192, thereby securing the outer sleeve 172 in the retracted position. To move the outer sleeve 172 to the extended position, the actuating portion 208 is depressed, thereby pivoting the latching member 176 to disengage the tab portion 204 from the first recess 192. The sleeve 172 is then moved axially until the tab portion 204 engages and is received by the second recess 196. The latching member 176 is biased such that the tab portion 204 is urged into positive engagement with the recesses 192, 196. An external annular groove 212 is formed in an upper end of the outer sleeve 172 and the latching member 176 for receiving an O-ring (not shown), which keeps the tab portion 204 of the latching member 176 biased into positive engagement with the recesses 192, 196. The container 20 is configured to hold the syringe 24. The syringe 24 includes a generally cylindrical body 216, a plunger 220 that depends from one end of the body 216, and a needle 224 that extends from an opposite end of the body 216. The plunger 220 is axially movable with respect to the body 216 to fill or dispense liquid form within the body 216, as is known in the art. The body 216 defines a radially extending flange 228 at the plunger end that facilitates movement of the plunger 220 with respect to the body 216. The syringe 24 also includes a reduced diameter portion 232 and a frusto-concial portion 236 extending between the body 216 and the needle 224, such that the needle 224 is at least partially supported by the frusto-conical portion 236. The syringe 24 includes a removable protective cap 240 that fits snugly around, for example by a pressure fit, the frusto-conical portion 232 and covers the needle 224, thereby preventing accidental needle sticks. In use, the syringe 24 is filled with a pre-measured dose of a radiopharmaceutical at a pharmacy or a lab and the filled syringe 24 is transported to a patient in the radiation-shielding container 20. After the dose is administered, the voided syringe 24 is returned to the container 20. The container 20 and the syringe 24 are returned to a pharmacy or lab, whereby the voided syringe 24 is removed from the container 20 and disposed of. A technician fills the syringe body 216 with radiopharmaceutical by operating the plunger 220 in a known manner. The protective cap 240 is placed over the needle 224 and the filled syringe 24 is then inserted into the syringe sleeve 40. The retaining member 180 is configured to receive and positively capture the syringe flange 228 upon engagement and relative rotation of the syringe body 216 with respect to the syringe sleeve 40. If necessary, the outer sleeve 172 of the syringe sleeve 40 is moved to the retracted position. With the cap 36 removed to expose the chamber 44, the syringe sleeve 40 with attached syringe 24 is inserted into the body 28 such that the needle 224 and the protective cap 240 are received by the reduced diameter portion 100 of the body chamber 60. Upon engagement of the internally and externally threaded portions 108 and 188, the syringe sleeve 40 is rotated with respect to the body 28 until the relative axial position of the syringe sleeve 40 with respect to the body 28 is similar to that illustrated in FIG. 3. In the illustrated embodiment, the preferred axial position is reached when the protective cap 240 abuts the bio-liner 104, which in turn abuts the closed end 68 of the body 28. With the syringe sleeve 40 properly positioned within the chamber portion 60, the cap 36 is coupled to the body 28. In the illustrated embodiment, the syringe sleeve 40 and the syringe 24 are positioned such that the radiation shields 120, 168 of the base 32 and the syringe sleeve 40 axially overlap the body 216 and the needle 224 of the syringe 24. In one embodiment, the syringe sleeve 40 is positioned such that the radiation shield 168 axially overlaps with the radiation shield 120 of the base 32 and the radiation shield 160 of the cap 36. Alignment of the radiation shields in this manner, and with the body and the needle of the syringe, prevents a line-of-sight path from the chamber 44 to the surroundings for radiation emissions from the radiopharmaceutical in the syringe. The reduced diameter portion 232 of the syringe 24 is axially spaced from the bio-liner 104 when the container 20 and the syringe 24 are in the transport configuration, as seen in FIG. 3. With the cap 36 secured and the syringe 24 held firmly in place by the syringe sleeve 40, the container 20 is transported from the pharmacy or the lab to a hospital, clinic, or other facility, where the radiopharmaceutical is to be administered to a patient. To administer the radiopharmaceutical, the entire container 20 is brought to the patient. The cap 36 is removed from the body 28 and then the syringe sleeve 40, while securely holding the syringe 24, is removed by rotating the syringe sleeve 40 to disengage the threaded portions 108, 188. Because the syringe sleeve 40 is configured to remain in surrounding relation to the body 216 of the syringe 24, some level of radiation shielding can be maintained during administration of the dose. The protective cap 240 is removed from the syringe 24, thereby exposing the needle 224, and the radiopharmaceutical is then injected into the patient by depressing the plunger 220. After the radiopharmaceutical has been injected into the patient, the outer sleeve 172 of the syringe sleeve 40 is moved to the extended position by operating the latching member 176 in the manner discussed above with respect to FIGS. 5 and 6. When the outer sleeve 172 is in the extended position, it extends beyond the needle 224, thereby creating an anti-stick barrier. The syringe 24 and the syringe sleeve 40 are then returned to the container 20. When the syringe sleeve 40 is inserted into the body chamber portion 60, the latching member 176, and in particular the actuating portion 208, is manually actuated to allow the outer sleeve 172 to move toward the retracted position as the radiation shield 168 passes through the open end 64 of the body 28. In a further embodiment, the latching member 176 is configured to allow automatic actuation of the latching member 176 as the syringe sleeve 40 is inserted into the body 28. After the outer sleeve 172 of the syringe sleeve 40 reaches the retracted position, the internally and externally threaded portions 108, 188 are engaged and the syringe sleeve 40 is rotated to axially move the syringe sleeve 40 into the chamber portion 60. The syringe sleeve 40 is rotated until the reduced diameter portion 232 of the syringe 24 is received by the bio-liner 104 within the reduced diameter portion 100 of the chamber portion 60, as shown in FIG. 7. In the illustrated embodiment, the preferred axial position is reached when the bio-liner 104 becomes seated on the reduced diameter portion 232 of the syringe 24. The bio-liner 104 is configured to fit snugly over the reduced diameter portion 232 of the syringe 24, for example by a pressure fit, and will remain in place when the voided syringe 24 is removed from the container 20. The cap 36 is again secured to the body 28 and the container 20 is then ready for transport back to the pharmacy or lab, and is configured substantially as illustrated in FIG. 7. Upon being returned to a pharmacy or lab, the cap 36 is removed from the body 28, and the syringe 24 is disengaged from the syringe sleeve 40 and removed from the container 20. The configuration of the bio-liner 104 and reduced diameter portion 232 of the syringe 24 is such that the bio-liner 104 remains attached to the syringe 24 as the syringe 24 is removed from the container 20. Once the syringe 24 is removed from the container 20, the needle 224 is still protected by the attached bio-liner 104. The syringe 24 and the bio-liner 104 are disposed of into an appropriate biohazard receptacle. The container 20 is subsequently made ready for reuse by repositioning the syringe sleeve 40 and inserting a new bio-liner 104 into the reduced diameter portion 100 of the chamber portion 60. In a further embodiment, the radiation-shielding container 20 does not include the bio-liner 104 and the protective cap 240 is reused with the syringe 24 after radiopharmaceutical is dispensed from the syringe 24. In yet another embodiment, the syringe 24 does not include a protective cap 240 and the outer sleeve 172 of the syringe sleeve 40 and the bio-liner 104 are used to protect the needle 224. FIGS. 8-14 illustrate another embodiment of a radiation-shielding container 250 embodying the invention. The container 250 is similar to the container 20 described above with respect to FIGS. 1-7, therefore, like components are identified by like reference numerals. The radiation-shielding container 250, or radiopharmaceutical pig includes the body 28, the base 32 coupled to the body 28, the cap 36 that is removeably coupled to the body 28, and a syringe sleeve 254 (FIG. 9) that is securable within the body 28. In the illustrated construction, the base 28 includes a plurality of flats 258 and the cap 36 includes a series of raised ridges 262, whereby the flats 258 and ridges 262 facilitate opening and closing of the container 20. With the radiation-shielding container 250 shown in FIGS. 8-14, the cap-securing structure and the body-securing structure are reversed such that the cap 36 is inserted into the open end 64 of the body 28 to secure the cap 36 to the body 28. The inner surface 56 of the body 28, adjacent the open end 64, defines the cap-securing structure in the form of radially inwardly extending projections 266. In the illustrated embodiment, four projections 266 are provided and are substantially spaced about the circumference of the open end 64. Axially inwardly spaced from the projections 266, the inner surface 56 defines a circumferential groove 270 that is adapted to receive a resilient O-ring 274. The O-ring 274 engages the cap 36 to substantially seal the chamber 44 when the cap 36 is secured to the body 28. The inner portion 156 of the cap 36 defines an annular protrusion 278 that extends into the open end 64 of the body 28, and the annular protrusion 278 defines a plurality of radially outwardly and circumferentially extending ribs 282. The ribs 282 cooperate with the projections 278 to provide a releasable attachment between the cap 36 and the body 28. In the illustrated embodiment, to couple the cap 36 to the body 28, the annular protrusion 278 is inserted into the open end 64 of the body 28, i.e., the chamber portion 60, and the cap 36, or the body 28, is rotated approximately one-quarter turn to engage the ribs 282 with the projections 266. The annular protrusion 278 also engages the O-ring 274 when the cap 36 is coupled to the body 28 to provide a fluid-tight seal for the chamber 44. Referring to FIGS. 9, 10 and 14, the inner surface 56 of the body 28 defines an internally threaded portion 286 for securing the syringe sleeve 254 within the chamber portion 60. An outer sleeve 290 of the syringe sleeve 254 includes an externally threaded portion 294 at a lower end 296 thereof. The externally threaded portion 294 is configured for engagement with the internally threaded portion 286 of the body 28. The threaded arrangement allows for support of the syringe sleeve 254 within the body 28, while also allowing the relative axial positioning of the syringe sleeve 254 with respect to the body 28. The syringe sleeve 254 is generally cylindrical and includes an inner radiation shield 298, the outer sleeve 290, and a latching member 302 pivotally coupled to the outer sleeve 290. The outer sleeve 290 is axially slidable relative to the radiation shield 298 of the syringe sleeve 254. Referring to FIGS. 12-14, the outer sleeve 290 of the syringe sleeve 254 is axially movable with respect to the radiation shield 298 between a retracted position (FIG. 12) and an extended position (FIG. 13). The radiation shield 298 includes a first recess 306 near an upper end 310 and a second recess 314 near the lower end 296. The latching member 302 includes a tab portion 322 and a downwardly extending actuating portion 326. Similar to the embodiment described above, when the outer sleeve 290 is in the retracted position, the tab portion 322 of the latching member 302 engages and is received by the first recess 306, thereby securing the outer sleeve 290 in the retracted position. To move the outer sleeve 290 to the extended position, the actuating portion 326 is depressed, thereby pivoting the latching member 302 to disengage the tab portion 322 from the first recess 306. The sleeve 290 is then moved axially until the tab portion 322 engages and is received by the second recess 314. The latching member 302 is biased such that the tab portion 322 is urged into positive engagement with the recesses 306, 314. The syringe sleeve 254 operates similarly to the syringe sleeve 40 described above with respect to FIGS. 1-7, however, the actuating portion 326 of the latching member 302 may be automatically actuated upon insertion of the syringe sleeve 254 into the chamber portion 60 of the body 28. After radiopharmaceutical has been injected into the patient, the outer sleeve 290 of the syringe sleeve 254 is moved to the extended position by operating the latching member 302 in the manner discussed above with respect to FIGS. 12 and 13. When the outer sleeve 290 is in the extended position, it extends beyond the needle 224, thereby creating an anti-stick barrier. The syringe 24 and the syringe sleeve 254 are then returned to the container 250. When the syringe sleeve 254 is inserted into the chamber portion 60, the actuating portion 326 of the latching member 302 is depressed by the inner surface 56 of the body 28 and the tab portion 322 is disengaged from the second recess 314. The outer sleeve 290 is thereby allowed to move toward the retracted position as the radiation shield 298 passes through the open end 64 of the body 28. In another embodiment, the latching member 302 may be manually depressed to allow the outer sleeve 290 to move toward the retracted position. When the outer sleeve 290 reaches the retracted position, the internally and externally threaded portions 286, 294 are engaged and the syringe sleeve 254 is rotated to move the syringe sleeve 254 axially within the chamber portion 60. The syringe sleeve 254 is rotated until the reduced diameter portion 232 of the syringe 24 is received by the bio-liner 104 as shown in FIG. 14. The bio-liner 104 is configured to fit snugly, e.g., by a pressure fit, over the reduced diameter portion 232 and will remain in place when the voided syringe 24 is removed from the container 20. As illustrated by FIG. 11, the syringe sleeve 254 includes a pair of generally L-shaped retaining members 330 at the upper end 310. The L-shaped retaining members 330 are configured to receive and positively capture the flange 228 of the syringe body 216 upon engagement and relative rotating of the body 216 with respect to the syringe sleeve 254. It should be readily apparent to those of skill in the art that in further embodiments of the syringe sleeves 40, 254 retaining members having other shapes, fewer or more retaining members, or other retaining methods may be used. Various features and advantages of the invention are set forth in the following claims. |
|
054897378 | summary | BACKGROUND OF THE INVENTION The present invention relates to a radioactive waste processing method, especially to a processing system for radioactive waste resin, condensed waste liquid, incinerated ashes, and non-burnable miscellaneous solid bodies etc. Hitherto, as for solidification technique, cement solidification (JP Appl. No. 62-124872 (1987)) and plastic solidification (JP Appl. No. 62-268055 (1987)) have been used. As for methods for solidification process, JP Appl. No. 63-33541 (1988), JP Appl. No. 63-11687 (1988) were disclosed. The above described methods for solidification have been established, and packages having superior integrity can be, actually have been, prepared by the above described methods,. As storage in land becoming concrete, measurement of exact inventory of radioactivity per a package has become a problem. Accordingly, research on transportation managing system has progressed in order to measure radioactivity per a package which is processed by the solidification process, and measuring methods have been disclosed in JP Appl. No. 61-26345 (1986) and JP Appl. No. 2-157340 (1990). Farther, a method wherein radioactivity of nuclides other than Co-60 and Cs-137 are estimated by evaluating safety factor higher than radioactivity of Co-60 and Cs-137 (scaling factor method) is going to be applied to transportation management. However, reproducibility of correlation between Co-60, Cs-137, and other nuclides, which is one of fundamentals of the scaling factor, is not so well established, and accordingly, the correlation obtained by experiments or actual measurement is multiplied by 10-100 as a safety factor in actual use. That means, the above described method evaluates the correlation in safety side as much, but there is a possibility to overestimate the radioactivity of a package. By the above described conventional technique, it was difficult to grasp exactly sort and quantity of nuclides in a package even though total approximate inventory of the radioactivity per a package could be grasped. And, by the scaling factor method, radioactivity was evaluated in safety side more than necessity, and there was such a problem that actually transportable package was reprocessed or kept in storing at the site. All the above mentioned problems are caused by a fact that exact grasp of radioactivity and sort of contained nuclides per a package was impossible. SUMMARY OF THE INVENTION The object of the present invention is to solve the above described problems. In order to solve the above described problems, a part or all of radioactive waste in a storage tank is transferred to an adjusting tank, and after measurement of radioactive concentration of each nuclide in the waste, the waste is processed by one of processing methods in one or a plurality of choices which contain at least one of the processing methods described hereinafter. (1) Returning to the storage tank without introducing to a solidifying processing system. PA0 (2) Processing residue for solidification after incinerating process. PA0 (3) Processing the residue for solidification after removal of functional groups and radioactive nuclides by thermal decomposition. PA0 (4) Processing the residue after separation and removal of radioactive nuclides by dissolution process. PA0 (5) Introducing directly to a solidifying processing system and solidifies with inorganic solidifying agents or organic solidifying agents. PA0 (6) Introducing gaseous waste generated at intermediate processes to an exhausted gas processing system. PA0 (7) Mixing with other waste so as to adjust radioactivity per a package to a predetermined value in order to facilitate handling. That means, a process for exact grasp of contained radioactive nuclides and radioactive concentration in waste is introduced into a waste processing system at prior step to the solidifying process. Besides, in order to grasp inventory per a package more precisely, weight and surface dose of the package after the solidifying process is measured, and the obtained data are combined with the data obtained before the solidifying process. At least one of the above described two processes is introduced into the radioactive waste processing system. By introducing the process for exact grasp of contained radioactive nuclides and radioactive concentration in waste at prior step to the solidifying process, waste management after preparation of the package is facilitated and can be performed correctly. That means, as the inventory of radioactivity in the waste is previously grasped exactly, handling of the waste at transportation can be performed by only a sampling inspection. Farther, by measuring weight and surface dose of the package after the solidifying process and combining the obtained data with the data before the solidification process, exact labelling for each package becomes possible. |
abstract | An overall lead-free X-ray shielding rubber compound material. The overall lead-free X-ray shielding rubber compound material uses rare earth mixture to replace lead, simultaneously adds metal tin and compounds thereof, metal tungsten and compounds thereof and bismuth and compounds thereof as shielding main materials and is further compounded with rubber to prepare the compound material which can realize the overall shielding and the complete lead-free property within the energy range of 40-170 kVp. When rare earth and bismuth materials are used, the way of combined use of two metal element inorganic compounds and unsaturated organic complexes is adopted, and the in-situ reaction and the compounding with a polymer matrix are carried out, thereby leading the shielding element disperse phase to form nano-micro-level dispersed particles. |
|
051260980 | description | DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS Referring now to FIGS. 1 through 3, there is disclosed a schematic representation of a portion of a nuclear fuel reactor illustrated generally at 10. As is common with most nuclear fuel reactors 10, the reactor includes a containment building having a portion illustrated generally by the wall marked 12. The reactor core is positioned in the containment building and indicated generally at 14. Although not illustrated in detail, the reactor core 14 is shown as having a plurality of individual fuel assemblies indicated at 16, grouped together under water in the reactor core 14. The fuel assemblies are illustrated in schematic in FIGS. 1-6. Details of the construction are better shown in FIGS. 7 and 8. The fuel assemblies 16 are spaced closely together and a large amount of heat-transfer surface for removal of the high power produced per unit volume is provided. Spacing of the fuel assemblies 16 is critical and is based on a predetermined inter-assembly water gap. Typically, the reactor core includes a bottom core plate 18 on which the fuel assemblies 16 rest, and a pressure vessel (not shown) in which the fuel assemblies are contained. In one typical nuclear fuel reactor, about 180 fuel assemblies are provided inside the reactor core. The reactor core is under water (not shown). Each of the fuel assemblies 16 typically are twelve feet long, eight and one-half inches square, and include respective top and bottom end fittings 20, 22 which commonly are referred to in the industry as nozzles. The end fittings 20, 22 are formed of a zirconium alloy. The bottom end fitting 22 is substantially rectangular configured and includes a main thimble tube member support plate 24 having at each corner a leg 26 extending downward for allowing the bottom end fitting 22 to rest on the bottom core plate 18. Two diagonally opposing legs include core pin receiving holes 30 (FIG. 7) which are dimensioned to receive core pins 32 secured on the bottom core plate 18 for positioning a fuel assembly 16 in the reactor core 14 (FIG. 5). Thimble tube members 34 interconnect top and bottom end fittings 20, 22 and form a fuel rod support skeleton framework. The thimble tube members 34 also are formed of a zirconium alloy material. The top end fitting 20 includes a receiving member 36 (FIG. 8) for receiving the hook 38 of a polar crane 40 positioned in the containment building (FIGS. 3 and 4) and a crane (not shown) in areas adjacent the containment building, such as loading areas. The crane 40 is rolled on overhead rails 41 as is conventional in the industry. The thimble tube members 34 support a plurality of axially spaced fuel rod support grids 42, commonly referred to as grids. Typically, as many as seven or eight equally spaced, transverse support grids 42 interconnect the thimble tube members 34. For descriptive purposes of the present invention only three support grids 42 are illustrated. A plurality of fuel rods 44 are supported by the support grids 42 as illustrated in FIG. 7 and 8. The fuel rods 44 also are formed of a zirconium alloy material and typically are about 0.375 to 0.400 inches in diameter. As many as fifteen to seventeen fuel rods 44 are supported in spaced relation to each other by the support grids 42. As a unit the total fuel assembly weighs approximately 1,300 pounds and typically is flexible, so that heavy force, such as exerted by a individual against the fuel assembly 16 can create a buckle in the fuel assembly. After manufacturing a completed fuel assembly in a nuclear fuel processing plant, each fuel assembly 16 is placed into a shipping container and shipped to the nuclear fuel reactor location. The fuel assembly 16 is transferred into a fuel assembly storage area indicated generally at 46, commonly referred to as the spent fuel pit. The spent fuel pit 46 is located adjacent the containment building 12 and is connected to the containment building by means of an access opening 48 in the containment building wall. The access opening 48 is normally closed during reactor operation. A upender, indicated at 50, is movable between the spent fuel pit 46 and the containment building 12 on rails (not shown in detail). Fuel assembles 16 are placed on the upender 50 which is moved in a horizontal position while contained in the spent fuel pit 46. The upender 50 and fuel assembly 16 then can be moved in the containment building 17. The access opening 48 is small only to allow transfer of fuel assemblies 16 into and out of the containment building 12 when the upender 50 is positioned in a horizontal position. When the reactor core is to be fueled with new, unused fuel assemblies, a crane (not shown) positioned in the spent fuel pit 46 raises a fuel assembly positioned in the spent fuel pit and places the fuel assembly on the upender positioned in the spent fuel pit. The upender 50 and fuel assembly 16 are moved into a horizontal position, i.e., downended, and then are transferred through the containment building, through the access opening 48 and into the containment building 12. Typically, the upender 50 is supported on rails (not shown) extending from the interior of the containment building, through the access opening 48 and into the spent fuel pit 46. After the upender 50 and fuel assembly 16 have been transferred into the containment building 12, the upender 50 then is rotated ninety degrees to place the upender and fuel assembly in a vertical orientation within the containment building 12. The polar crane 40 which is positioned in the containment building 12 then lifts the fuel assembly off the upender and positions the fuel assembly in the reactor core 14 at a predetermined location. The reactor core typically includes a top core plate (not shown) which is removed to expose the bottom core plate 18. The bottom core plate 18 includes a plurality of core pins 32 adapted to receive the core pin receiving holes 30 located on the bottom end fittings 22 of the fuel assemblies 16. The core pins 32 are precisely spaced to assure proper spacing of fuel assemblies 16 in the reactor core 14. This cycle of moving individual fuel assemblies 16 from the spent fuel pit 46, into the containment building 12 and into the reactor core by means of the upender 50 and polar crane 40 is repeated for each fuel assembly until the reactor core is fueled. The reactor then is prepared for operation. A fuel assembly 16 has an operating life of approximately four and one-half years. Typically, about one third of the fuel assemblies 16 are replaced approximately every eighteen months. During refueling every eighteen months, all fuel assemblies 16 are transferred out of the containment building 12 and into the spent fuel pit 46. Individual fuel assemblies are moved by the crane 40 onto the upender 50 positioned in the containment building 12. The upender 50 is rotated ninety degrees, i.e., downended, and then transferred through the containment building access opening 48 into the spent fuel pit 46. During this process, the nuclear fuel reactor 10 is shut-down. During refueling of the reactor core 14, a preselected two-thirds of the fuel assemblies are moved from the spent fuel pit 46 and transferred back into the containment building 12 by means of the upender 50. The fuel assemblies 16 are loaded by the polar crane 40 into respective positions in the reactor core 14. A new one-third group of fuel assemblies which have not previously been used in the reactor core also are transferred on the upender 50 into the containment building 12 and then moved by the polar crane 40 into position in the reactor core 14. During the refueling process, it has been found that some of the fuel assemblies 16 which have not been replaced become bowed by as much as 0.500 inches and more. As shown in FIG. 9, in an exaggerated view of the bow in a fuel assembly, the bow places many of the fuel assembly members in compression. At first, it was suggested that such bowing occurred because of the natural creep of the zirconium alloy. However, it was determined that the bowing occurred because of the differential cooling rate of the fuel rods from the thimble tube members. Differential stresses occur in the thimble tube members 34 and fuel rods 44. Even though the coefficient of thermal expansion for the thimble tube members 34 and fuel rods 44 is the same in the zirconium alloy metal, it was determined that during refueling when the reactor and fuel assemblies located therein are cooled, the thimble tube members 34 cool at a rate different from the fuel rods which contain heavy uranium pellets. When the nuclear reactor fuel core 14 is shut-down, the thimble tube members cool faster than the fuel rods. This disproportionate cooling causes an expedited construction of the thimble tube members 34. A few hours later, when the fuel rods 44 cool down to ambient temperature, their length decreases and the fuel rods place the thimble tube members 34 into compression, which results in the fuel assembly becoming bowed by as much as 0.500 inches. Later, when the fuel assemblies 16 are transferred into the containment building and then into predetermined positions in the reactor core, the heavily bowed fuel assemblies can knock adjacent fuel assemblies already positioned on respective core pins in the reactor core. The fuel assemblies are closely spaced. Thus, the bottom end fittings are close together and when a bowed fuel assembly is moved adjacent the other fuel assemblies positioned in the reactor core 14, the bottom end fitting 22 may contact and damage the bottom end fitting of a fuel rod assembly 16 already positioned on the bottom core plate 18. Also, under these circumstances, a crane operator finds it very difficult to lower the fuel assembly 16 onto the proper core pins 32. The positioning of the fuel assemblies is made even more difficult by the fact that the positioning must be accomplished under water. Sometimes, other adjacent fuel assemblies must be raised to permit the interface of a heavily bowed fuel assembly onto the core pins 32. Additionally, a bowed fuel assembly adversely impacts the performance of the nuclear fuel reactor. The fuel assemblies are under water and the inter-assembly water gap may change when a bowed fuel assembly is placed into the reactor core resulting in higher thermal neutron flux on the outer fuel rods. This can lead to reduced thermal margins for the fuel rod cladding and can result in plant operational problems. The method in accordance with the present invention removes much of the bow on a fuel assembly. It has been determined that pulling with a predetermined force on top and bottom end fittings 20, 22 can straighten the fuel assembly and eliminate compressive stresses within the fuel assembly. This can be accomplished by two primary embodiments. In the first, after the fuel assembly 16 has been transferred in a prone, horizontal position on the upender 50 through the access opening 48 into the containment building 12, the fuel assembly 16 is pulled by the polar crane 40, preferably in a vertical orientation (FIG. 4), when the bottom end fitting 22 is secured to the upender by appropriate means such as attachment bars 51 which secure the bottom end fitting onto the upender. The attachment bars can include means for moving the attachment bars into engagement with the movement means. The movement means can be activated by radio signals transmitted from the crane. The amount of pull exerted by the polar crane will be adjusted to exert a total force of approximately three to four thousand pounds of force. An additional amount of force may cause the top end fitting 20 to separate from the assembly and thimble tube members. In a second embodiment, the fuel assembly can be lifted off the upender 50 after transfer into the containment building and positioned on top of a weight 52 (FIGS. 5 and 6) of predetermined magnitude having means for securing the weight to the bottom end fitting such as the attachment bars, illustrated at 51, as described before. Preferably the weight is approximately three to four thousand pounds. The fuel assembly then is lifted after the weight has been secured to the bottom end fitting. It has been determined that a weight greater than four thousand pounds may cause the top end fitting 20 to separate from the thimble tube members. If this occurs, the damaged fuel assembly will cause considerable problems in the reactor core 14 such as damage to other fuel assemblies. Additionally, the damaged fuel assembly 16 will be more difficult to remove. If the fuel assembly is bowed approximately 0.500 inches, the method in accordance with the present invention can remove as much as fifty to one hundred percent of the bow. It has been determined that removing the bow to around 0.050 inches of total bow in a fuel assembly has been found acceptable in many cases and will result in a straightened fuel assembly which in most cases can be placed onto core pins without damaging adjacent fuel assemblies already positioned on the core plate. Additionally, when the fuel assembly 16 is positioned in a horizontal position and the upender transferred from the spent fuel pit 46, through the access opening 48 and into the containment building 12, the fuel assembly bottom end fitting 22 can be secured onto the upender and the top end fitting 20 pulled. However, pulling horizontally on the fuel assembly 16 is not as desirable because in the reactor environment of the containment building and in the spent fuel pit, it is difficult to provide means for exerting a horizontal force. The bottom end fitting 22 also could be secured in other preselected positions in the containment building 12 and then pulled. However, securing the bottom end fitting 22 to a preselected position such as the upender 50 or another position is not as desirable as securing a weight 52 to the bottom end fitting 22. One of the chief advantages of securing a weight onto the bottom end fitting and pulling is the certainty of the weight magnitude the separate weight 52 provides as compared to pulling the fuel assembly secured to an upender with the polar crane. A weight of predetermined magnitude always can be used resulting in the application of a constant, known force to each fuel assembly. The foregoing embodiments are to be considered illustrative, rather than restrictive of the invention and the modifications which come within the meaning and range of equivalence of the claims are to be included therein. |
summary | ||
043022960 | abstract | Apparatus for insulating hot sodium in a reactor vessel in a pool-type nuclear reactor. The apparatus includes a flow isolated plenum in the reactor vessel for holding a stagnant quantity of sodium. The flow isolated plenum is located between a second plenum for holding hot sodium and the structural load bearing members of the reactor. The flow isolated plenum forms a thermally insulating field barrier between the hot sodium and the structural members. |
052531864 | summary | BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention is directed to a method for monitoring a process facility, such as an electrical power generating plant and, more particularly, to such a method in which sequential conditions which are associated with specific steps of a plant procedure are selectively transformed into constraining conditions which are applicable to several steps of that procedure. 2. Description of the Related Art In recent years, there have been a variety of systems developed for monitoring the operation of process facilities, such as industrial plants of many types, including both nuclear powered and fossil fueled electrical power generating plants. Many of these systems use artificial intelligence, e.g., expert systems, or other types of computer programs operating on data provided by sensors throughout the plant. One example of such a system is disclosed in U.S. Pat. Nos. 4,803,039 and 4,815,014 which are assigned to the assignee of this application and which are incorporated herein by reference. These patents disclose a system executing a FORTRAN program which includes program code for all of the written procedures for a particular type of operation of a plant, for example, the emergency operating procedures (EOP). As disclosed in allowed U.S. patent application Ser. No. 07/307,831, incorporated herein by reference, this system may be used to monitor the execution of procedures using three classes of conditions which typically exist during execution of a procedure for operating the plant. The first class consists of terminal conditions which include both initial conditions that must be satisfied prior to beginning a procedure and final conditions that determine completion of a procedure. The second class consists of sequential conditions, each of which corresponds to a particular step in the procedure and is intended to be met prior to completing the corresponding step. Finally, there are constraining conditions which remain in effect for several steps. While all of these types of conditions are not always clearly defined in the written procedures, computerization of the procedures requires that the conditions be defined rigorously. Successful execution of such procedures hinges on the ability of either the operator to guide the system or the system to pace itself through the prespecified sequence of plant state changes defined in the procedure. However, in conventional systems, once a sequential condition has been met, the existing systems do not continue to check to ensure that the sequential condition is maintained. Hence, later during execution of the procedure, the monitoring system would be unaware of any changes in the plant which affect a previously established condition and thus the operator would not be alerted by the system of the non-existence of an assumed condition. On the other hand, constraining conditions are based on precautions, limitations, notes, etc. in written procedures. Constraining conditions are assumed to be passive unless violated. Hence, typically, the operator is not informed about constraining conditions, unless a violation has occurred or is impending. If such an event occurs, conventional systems alert the operator, indicate the problem by text or graphics and require acknowledgement that the operator has become aware of the situation. All applicable constraining conditions are tested in each pass through a continuously executed loop in a computer program. Typically, the loop is interrupted only from the time a violation is detected until the operator's acknowledgement is received. A complete loop is executed periodically with a frequency that depends upon the capabilities of the system and the type of operation being monitored. In a conventional system, due to the necessity to clearly encode all sequential and constraining conditions, the only way to have a particular condition included as a sequential condition in a particular step and thereafter monitored continuously is to include separate blocks of computer program code in both the sequential steps and in the set of constraining conditions. The constraining condition must become effective only after completion of the step in which the corresponding sequential condition appears. This requires careful verification of the computer program to ensure that the same condition is being tested at the appropriate times. In a computerized procedure for a complex process facility, such as a nuclear power plant, there may be tens of thousands of lines of code and verification is not a trivial task. Furthermore, there is no opportunity for an operator to select a sequential condition to be continually monitored after the sequential condition is met, based upon recent experiences in operating the plant. SUMMARY OF THE INVENTION An object of the present invention is to provide a flexible process facility monitoring system. Another object of the present invention is to provide a process facility monitoring system which permits facility operators to identify conditions to be continually monitored which normally would not be monitored continually. Yet another object of the present invention is to provide a process facility monitoring system capable of transforming sequential conditions tested during a single procedure step into constraining conditions tested continuously for several procedure steps. The above objects are attained by providing a method of monitoring the operation of a process facility, comprising the steps of: storing in machine readable form a procedure defining steps for operating the process facility with conditions associated with the steps defined as one of initial conditions, sequential conditions and constraining conditions; automatically monitoring execution of each of the steps of the procedure by comparing sensor readings in the process facility with the sequential conditions corresponding to an associated step and displaying, during execution of the associated step, indications of whether the sequential conditions are met; automatically comparing the sensor readings in the process facility with the constraining conditions during execution of the steps in the procedure and generating first warning indications for any violated constraining conditions; and comparing the sensor readings with transformed conditions, selected from among the sequential conditions, during the steps following the associated step corresponding to each of the transformed conditions and generating second warning indications for any violated transformed conditions. The transformation of sequential conditions into constraining conditions may be controlled by transform flags indicating which of the sequential conditions should be selected to become transformed conditions. The transform flags may be predefined prior to executing the procedure or may be temporarily set by the operator during execution of the procedure. In the latter case, the system preferably provides a menu which may be requested by the operator to display a list of sequential conditions for a current step, so that the operator may indicate which of the sequential conditions should be transformed into constraining conditions at the end of the step. These objects, together with other objects and advantages which will be subsequently apparent, reside in the details of construction and operation as more fully hereinafter described and claimed, reference being had to the accompanying drawings forming a part hereof, wherein like reference numerals refer to like parts throughout. |
description | The present invention relates to a method of manufacturing a core shroud for a nuclear power plant, and more particularly to a method of manufacturing a core shroud for a nuclear power plant having a welding structure which is preferable for being manufactured by a laser welding, and a structure of a nuclear power plant. In the nuclear power plant, a high-temperature and high-pressure water is used, and a high reliability is demanded. Accordingly, in order to prevent an aged deterioration phenomenon, particularly a stress corrosion crack, the nuclear power plant is manufactured by a method which suppresses a generation of a residual stress due to a working strain or a tensile stress likely causing the stress corrosion crack. Patent document 1 discloses a method of setting a residual stress close to a backside of groove bottom surface to a compression stress or a small tensile stress, by a narrow groove welding method for a nuclear structure with a root face. Particularly, it relates to the narrow groove welding method and the welding structure with the root face, and a welding apparatus thereof, and the patent document 1 discloses a method of improving a residual stress of a groove bottom surface to a compression, characterized by employing an arc welding method as a welding method, and is provided with a first layer welding step of forming a weld bead in a backside surface of the groove bottom portion, a step of deposition welding in a first heat input range to a specific deposited bead height after the first layer welding step, and a step of welding a remaining portion by a second heat input range. Patent document 2 relates to a method of welding a extreme thick plate for a nuclear plant, and discloses a joint shape having a root face welded by a low heat input and a remaining groove portion deposition welded by an conventional arc welding. Particularly, it describes a method of welding an extreme thick steel with a possible lower range of heat input and a remaining portion is welded by an arc welding. Patent documents 3 and 4 disclose a welding method of deposition welding a narrow groove by using a laser welding and a welding groove shape. The patent document 3 discloses a narrow groove laser welding method of setting a welded portion to a narrow groove width, irradiating a laser beam into the narrow groove while feeding a filler wire, melting the filler wire by the laser beam, and deposition build-up welding. The patent document 4 discloses a welding method of setting a narrow groove in butted portions of weld subject members and setting a curvature to a bottom portion of the groove, setting a root face of 1 mm to 5 mm to the butted portions, and setting a ratio of a depth of weld penetration with respect to a width of weld to a predetermined range (which is equal to or more than 1 and equal to or less than 1.4), as a method of welding metals to each other by using a laser beam and a method of manufacturing a liquefied gas tank. Patent Document 1: JP-A-2007-21516 Patent Document 2: JP-A-58-151988 Patent Document 3: JP-A-9-201687 Patent Document 4: JP-A-2007-190568 The patent document 1 is effective for improving the residual stress in a backside surface of the groove bottom portion to the compression on the basis of the one side welding, however, since the root face of the groove butted surface is small and between about 1 and 2.5 mm, it is necessary to carry out a weld groove assembling work while holding a structure by using a lot of welding jigs, stands and the like at a time of assembling a thick and large-scaled weld structure such as a core shroud, and a great amount of labor is necessary. Further, in the assembling work mentioned above, a damage causing a generation of the stress corrosion crack tends to be applied to the structure. Further, it is necessary to weld by a low heat input for suppressing a transition of the residual stress in the backside of surface to a tension side because of the upper deposition welds, the welding efficiency is low. The welding method of the patent document 2 mentioned above is effective for lowering a welding contraction in the welding of the extreme thick plate, however, since the remaining portion is welded by the conventional arc welding, an effect of lowering the welding contraction of the groove is small in the case that the much remaining portion is welded. As a result, there is a possibility that the effect of lowering the residual stress of the weld portion is not sufficient. The patent documents 3 and 4 are the effective methods for achieving a welding efficiency, however, since the groove shape is not taken into consideration for the assembling work of the groove portion in the case of manufacturing the large-scaled structure such as the core shroud of the power plant, as same as that described in the patent document 1, a great amount of labor is necessary for the assembling work of the welding work, and the damage causing the generation of the stress corrosion crack tends to be applied to the structure. Further, since the depth of the welding groove is large by laser welding with feeding the filler wire, a high tensile residual stress is generated in the weld portion. The present invention is made by taking the above into consideration, and an object of the present invention is to provide a method of manufacturing a core shroud of a nuclear power plant and a nuclear power plant structure in which an assembly of a groove portion is easy in the case of manufacturing the core shroud having a weld structure in accordance with a laser welding, and a welded joint can be obtained such that a plastic distortion region and a residual stress going with a solidification shrinkage is as small as possible. The present invention for achieving the object mentioned above is a method of manufacturing a core shroud for a nuclear plant, in which the laser welding is carried out by using a narrow groove provided with a partial root face at least, in the case of welding a butt joint, or a narrow groove having a partial root face is formed for butting a plurality of members, the root face is fully penetration welded by a laser beam from one side or both side of the butted portions, and the remaining narrow groove portion is thereafter deposition welded. In the welding of the root face, an elongated deep penetration bead is formed. In the deposition welding of the remaining narrow groove, a welding wire is used. A welding strain is reduced by widening a range of the root face so as to assembly the large-scale structure easily. Particularly, a method of manufacturing a core shroud for a nuclear plant in accordance with the present invention is characterized in that the root face is provided in the butted portion at a time of welding the butted portions of a plurality of members constructing the core shroud for the nuclear plant, a length of the root face is set to 25% to 95% of a thickness of the thinner one of the butted portions of the metal members, a narrow groove is provided besides the root face, and the butted portions are welded by a laser welding using a welding wire. The groove is the narrow groove with a width of a bottom portion is between 1 mm and 4 mm, and an angle of the groove is between 1 degree and 7 degree. Since a curvature is provided at an end portion of the groove bottom portion, the width of the groove bottom portion can be specified by intersecting points of an extension line of both side surfaces of the groove and an extension line of the groove bottom portion. The root face is provided with a step or a concavity and convexity for accurately assembling a plurality of metal members. A shielding gas protecting the melting portion and the vicinity thereof is used at a time of welding. A nitrogen gas is preferable as the shielding gas. In the case of using the nitrogen gas, an austenitic stainless steel wire including 14% to 20% ferrite content calculated from a wire component is used as a welding wire. Particularly, it is preferable to use the wire mentioned above in the deposition welded portions which contact with a cooling water in a nuclear reactor. In accordance with the method of manufacturing the core shroud on the basis of the present invention, since it is possible to easily assemble and accurately form the weld groove of the butted portion constructed by combining a plurality of members, it is possible to shorten a manufacturing time, and it is possible to reduce a damage applied to a core surface as much as possible which tends to be generated at a time of assembling, so that the present invention is effective for manufacturing the nuclear power plant. Other objects, features and advantages of the invention will become apparent from the following description of the embodiments of the invention taken in conjunction with the accompanying drawings. As mentioned above, since the high-temperature and high-pressure water is used in the nuclear power plant, the austenitic stainless steel having an excellent corrosion resistance is used in a main equipment. Further, since the nuclear plant main equipment is used under a high-temperature and high-pressure environment, there is fear that an aged deterioration phenomenon such as a stress corrosion crack or the like is generated. It is thought that the stress corrosion crack is generated on the basis of a superposition of three factors of a material factor, a stress factor and an environment factor. Accordingly, at a time of manufacturing the nuclear plant structure, it is necessary to remove the stress factor as much as possible and pay attention to a tensile stress and a working strain causing the generation of the stress corrosion crack so that the tensile stress and the working strain do not remain in the surface and the bonded portion of the structure as much as possible. The tensile stress and the working strain are generated in the case that the stress is applied by an external force at a time of machining and at a time of assembling and a hardening and a distortion are generated, and are generated by the stress or the like generated during solidification process of the melted metal. Accordingly, after the machining, a work for grinding the surface and removing an affected layer by the machining is carried out. Further, the work for assembling and welding is carried out by using the welding jig, the stand and the like and constraining the members while paying best attention so that the damage is not applied to the constructing members, however, a great much labor is necessary, it is easy to form the welding groove, and a welding groove shape and a welding procedure are desirable by which a weld portion having a low residual stress can be obtained. The core shroud corresponding to the main equipment of the nuclear power plant structure is a cylindrical structure as shown in FIG. 1, and is manufactured by joining members 1 with a thickness equal to or more than 30 mm and manufactured by casting or forging by welding portions of butt welding lines 2. The welding is carried out in accordance with a method of forming a V-shaped groove or a groove called as “narrow groove” in the joint portion and filling the groove portion in accordance with a multilayer buildup welding by means of an arc welding. FIG. 2 shows a conventional example. A butted surface called as a root face 4 for aligning the welded members 1 is formed in the groove, and a groove wall portion 5 is filled with a weld metal 6 in accordance with the buildup multilayer welding after completely melting the root face by means of the arc. The root face is formed in a range between 1 mm and 2.5 mm in such a manner as to easily melt completely, and a width between 5 mm and 10 mm is used in the width of the bottom portion of the groove 5 of the narrow groove. On the other hand, a method of manufacturing the core shroud for the nuclear plant in accordance with the present invention is characterized by changing the shape of the butted shape in accordance with the conventional example mentioned above and welding in accordance with a laser welding. Specifically, at a time of welding the butted portions of a plurality of members constructing the core shroud for the nuclear plant, a root face is provided in the butted portions, a narrow groove is provided in the other portion, a length of the root face is set to 25% to 95% of a thickness of the thinner one of the butted portions of the metal members, and the butted portions are welded by a laser welding using a welding wire. The narrow groove has a curvature in an end portion of the groove bottom portion, and is structured such that a width of the groove bottom portion constructed by intersecting points of an extension line of both side surfaces of the groove and an extension line of the groove bottom portion is between 1 mm and 4 mm, and an angle of the groove formed by both side surfaces of the groove is between 1 degree and 7 degree. Since the nuclear power plant structure requires a high reliability, an accurate working and assembling is demanded. A step, a concavity and convexity or the like for accurately assembling a plurality of members with each other may be formed in the root face provided in the butted portions. The welding groove can be easily assembled, and a lot of constraining jigs and stands are not necessary, by setting the length of the root face of the weld groove in the butted portions of a plurality of members constructing the core shroud to 25% to 95% of the thickness of the thinner one of the butted portions of the metal members, a working time can be shortened, and it is possible to reduce a work addition in which there is fear that the core is damaged at a time of the assembling and welding. Further, it becomes easy to form an alignment reference such as the step, the concavity and convexity or the like for accurately aligning a plurality of members in the root face, and an assembling precision of the members is improved. It is necessary to weld the root face from one side or both sides so as to completely melt the butted portions, the root face can be completely penetration welded from one side or both sides by using a laser welding having a high energy density and forming an elongated and deep penetration bead. The welding has a step of butting the root faces and forming the weld groove by using the step, the concavity and convexity or the like formed in the root face as the alignment reference, a step of completely penetration welding the root face from one side and both sides, and a step of thereafter deposition welding the narrow groove portion while adding the austenitic stainless steel welding wire. The weld portion welded as mentioned above has an elongated and deep penetration bead portion (a first weld metal portion) which is welded in the portion between 25% and 95% of the thickness from one side or both sides by using the laser welding, and a portion (a second weld metal portion) which is deposition welded by using the welding wire. Particularly, in the method of manufacturing the core shroud for the nuclear power plant in accordance with the present invention, it is preferable to use the nitrogen gas for the shield gas protecting the melting portion and the vicinity thereof and use the austenitic stainless steel wire including 14% to 20% ferrite content calculated from the wire component, in the laser welding using the welding wire. Further, in the first and second weld metal portions of the weld structure in accordance with the present invention, 500 to 1000 ppm nitrogen in mass percent is included in the weld. Even if the length of the root face of the butted portions is 100%, it is possible to weld by using a high-power laser welding machine, however, in the case of laser welding the austenitic stainless steel corresponding to the constructing material of the core shroud, it is necessary to use the nitrogen gas for the shield gas protecting the melting portion and the vicinity thereof for preventing a weld defect. However, since the nitrogen is absorbed at a mass percent between 500 and 1000 ppm in the weld metal if the austenitic stainless steel is laser welded by using the nitrogen gas, a ferrite content of the weld metal becomes less than 5%, which is not preferable in the view of the stress corrosion crack resistance. Accordingly, it is preferable that at least a surface in a side contacting with a reactor water is provided with a portion which is filled with the weld metal having the ferrite content equal to or more than 5%. In the weld joint portion, in order to inhibit the aged deterioration phenomenon from being generated such as the stress corrosion crack or the like, it is necessary that at least the weld metal in the side close contacting with the reactor water includes the ferrite having an area ratio or a volume ratio between 5% and 14% in an austenite structure. In the laser welding in which the nitrogen gas is used as the shield gas, a predetermined ferrite content can be secured by using the austenitic stainless steel wire including 14% to 20% ferrite content calculated from the wire component for the welding wire. As a result, the ferrite content of the weld portion of the nuclear plan equipment constructing the austenitic stainless steel including the core shroud requires an amount between 5% and 14% in the view of a suppression of the stress corrosion crack resistance, however, since the ferrite content of the weld metal in the vicinity of the core surface can be secured at 5% or more, and it is possible to reduce the residual stress of the weld portion and reduce a region exposed to the plastic distortion in the vicinity of the weld portion and a working deformed amount, it is possible to inhibit the stress corrosion crack from being generated corresponding to the aged deterioration phenomenon in practical operation, thereby contributing to a long service life. The filling welding in the vicinity of the surface mentioned above is achieved to the groove, however, in the weld portion of the core shroud, it is desirable in the view of the aged deterioration that the tensile residual stress is as small as possible, and the region exposed to the plastic distortion generated in the member in the vicinity of the weld metal by the solidification shrinkage of the weld metal is as small as possible. Preferably, it is desirable that the width of the portion exposed to the plastic distortion by the solidification shrinkage of the weld metal in the vicinity of the weld metal portion is equal to or less than 1 mm. It is desirable that the filled built-up deposition weld portion is set to be at least equal to or more than 5% of the thickness while taking into consideration the inhibition of propagation of the crack in the case that the crack is generated in the surface. Further, taking into consideration the assembling work of the weld groove for the plural members, experience shows that it is desirable to make the length of the root face equal to or more than one quarter of the thickness. Accordingly, it is necessary to make the length of the root face equal to or more than 25% and equal to or less than 95% of the thickness. Further, in the assembly of the large-scaled structure such as the core shroud, there is a case that it is necessary to correct a slight slope or the like at a time of assembling even for high machining accuracy, the correcting work becomes more easily carried out in the case that the root face of groove portion exists in the butted portion of the metal members. The groove shape mentioned above has the curvature in the end portion of the groove bottom portion, the width of the groove bottom portion constructed by the intersecting points of the extension line of both the side surfaces of the groove and the extension line of the groove bottom portion is between 1 mm and 4 mm, and the angle of the groove formed by both side surfaces of the groove is between 1 degree and 7 degree. Embodiment 1 FIG. 3 shows the shape of the weld structure in accordance with the present embodiment. The core shroud is manufactured by joining the cylindrical members 1, a shape of core shroud is shown in FIG. 1. Each of the members 1 is manufactured by a casting or a forging in one cylindrical member, or is manufactured by joining the members by welding. The thickness is different in correspondence to the material, the position or the like, however, the portion having the thickness between 40 and 60 mm is frequent. In the present embodiment, the cylindrical members 1a and 1b shown in FIG. 3 are buttjoint welded. The cylindrical members 1a and 1b are constructed by an austenitic stainless steel SUS316L material having a diameter about 6 m, and a thickness of 50 mm. FIG. 4 shows a cross sectional shape of the weld groove formed in the butted portion 8. The weld groove is formed by butting the members 1a and 1b. The root face 4 in which the member surfaces are aligned with each other is formed in a center portion of the weld groove, and the groove channel portion 5 is formed in both sides thereof. A length L of the root face is set to 20 mm. This is a length in which the butted portions of the root faces can be completed molten by welding from both the sides by means of the laser power used in the present embodiment. The length L of the root face portion with respect to the thickness T is 40%. Further, a step 7 called as a mating fit for accurately aligning both the members is formed in the root face 4. A step of the mating fit 7 is 0.5 mm. Since the step of the mating fit is set to such a height that an aligning work is easily carried out and the mating fit is completely molten by the laser welding, it is desirable to set the step of the mating fit or the depth of the concavity and convexity between 0.3 mm and 1.0 mm. Further, the mating fit is not limited to one position, but may be formed at a plurality of positions. The step 7 called as the mating fit for accurately aligning both the members formed in the root face 4 is formed in the center portion in the length direction of the root face, in the present embodiment, however, this position is not limited, but may be formed above or below. In this case, it is necessary to completely weld the butted portion and the mating fit of the root face as mentioned above. On the other hand, since the penetration shape of the laser weld portion shows an inverse triangle shape, the penetration width becomes widest in the vicinity of the groove channel bottom portion. Accordingly, it is desirable that a position forming the mating fit is preferably at a position which is close to the groove channel bottom portion. The other groove channel portions 5a and 5b than the root face 4 portion are constructed by a narrow groove in which a groove bottom width W is 3 mm, and a groove angle θ is 5 degree. The bottom width of the groove is a distance between the intersecting points of the extension line of both the side surfaces of the groove and the extension line of the groove bottom portion. In this case, the end portion of the groove bottom surface has a curvature. If the curvature of the end portion of the groove bottom surface is small, it does not have an effect of suppressing a generation of the weld defect such as a lack of fusion or the like which tends to be generated in the end portion of the bottom surface. Further, if the curvature is too large, it affects the penetration depth in the case of completely penetration welding the butted portions of the root faces 4 by the laser welding. Accordingly, it is desirable to set the curvature of the end portion of the groove bottom surface to a radius between about 0.3 mm and 1.0 mm. In the case of the melt welding, in a base material portion called as a heat affected zone in the periphery of the weld metal, the member (the base material) is tensiled at a time of melting and solidification, and a plastic distortionis generated. The process strain is resided in the region exposed to the plastic distortion. Further, since the tensile residual stress is generated, it is desirable that the groove bottom width W of the groove channel portion 5 of the narrow groove formed by the members 1a and 1b is as narrow as possible in the light of the stress corrosion resistance. However, if the groove bottom width is less than 1 mm, it affects a beam guide of the laser beam for melting the root face 4, and the penetration depth is reduced, so that it tends to be hard to completely melt the root face 4. On the contrary, if it goes beyond 4 mm, a lack of fusion tends to be generated in a groove wall surface at a time of deposition welding while adding the weld wire. Accordingly, the width W of the groove bottom is desirably set to 1 mm to 4 mm. Taking into consideration the beam guide within the groove of the laser beam, and the distortion of the groove portion going with the solidification shrinkage of the weld metal, it is desirable that the groove angle θ is between 1 degree and 7 degree. In the manufacturing of the weld structure, since the weld groove assembling work affects a quality of the structure, it is very important. In the groove in accordance with the present embodiment, since the butted portion between the root faces has the thickness ratio which is equal to or more than 25%, and the mating fit for accurately aligning the members 1a and 1b is formed in the root face, the weld groove assembling work is easily carried out. Particularly, in the case that the weld groove is formed by lapping the member 1b over the member 1a, such as the present embodiment, it is possible to regulate the aligned position and correct the slope in a state in which the members are overlapped, if the root face portion is long. Accordingly, it is possible to simplify the installation of the assembling and constraining jigs and the stands, and it is possible to lighten the risk of causing damage such as a scratch or the like to the member. FIG. 5 shows a block diagram of a welding apparatus in accordance with the present embodiment. The laser beam oscillated from a laser oscillator 81 is transferred from an optical fiber 9 and is transmitted to a working head 10. A focused laser beam 11 focused by a lens in the working head 10 is irradiated into the groove formed by the members 1a and 1b, melts the member 1 and a weld wire 12, and carried out a welding while forming a molten pool 13, whereby a weld bead 14 is formed. The weld wire 12 is fed into the molten pool 13 from a forward side in a welding direction by a wire feeding apparatus 16 which is controlled by a wire feed control apparatus 15. The molten pool 13 and the vicinity thereof are shielded by a shield gas jetted from a shield gas nozzle 17. The shield gas is fed to the shield gas nozzle 17 from a gas cylinder 18 via a gas flow control apparatus 19. The laser oscillator, the wire feeding apparatus 16, the gas flow control apparatus 19 and a positioner 21 rotating the member 1 are connected to a weld control apparatus 20, and welding conditions such as a laser power, a welding speed, a wire feeding speed and the like and a motion timing are controlled and the welding is carried out. The welded member having the shape in FIG. 3 is mounted on the weld positioner 21 which is not illustrated, is rotated and is structured such that a circumferential welding can be carried out. Further, the welding is carried out in a horizontal position and the groove in FIG. 4 is welded from both sides. In the block diagram of the welding apparatus in accordance with the present embodiment in FIG. 5, only one set of welding apparatus including the working head 10, the shield gas nozzle 17, the wire feeding apparatus 16 and the like is illustrated, however, an equipment having the same structure is installed to an opposite side, and the welding is carried out alternately from a front side direction and a backside direction by switching the laser beam output from the laser oscillator. Since the welded member of the present embodiment is the cylindrical material, the front side direction corresponds to an outer side and the backside direction corresponds to an inner side. The laser oscillator employs a disc laser apparatus oscillating the laser beam having a wavelength 1030 nm. In the case the laser is constructed by a laser having a long oscillating wavelength such as a CO2 laser, the welding apparatus becomes large in size and a plasma tends to be generated, whereby a defect tends to be generated in the weld portion. Accordingly, it is preferable to employ a laser device in which a wavelength capable of transmitting the fiber is about 1 μm such as a YAG laser, a semiconductor laser, a fiber laser, a disc laser or the like which can be downsized its equipment and can easily obtain a high-quality weld portion, with respect to the welding of the nuclear plant equipment corresponding to the subject part of the present invention. Further, it is possible to add a heating means on the basis of a resistance heating or the like to the weld wire feeding apparatus 16 and employ an equipment for feeding the heated weld wire. The welding completely melts and bonds the butted portions of the members of the root faces by assembling the groove in the shape shown in FIG. 4, thereafter irradiating the laser beam 11 focused to the center of the bottom portion of the groove channel portion 5a from the outer side, forming a penetration 22 having an elongated shape as shown in FIG. 6, melting and bonding about 60% to 70% of the bonded surface of the root face 4, next forming the elongated shaped penetration 22 having the length which is 60% to 70% of the length L of the roof face in the root face portion in the same manner from an inner side in the opposite side, and lapping the penetration bead formed from the outer side over the penetration bead formed from the inner side near the center of the roof face. In this case, in the present embodiment, the root face portion is welded while feeding the weld wire, for improving the microstructure of the penetration portion, however, it is possible to weld only by the laser beam irradiation without feeding the weld wire. Further, in the embodiment in accordance with the present invention, the root face portion is welded from both the surfaces, however, may be completely molten and bonded by welding from one side. The member and the member are welded and bonded in accordance with a method of welding the root face portion, thereafter feeding the weld wire 12 into the narrow groove, and filling the groove by melting the wire by means of the laser beam. The welding of the groove channel portion is carried out by repeating the deposition of the deposited weld metal 23 which is formed by melting the weld wire and the member, and a weld portion shown in FIG. 7 is formed. This deposition may be achieved by carrying out the deposition welding of the outside groove channel portion and the inside groove channel portion alternately repeatedly, or by finishing the deposition of any one groove channel portion and thereafter carrying out the deposition welding of another groove channel portion. In view of the weld distortion, preferably, it is desirable to alternately carry out the inside deposition welding and the outside deposition welding. The welding of the weld joint in accordance with the present embodiment is carried out under a condition that the laser power is between 4 and 8 kw, and the welding speed is between 0.2 m/min and 0.5 m/min. Further, a position of a focal point of the laser beam is set to the bottom surface of the groove channel portion in the case of the welding of the root face, and is set to a position which is 3 mm to 30 mm above the deposited weld bead surface of the previous layer in the case of the deposition welding of the groove channel portion. Both the welding of the roof face and the welding of the groove channel portion use the nitrogen gas as the shield gas protecting the molten portion and the vicinity thereof. It is possible to prevent gas pore called as porosity generated in the weld metal from being generated, by using the nitrogen gas which tends to be dissolved in the weld metal for the shield gas. The nitrogen content in the deposition welded metal portion formed by melting a lot of weld wire is between 500 and 1000 ppm. On the other hand, nitrogen atomic element dissolved in the austenitic stainless steel operates as an austenite generating element. In the case of welding by using the weld wire which is used in the normal arc welding, a ferrite content in the weld metal is widely reduced, and it is impossible to secure a ferrite content 5% corresponding to a criteria of control which is necessary for suppressing stress corrosion crack of the nuclear plant equipment. FIG. 8 shows a relationship view between a ferrite content of the weld metal calculated from a chemical component and a nitrogen content in the weld metal formed by carrying out the deposition welding of the groove channel portion by using the nitrogen gas for the shield gas. The ferrite content from the chemical composition is calculated on the basis of the following formula.Ferrite content(%)=−30.65+3.49(Cr+Mo+1.5Si)−2.5[Ni+30(C+N)+0.5Mn] The actually measured ferrite contentin the weld metal portion is smaller than an estimation curve which is calculated by taking into consideration a dilution of the member molten at a time of welding and an nitrogen content estimated to be included in the weld metal portion. It is thought that this is caused by a comparatively high cooling speed of the weld metal in the laser weld portion. In order to secure the ferrite content equal to or more than 5% in the weld metal portion, it is necessary to use the weld wire in which the ferrite content calculated from the chemical component is equal to or more than 14%. Further, since the weld crack tends to be generated if the ferrite content is too high, an upper limit of the ferrite content in the weld metal roughly targets at 14%. Since the actually measured value is lower than the estimation curve, an upper limit of the ferrite content of the weld wire composition comes to 20% if a value obtained from the estimation curve is set to the upper limit value. Accordingly, as the weld wire in the case of welding the austenitic stainless steel by using the nitrogen gas as the shield gas, it is necessary to use the weld wire of a composition having a range that the ferrite content calculated from the material component is between 14% and 20%. Table 1 shows a composition of the used and the weld wire which are used in the embodiment in accordance with the present invention. A calculated value of the ferrite content of the weld wire is 17.7%. The ferrite content of the weld metal in the groove channel portion welded by using the wire having the present composition is between 7% and 12%. In this case, the ferrite content of the weld portion is calculated on the basis of the cross sectional structure of the weld joint portion. TABLE 1Mate-Chemical Composition (wt %)rialCSiMnPSNiCrMoNMem-0.0110.480.870.0230.00512.3717.482.240.02berWeld0.0150.411.580.0180.0039.3921.350.030.025Wire There is a case that the composition of the weld wire of the austenitic stainless steel is controlled on the basis of a ratio between a Cr equivalent and an Ni equivalent which is calculated from the composition, however, it is desirable that the range of Cr equivalent/Ni equivalent from which the ferrite content 14% to 20% calculated from the material composition is set to a composition of a ranged between 1.9 and 2.2 in the case of calculating the Cr equivalent and the Ni equivalent in accordance with the following expression.Cr equivalent: Cr+1.37×Mo+1.5×Si+2×Nb+3×TiNi equivalent: Ni+0.31×Mn+22×C+14.2×N+Cu In this connection, Cr equivalent/Ni equivalent of the weld wire in Table 1 is 2.08. FIG. 9 shows a result of residual stress measurement of the weld joint surface of the member after the welding procedure in accordance with the embodiment of the present invention. The residual stress is measured by using an X-ray residual stress measuring apparatus. A mark ● indicates a result of measurement of the residual stress of the weld joint portion which is welded in accordance with the prior art by using the groove in FIG. 2, for a comparative purpose, and a mark ◯ indicates a result of measurement of the residual stress of the weld joint manufactured in accordance with the manufacturing method of the present invention. The maximum residual stress of the weld joint executed by using the manufacturing method in accordance with the present invention is about 170 MPa, and is about one half in comparison with the prior art (360 MPa), and the residual stress of the weld portion is widely reduced. Further, a plastic distortion region generated by the solidification shrinkage of the weld metal is generated in the member in the vicinity of the weld portion, however, as a result of measuring the thickness and the shrinkage amount in an orthogonal direction of the weld groove portion which come to an index of large and small of the plastic distortion region width, the shrinkage amount of the embodiment in accordance with the present invention is about 1 mm, and is widely smaller than the shrinkage amount about 6 mm in the prior art preformed in the groove in FIG. 2, and the plastically deformed region in the periphery of the weld metal is widely narrowed. As mentioned above, the weld metal can obtain the austenite microstructure including 5% or more ferrite by using the manufacturing method in accordance with the present invention, and the plastically deformed region by the solidification shrinkage is narrow, and it is possible to obtain the weld microstructure having the weld joint in which the compression residual stress is lowered. In the embodiment in accordance with the present invention, the root face portion of the weld groove is formed in the center portion of the thickness, however, may be formed by being shifted to the front side or the back side, as shown in FIG. 10. Further, it may be formed by being welded from any one of the front side and the face side of the groove as shown in FIG. 11. In this case, it is preferable to set the groove channel portion to the side coming into contact with the cooling water. Further, in the embodiment in accordance with the present invention, the description is given of the result in the case of being applied to the circumference welding of the cylindrical material, however, the manufacturing method in accordance with the present invention is not limited to this. In the present embodiment, the description is given of the application example in the manufacturing of the shroud of the nuclear plant, however, the manufacturing method in accordance with the present invention can be applied to general nuclear plant structures using the austenitic stainless steel. Industrial Applicability In accordance with the present invention, it is possible to manufacture the high-quality core shroud in which the generation of the stress corrosion crack due to the damage of the core surface and the residual stress of the weld joint portion is suppressed, as well as achieving the reduction of the welding working labor of the core shroud for the nuclear power plant. Further, the present invention is preferably applied to the nuclear power plant structure in which the constructing parts are constructed by the austenitic stainless steel. It should be further understood by those skilled in the art that although the foregoing description has been made on embodiments of the invention, the invention is not limited thereto and various changes and modifications may be made without departing from the spirit of the invention and the scope of the appended claims. |
|
summary | ||
description | This application is a continuation-in-part of U.S. patent application Ser. No. 11/303,014, filed on Dec. 16, 2005 and currently pending, the entire content of which is hereby incorporated by reference. The present invention relates to a lithographic apparatus and a method for detecting contamination within a lithographic apparatus. A lithographic apparatus is a machine that applies a desired pattern onto a substrate, usually onto a target portion of the substrate. A lithographic apparatus can be used, for example, in the manufacture of integrated circuits (ICs). In that instance, a patterning device, which is alternatively referred to as a mask or a reticle, may be used to generate a circuit pattern to be formed on an individual layer of the IC. This pattern can be transferred onto a target portion (e.g. comprising part of, one, or several dies) on a substrate (e.g. a silicon wafer). Transfer of the pattern is typically via imaging onto a layer of radiation-sensitive material (resist) provided on the substrate. In general, a single substrate will contain a network of adjacent target portions that are successively patterned. Known lithographic apparatus include so-called steppers, in which each target portion is irradiated by exposing an entire pattern onto the target portion at one time, and so-called scanners, in which each target portion is irradiated by scanning the pattern through a radiation beam in a given direction (the “scanning”-direction) while synchronously scanning the substrate parallel or anti-parallel to this direction. It is also possible to transfer the pattern from the patterning device to the substrate by imprinting the pattern onto the substrate. To be most effective, the lithographic apparatus is used in as clean an environment as possible. One of the main reasons for using a clean environment is to prevent contamination of the substrate and any optical surfaces which are used to manipulate radiation beams used to apply a desired pattern onto the substrate. For example, a lithographic apparatus using an extreme ultraviolet (EUV) radiation beam is known to generate contaminants which can lead to a deposit forming on the optical surfaces. For example, irradiation of some optical surfaces with EUV is known to cause the build up of a carbonaceous deposit on these optical surfaces. These deposits may reduce the operating resolution of the lithographic apparatus. It is thus desirable to minimize the contamination of optical surfaces and, when necessary, clean the surfaces to remove the deposits. Cleaning of the optical surfaces is undertaken when the level of the contaminant is such that the operation of the lithographic apparatus is compromised. Therefore, it is desirable to be able to detect the level of contaminants on the optical surfaces. The present invention provides a new apparatus and method for detecting the level of contamination on optical surfaces of a lithographic apparatus. According to an aspect of the invention, there is provided a lithographic apparatus that is provided with a measurement apparatus constructed and arranged to use surface plasmon resonance to detect contamination of a surface within the lithographic apparatus. According to an aspect of the invention, there is provided a method of detecting contamination within a lithographic apparatus, the method comprising using surface plasmon resonance to measure contamination of a surface within the lithographic apparatus. According to an aspect of the invention, there is provided a method of detecting contamination within a lithographic apparatus, the method comprising measuring contamination of a surface within the lithographic apparatus using surface plasmon resonance. According to an aspect of the invention, there is provided a lithographic apparatus provided with a measurement apparatus constructed and arranged to use tunneling of photons through a metal layer to detect contamination of a surface within the lithographic apparatus. According to an aspect of the invention, there is provided a method of detecting contamination within a lithographic apparatus, the method comprising directing incident radiation at a metal layer, and detecting coupling of the incident radiation into a guided mode through the metal layer. According to an aspect of the invention, there is provided a lithographic apparatus that includes a pattern device constructed and arranged to pattern radiation, a projection system constructed and arranged to project the patterned radiation onto a substrate; and a contamination detection system constructed and arranged to detect contamination of a surface within the lithographic apparatus using surface plasmon resonance. According to an aspect of the invention, there is provided a method for manufacturing a device with a lithographic apparatus, the method comprising: patterning radiation with a patterning device; projecting the patterned radiation onto a substrate with a projection system; and detecting contamination of a surface within the lithographic apparatus using surface plasmon resonance. FIG. 1 schematically depicts a lithographic apparatus according to one embodiment of the invention. The apparatus comprises: an illumination system (illuminator) IL configured to condition a radiation beam B (e.g. UV radiation or EUV radiation); a support structure (e.g. a mask table) MT constructed to support a patterning device (e.g. a mask) MA and connected to a first positioner PM configured to accurately position the patterning device in accordance with certain parameters; a substrate table (e.g. a wafer table) WT constructed to hold a substrate (e.g. a resist-coated wafer) W and connected to a second positioner PW configured to accurately position the substrate in accordance with certain parameters; a projection system (e.g. a refractive projection lens system) PS configured to project a pattern imparted to the radiation beam B by patterning device MA onto a target portion C (e.g. comprising one or more dies) of the substrate W; and a contamination detection system CDS configured to detect contamination of optical surfaces of the lithographic apparatus. The illumination system may include various types of optical components, such as refractive, reflective, magnetic, electromagnetic, electrostatic or other types of optical components, or any combination thereof, for directing, shaping, or controlling radiation. The support structure supports, i.e. bears the weight of, the patterning device. It holds the patterning device in a manner that depends on the orientation of the patterning device, the design of the lithographic apparatus, and other conditions, such as for example whether or not the patterning device is held in a vacuum environment. The support structure can use mechanical, vacuum, electrostatic or other clamping techniques to hold the patterning device. The support structure may be a frame or a table, for example, which may be fixed or movable as required. The support structure may ensure that the patterning device is at a desired position, for example with respect to the projection system. Any use of the terms “reticle” or “mask” herein may be considered synonymous with the more general term “patterning device.” The term “patterning device” as used herein should be broadly interpreted as referring to any device that can be used to impart a radiation beam with a pattern in its cross-section such as to create a pattern in a target portion of the substrate. It should be noted that the pattern imparted to the radiation beam may not exactly correspond to the desired pattern in the target portion of the substrate, for example if the pattern includes phase-shifting features or so called assist features. Generally, the pattern imparted to the radiation beam will correspond to a particular functional layer in a device being created in the target portion, such as an integrated circuit. The patterning device may be transmissive or reflective. Examples of patterning devices include masks, programmable mirror arrays, and programmable LCD panels. Masks are well known in lithography, and include mask types such as binary, alternating phase-shift, and attenuated phase-shift, as well as various hybrid mask types. An example of a programmable mirror array employs a matrix arrangement of small mirrors, each of which can be individually tilted so as to reflect an incoming radiation beam in different directions. The tilted mirrors impart a pattern in a radiation beam which is reflected by the mirror matrix. The term “projection system” as used herein should be broadly interpreted as encompassing any type of projection system, including refractive, reflective, catadioptric, magnetic, electromagnetic and electrostatic optical systems, or any combination thereof, as appropriate for the exposure radiation being used, or for other factors such as the use of an immersion liquid or the use of a vacuum. Any use of the term “projection lens” herein may be considered as synonymous with the more general term “projection system”. As here depicted, the apparatus is of a reflective type (e.g. employing a reflective mask). Alternatively, the apparatus may be of a transmissive type (e.g. employing a transmissive mask). The lithographic apparatus may be of a type having two (dual stage) or more substrate tables (and/or two or more mask tables). In such “multiple stage” machines the additional tables may be used in parallel, or preparatory steps may be carried out on one or more tables while one or more other tables are being used for exposure. The lithographic apparatus may also be of a type wherein at least a portion of the substrate may be covered by a liquid having a relatively high refractive index, e.g. water, so as to fill a space between the projection system and the substrate. An immersion liquid may also be applied to other spaces in the lithographic apparatus, for example, between the mask and the projection system. Immersion techniques are well known in the art for increasing the numerical aperture of projection systems. The term “immersion” as used herein does not mean that a structure, such as a substrate, must be submerged in liquid, but rather only means that liquid is located between the projection system and the substrate during exposure. It will be appreciated that the term “optical surfaces” used herein should be broadly interpreted as encompassing any surface that radiation is directed at, and in particular optical surfaces used in the conditioning, patterning and projection of the radiation beam B. For example, the optical surfaces may be mirrors, lenses or prisms. The optical surfaces may be transmissive or reflective. A reference optical surface may be one which receives stray light (i.e. an optical surface not in the path of the radiation beam B, but one which receives light reflected (for example) from other surfaces). Properties of the optical surfaces that radiation is directed at may be inferred from properties of the reference optical surface. Referring to FIG. 1, the illuminator IL receives a radiation beam from a radiation source SO. The source and the lithographic apparatus may be separate entities, for example when the source is an excimer laser. In such cases, the source is not considered to form part of the lithographic apparatus and the radiation beam is passed from the source SO to the illuminator IL with the aid of a beam delivery system comprising, for example, suitable directing mirrors and/or a beam expander. In other cases the source may be an integral part of the lithographic apparatus, for example when the source is a mercury lamp. The source SO and the illuminator IL, together with the beam delivery system if required, may be referred to as a radiation system. The illuminator IL may comprise an adjuster for adjusting the angular intensity distribution of the radiation beam. Generally, at least the outer and/or inner radial extent (commonly referred to as σ-outer and σ-inner, respectively) of the intensity distribution in a pupil plane of the illuminator can be adjusted. In addition, the illuminator IL may comprise various other components, such as an integrator and a condenser. The illuminator may be used to condition the radiation beam, to have a desired uniformity and intensity distribution in its cross-section. The radiation beam B is incident on the patterning device (e.g., mask MA), which is held on the support structure (e.g., mask table MT), and is patterned by the patterning device. Having traversed the mask MA, the radiation beam B passes through the projection system PS, which focuses the beam onto a target portion C of the substrate W. With the aid of the second positioner PW and position sensor IF2 (e.g. an interferometric device, linear encoder or capacitive sensor), the substrate table WT can be moved accurately, e.g. so as to position different target portions C in the path of the radiation beam B. Similarly, the first positioner PM and another position sensor IF1 can be used to accurately position the mask MA with respect to the path of the radiation beam B, e.g. after mechanical retrieval from a mask library, or during a scan. In general, movement of the mask table MT may be realized with the aid of a long-stroke module (coarse positioning) and a short-stroke module (fine positioning), which form part of the first positioner PM. Similarly, movement of the substrate table WT may be realized using a long-stroke module and a short-stroke module, which form part of the second positioner PW. In the case of a stepper (as opposed to a scanner) the mask table MT may be connected to a short-stroke actuator only, or may be fixed. Mask MA and substrate W may be aligned using mask alignment marks M1, M2 and substrate alignment marks P1, P2. Although the substrate alignment marks as illustrated occupy dedicated target portions, they may be located in spaces between target portions (these are known as scribe-lane alignment marks). Similarly, in situations in which more than one die is provided on the mask MA, the mask alignment marks may be located between the dies. The depicted apparatus could be used in at least one of the following modes: 1. In step mode, the mask table MT and the substrate table WT are kept essentially stationary, while an entire pattern imparted to the radiation beam is projected onto a target portion C at one time (i.e. a single static exposure). The substrate table WT is then shifted in the X and/or Y direction so that a different target portion C can be exposed. In step mode, the maximum size of the exposure field limits the size of the target portion C imaged in a single static exposure. 2. In scan mode, the mask table MT and the substrate table WT are scanned synchronously while a pattern imparted to the radiation beam is projected onto a target portion C (i.e. a single dynamic exposure). The velocity and direction of the substrate table WT relative to the mask table MT may be determined by the (de-)magnification and image reversal characteristics of the projection system PS. In scan mode, the maximum size of the exposure field limits the width (in the non-scanning direction) of the target portion in a single dynamic exposure, whereas the length of the scanning motion determines the height (in the scanning direction) of the target portion. 3. In another mode, the mask table MT is kept essentially stationary holding a programmable patterning device, and the substrate table WT is moved or scanned while a pattern imparted to the radiation beam is projected onto a target portion C. In this mode, generally a pulsed radiation source is employed and the programmable patterning device is updated as required after each movement of the substrate table WT or in between successive radiation pulses during a scan. This mode of operation can be readily applied to maskless lithography that utilizes programmable patterning device, such as a programmable mirror array of a type as referred to above. Combinations and/or variations on the above described modes of use or entirely different modes of use may also be employed. Contamination of optical surfaces is a problem in lithographic apparatus, and in particular, modern optical lithography, where diffraction-limited imaging is a prerequisite. This is particularly so in EUV lithography, where carbonaceous deposits will readily form under EUV illumination. The carbonaceous deposits arise because EUV that is incident upon certain optical surfaces (e.g. mirrors) causes electrons to be emitted from the optical surfaces. Typically, these electrons have an energy of between 5 and 50 eV. It is believed that these electrons crack hydrocarbons which are present in the (EUV) lithographic apparatus. These hydrocarbons are present despite the vacuum which exists in a lithographic apparatus, due to (for example) outgassing of components in the apparatus. Over time, these cracked hydrocarbons form a carbonaceous deposit on the optical surfaces of the lithographic apparatus. It is desirable to detect the amount of carbonaceous deposits, because such deposits may reduce the effectiveness of the optical surfaces (e.g. mirrors) of the lithographic apparatus. For example, it has been found that for some lithographic apparatus, 2.0 nanometers is the maximum thickness of carbonaceous deposit that should be allowed to form on the optical surfaces, before the presence of the deposit is may compromise the operation of the apparatus. It is desired to be able to detect the absolute thickness of the contaminant with high resolution. It has been proposed to use an ellipsometric apparatus to determine the level of contaminants on the optical surfaces. Ellipsometry is a sensitive optical technique that is able to determine the level of contamination using properties of elliptically polarized light reflected from the contaminated surface. The level of contamination may be determined by using a quarter-waveplate followed by an analyzer. The orientations of the quarter-waveplate and the analyzer may be varied until no light passes through the analyzer. From these orientations, the relative phase change of the light can be calculated, and from this, the level (or thickness) of the contamination of the optical surface can be determined. However, a disadvantage of ellipsometry is that it is difficult to use (especially in-situ), and the experimental results are also difficult to analyze. It is also difficult to achieve a high resolution measurement using ellipsometry. An embodiment of the present invention uses surface plasmon resonance (SPR) spectroscopy to detect the level of contamination on optical surfaces of a lithographic apparatus. Surface plasmon resonance spectroscopy as a technique may be used to detect changes in the thickness of the contaminant by a fraction of a nanometer (e.g. 0.1 nanometers or less). Furthermore, and particularly advantageously, surface plasmon resonance spectroscopy may be undertaken in-situ, thereby allowing the level of contamination to be determined in real time without having to shut down the lithographic apparatus to perform contamination detection measurements. Being an optical technique, surface plasmon resonance has the advantage of being immune to electromagnetic field interference caused by any plasma formation and/or photoelectron emission caused by EUV radiation of optical surfaces. Surface plasmon resonance is a non-destructive analysis technique. Surface plasmons are electron density oscillations formed at the surface of a conductor. Surface plasmons can be generated at the interface between a conductive metal film and an insulating layer by striking the metal layer with a particular type of light. A metal layer is used due to its large number of free electrons (i.e. its “sea” of electrons). The technique is often performed in a vacuum. Surface plasmon modes may be resonantly excited in the metal layer by photons incident at a particular angle of incidence. This particular angle of incidence is mainly a characteristic of the optical constants of the materials used and the geometry of the surfaces. At a particular angle a photon incident on the metal layer will interact with a surface plasmon, and, rather than be reflected from the surface, will be coupled into the metal layer, causing a decaying evanescent field to propagate through the layer. Therefore, the interaction between the surface plasmon and the photon (excitation of the surface plasmon) results in a dip in the intensity of reflected light (specifically in the TM(p) polarized light, i.e. light with its electric field vector parallel to the plane of incidence) at a particular angle of incidence of the photons. This is observed as a dip in the angle-dependent reflectivity response of the metal layer, which is akin to a resonance at a particular angle. As described above, properties of the surface plasmons and of the angles of incidence at which resonance occurs are highly dependent on the condition of the metallic surface, and therefore to any contamination deposited thereon. The reason for this is that the contamination of the metallic surface perturbs the decaying evanescent field at the vacuum-metal boundary, which in turn changes the angle at which surface plasmon resonance occurs. The evanescent field may be large at the boundary between the metal layer and the vacuum, leading to a high sensitivity to changes in thickness and/or refractive index of the contaminant at the interface. Thus, it will be appreciated that a measured change in the resonant angle can be used to determine the amount (or thickness) of contaminant on the metallic surface. Surface plasmon resonance spectroscopy is a technique disclosed in various publications, for example in B. Liedberg, C. Nylander and I. Lundstrom, “Biosensing with surface plasmon resonance—how it all started”, Biosensors Bioelectron. 10, i-ix (1995) and J. Homola, S. S. Yee and G. Gauglitz, “Surface plasmon resonance sensors: review”, Sensors and Actuators B, 54, 3-15 (1999). Therefore, mathematical details of the technique will not be described in more detail here. Referring to FIG. 1, it can be seen that a contamination detection system CDS is positioned such that it is located away from (i.e. not in the path of) the radiation beam B, but is exposed to stray light which may be reflected from other surfaces in the lithographic apparatus. This means that the contamination detection system CDS does not obstruct or in any way intrude upon the patterning of the substrates, but is still able to measure the effect of irradiation by the radiation beam B, and therefore the build up of carbonaceous deposits on optical surfaces of the lithographic apparatus. The contamination detection system CDS uses surface plasmon resonance spectroscopy, described above, to determine the level of contamination. An embodiment of the contamination detection system CDS is shown in more detail in FIG. 2. The contamination detection system CDS is a surface plasmon measurement apparatus (e.g. a surface plasmon resonance spectrometer), and is provided with a radiation source 1 which is configured to provide a beam of radiation 2. The radiation beam 2 is directed towards a beam splitter 3, where it is split into two parts, a probing beam 2a and a reference beam 2b. The reference beam 2b is directed towards a detector 4. The intensity of the reference beam 2b is used as a reference level for the measurement of the thickness of the contaminant by the probing beam 2a. The probing beam 2a is directed towards a beam expansion and focusing system 5. A polarizer (not shown) may be used to ensure the probing beam 2a has a specific polarization. The beam expanding and focusing system 5 first expands the probing beam 2a and then focuses the probing beam 2a, such that the probing beam 2a is made to pass through a prism 6 before focusing on a surface of the prism, upon which a metallic layer 7 has been deposited. The probing beam 2a is then reflected from the interface between the prism 6 and the metallic layer 7 before it is collimated and directed towards a CCD line detector 8 by a collimation and focusing system 9. The collimation and focusing system comprises a cylindrical lens 9a, which focuses the probing beam 2a in one dimension only, such that the probing beam is elongate in a direction parallel to the length of the CCD line detector 8, but focused in a direction perpendicular to its length. The probing beam 2a is not focused in two dimensions, as this would render it difficult or impossible to extract angular information therefrom. It will be appreciated that the cylindrical lens 9a can be used to focus the probing beam 2a before or after it has reflected from the metallic layer 7. In an embodiment, the radiation source 1 may be a HeNe laser, having a wavelength of 632.8 nanometers, the prism 6 may be formed from fused silica, and the metallic layer 7 may be a 50 nanometer thick silver layer (other thicknesses and/or metals may be used). It will be appreciated that the radiation source 1 and metallic layer 7 may be chosen such that they are particularly suited to one another. For example, it is desirable that any dip in the reflection from the metallic surface 7 is particularly sharp and deep (i.e. such that it has a high figure of merit). A gold layer may be a suitable metallic surface in some circumstances, providing a high figure of merit where infra-red radiation is used to irradiate the metallic surface 7. Furthermore, it may be preferable to ensure that the prism 6 and metallic layer 7 are as closely matched as possible to the optical surfaces which are being used to condition, pattern and project the radiation beam B. For example, an additional two nanometer film (e.g. Ru) may be grown on top of the metallic layer 7 to simulate the capping layer of an EUV mirror used in EUV optical lithographic apparatus. In this way, a more accurate determination of the build up of deposits on the optical surfaces may be obtained. The metallic layer 7 may be deposited on top of a stacked layer of films, or multilayer dielectric thin film stack. By using a stack of thin film layers, the line-width of the reflection dip may be decreased, and therefore the resolution of the measurement improved. It can be seen in FIG. 2 that as the probing beam 2a has been expanded and focused onto the interface between the prism 6 and the metallic layer 7; radiation impinges on the interface at a range of angles R(θ). Since a range of incident angles R(θ) is used, there is also a corresponding range of reflected angles R(θ). By using a CCD line detector 8, the effect of build up of contamination on the surface of the metallic layer 7 can be profiled at a range of different incident angles simultaneously. This negates the need to rotate the light source or any of the optical equipment, to find the above-mentioned resonant angle at which point the photons are coupled into the metallic layer and there is a dip in the reflected radiation intensity. FIG. 3 illustrates how the apparatus of FIG. 2 is used. FIG. 3 is a graph of reflected intensity (specifically TM(p) polarization) verses angle of incidence of the probing beam 2a. It can be seen that there is a significant dip in the reflected intensity at an angle of incidence of θR which is the resonant angle for the prism 6 and metallic layer 7 combination. When a carbonaceous deposit forms on the metallic layer 7, the conditions required for surface plasmon resonance to occur are affected, such that the resonant angle changes. It can be seen from FIG. 3 that when the amount of contaminant increases to a first level, the resonant angle is shifted, and is now θR1. A further increase in the thickness of the contamination layer further shifts the resonant angle to θR2. Thus, using the CCD line detector 8, the shift in the resonant angle can be measured and subsequently used to derive the change in thickness of the contamination layer. The level of contamination can be determined experimentally, or calculated using known mathematical relationships (and using known optical constants of the contaminant). The use of beam expansion optics 5 and a CCD line detector 8 means that no parts of the contamination detection system CI)S need to be moved during operation, thereby simplifying the operation of the apparatus. Although FIG. 3 shows the dip in reflected intensity decreasing in depth as the resonant angle shifts, this is given by way of example only. The change in depth of the reflected intensity corresponds to more light being absorbed by an absorbent contaminant. If the contaminant is not absorptive, the depth of the intensity dip will not change (although a shift of the resonant angle will still occur). Using surface plasmon resonance spectroscopy, the thickness of the contamination layer can be determined down to 0.1 nanometers or less, and with a resolution of much less than 0.1 nanometers. In practice, the determination of the level of contamination may be undertaken periodically or continuously. When the deposit has been determined to exceed the desired maximum amount (for example 2.0 nanometers), atomic hydrogen (e.g. Hydrogen-1 or its isotope Hydrogen-2 (deuterium)) may be pumped into the lithographic apparatus to clean the optical surfaces. During and/or after cleaning has taken place, the contamination detection system CDS can be used to determine the (now decreased) level of contamination on the optical surfaces. When the optical surfaces have returned to their original state, this will be detected by the contamination detection system CDS from the resonant angle of the prism 6 and metallic layer 7 combination. Since the level of contamination can be determined to a high resolution, cleaning of the optical surfaces can be undertaken at specific intervals, and need not be undertaken unnecessarily. If cleaning is undertaken too frequently, the optical surfaces themselves may become damaged. For example, excessive cleaning may remove or otherwise damage some or all of a reflective coating on an optical surface. Excessive cleaning of the optical surfaces may also have a detrimental impact on various construction materials in the lithographic apparatus. The above-mentioned embodiment has been described with reference to the use of a beam expansion and focusing system 5 which is used to irradiate the interface between the prism 6 and metallic layer 7 with radiation at a range of angles R(θ). It will be appreciated however that the beam expansion and focusing system 5 is not necessary, and the radiation source 1 (or other radiation manipulation device) may instead be rotated with respect to the prism to vary the angle of incident radiation. Instead of varying the angle of incidence of the probing beam 2a (or using a probing beam with a range of angles R(θ), the wavelength of the probing beam may be altered. This allows the angle of incidence of the probing beam 2a to be fixed at a single value. In this case, the wavelength of incident radiation is varied and a spectrum of the reflectivity as a function of wavelength is recorded. The spectral position of the reflection minimum may then be determined. The wavelength at which this minimum occurs will vary according to the amount of contaminant deposited on the metallic layer 7. In this way, the thickness of the layer of contaminant on the metallic layer 7 may be determined from the change in wavelength at which the dip in reflected radiation intensity occurs. The wavelength may be altered by using a tuneable laser as the radiation source 1, or a broadband source with a monochromator or other optical wavelength resolving device at the detection side of the system. The wavelength resolving device may comprise a dispersive (grating or prism) monochromator, a Fourier transform spectrometer, a Fabry-Perot spectrometer, or a fiber-optic analogue of these. The probing beam 2a could be generated by a diffuse or divergent source of radiation. The radiation source 1 may emit a specific wavelength of radiation, or a range of wavelengths. The radiation source 1 may incorporate one or more optical elements to control properties (e.g. the wavelength, polarization state, etc) of the probing beam 2. FIG. 4 illustrates another embodiment of the contamination detection system of the present invention. The contamination detection system shown in FIG. 4 is similar to that shown in FIG. 2, and common features have been given the same reference numbers. The contamination detection system comprises a radiation source 1 which is arranged to direct a beam of radiation 2 towards a beam splitter 3. The beam splitter is arranged to split the beam of radiation 2 into a reference beam 2b (which is used as a reference level for the measurement of the thickness of the contaminant) and a probing beam 2a. The reference beam 2b is directed toward a detector 4. The probing beam 2a is directed towards a beam expansion and focusing system 5. A polarizer (not shown) may be used to ensure that probing beam 2a has a specific polarization. The beam expanding and focusing system 5 first expands the probing beam 2a and then focuses the probing beam 2a, such that the probing beam 2a is made to pass through a prism 6 before focusing on a surface of the prism upon which a metallic layer 7 has been deposited. In the contamination detection system of FIG. 2, the light reflected from the metallic layer 7 is detected. However, the apparatus shown in FIG. 4 differs in that a dielectric layer (e.g. silica) is deposited on top of the metallic layer 7, forming a waveguide 10. The waveguide 10 may be planar or have a ribbed structure. The probing beam 2a couples into the waveguide 10, and propagates along the waveguide 10 as a TE(s) polarized guided mode. The guided mode has a decaying evanescent field which extends outside of the waveguide (e.g. into the vacuum or other environment). Light propagating through the waveguide 10 is directed towards a detector 8a by a lens 9a. Contamination forming on the surface of the waveguide 10 will cause a change in the effective index of the waveguide 10. The metallic layer acts as an optical tunnel barrier with the dielectric on either side (i.e. the prism 6 and waveguide 10) acting as “wells”. The electric field tunnels through the barrier (metal) layer and launches a guided mode in the waveguide 10. The electric field leaks out of the waveguide 10 and decays evanescently. This decaying field is highly sensitive to the surface conditions, and therefore to any contamination layer which changes the effective index of the waveguide 10, thus altering its phase and spectral response. It may be desired to arrange the embodiment of FIG. 4 such that wavelength resolved measurements are possible. For example the radiation source I may be a tuneable laser (e.g. incident at a single angle rather than over a range of angles) and the detector 8a may be a photodiode. Alternatively, a broadband source may be used, and the detector 8a may comprise a spectrometer and photodiode. The formation of contamination on the surface of the waveguide 10 will cause a change in the wavelength at which radiation is coupled to the guided mode. This change of wavelength will be detected by the detector 8a. The amount or level of contamination deposited on the surface of the waveguide 10 may be determined based on the wavelength change. This may be done directly using known optical constants of the contaminants, or from a process of trial and error or empirical studies. An advantage of the embodiment shown in FIG. 4 is that the metallic layer 7 is protected from environmental attack by the waveguide 10. Additionally, the spectral line-width of the guided mode radiating through the waveguide 10 is sharper (i.e. spectrally purer) than the surface plasmon mode of the single metal layer, thus enabling a more accurate determination of the thickness of the contaminant deposit. The waveguide 10 is located adjacent the metallic layer 7 (i.e. in contact with the metallic layer 7, or with a small gap in between (the Otto configuration)) so that surface plasmons are excited. If the contaminant is absorbing, then detection without the use of a waveguide (i.e. as described with reference to FIG. 2) may provide a higher resolution measurement. This is because propagation of radiation along the waveguide 10 will lead to absorption of the radiation and a consequent broadening of the spectrum of the radiation. This will reduce the resolution of a measurement of the radiation spectrum. It will be appreciated that the apparatus of FIGS. 2 and 4 could be combined such that the surface plasmon resonance mode (that described in relation to FIG. 2) and an optically guided mode (that described in relation to FIG. 4) may be detected simultaneously. The wavelength of the probing beam 2a may be chosen such that its frequency is resonant with an electronic or local vibration mode frequency characteristic of the particular contaminant to be monitored (e.g. carbon), i.e. so that a certain contaminant will (partly) absorb the probing beam 2a. Using such a specific wavelength allows the chemical nature of the contaminant to be confirmed, as well the amount of it which is deposited on the optical surface. FIG. 5 illustrates another embodiment of the present invention (FIG. 5 is not shown to scale). In this embodiment, surface plasmon resonance spectroscopy is undertaken using a sub-wavelength grating structure 20. The grating comprises a metallic layer 20a provided on a glass substrate 20b (the grating having been etched into the glass substrate). A laser 22 directs a beam of radiation 21 towards the grating 20, such that incident radiation 21 is perpendicular to the surface of the grating 20. The periodicity of the grating 20 serves to generate surface plasmon modes. The surface plasmon modes are generated when radiation is incident on the grating 20 from a perpendicular direction, avoiding the need to employ oblique incident radiation through a prism (as shown in FIGS. 2 and 4, which illustrate a Kretschmann geometry). Surface plasmon modes generated in the metal layer 20a cause a decaying evanescent field to be generated. The changes in the surface plasmon modes are detected, via detection of photons on the other side of the glass substrate 20b. Due to the periodicity of the grating 20, the photons are diffracted. First order diffracted photons 23 are detected by detectors 24a, 24b. The wavelength of the incident radiation 21 is scanned across a range of wavelengths until a peak is detected in the intensity of the first order diffracted light 23. This peak corresponds to the conditions for surface plasmon resonance being met, and the position of the peak will shift when the thickness of the contaminant changes. Thus, when contamination (not shown) builds up on the grating 20, the wavelength at which surface plasmon resonance occurs will change. Therefore, by periodically irradiating the surface of the grating 20 and scanning the wavelength of the radiation, a change in the wavelength at which surface plasmon resonance occurs can be determined. From the shift of the wavelength required to generate surface plasmon resonance, the amount or level of contamination can be determined in a known manner. It will be appreciated that instead of using a radiation beam 21 with a single wavelength, and then subsequently scanning the wavelength of this radiation beam 21, a broadband radiation source can be used. A spectrometer may then be used to detect light diffracted by the sub-wavelength grating structure 20 and to determine the wavelength peak at which surface plasmon resonance occurs. This peak will shift when the grating structure 20 becomes more or less contaminated. When radiation of a single wavelength (i.e. monochromatic radiation) is used to irradiate the surface of the grating 20, a technique known as surface enhanced Raman spectroscopy may be used to detect the chemical nature of the contamination on the grating 20. If light scattered from the surface of the grating 20 has the same energy (i.e. wavelength) as that of the incident radiation 21, the incident radiation 21 will have been elastically scattered. However, if a shift in the wavelength (i.e. energy) of the scattered light is detected (in comparison with the incident radiation 21), the incident radiation 21 will have been inelastically scattered, i.e. some of the energy will have been absorbed by contamination on the surface of the grating 20. The change in the energy of the scattered light can be attributed to a characteristic property of the contamination, and therefore the chemical nature of the contamination can be determined. A change in wavelength or energy (the ‘Raman shift’) can be determined using a spectrometer such as a fiber coupled spectrometer, a compact grating spectrometer, a Fourier transform spectrometer, a Fabry-Perot spectrometer or any other suitable detection means. The mechanism via which energy is absorbed by the contamination is as follows: the incident radiation 21 excites localized plasmons, which excite molecular dipoles. The molecular dipoles lose packets of energy to particular phonon vibrations. The molecular dipoles subsequently re-emit red-shifted (i.e. lower energy) photons. These photons, which have a lower energy than the photons of the incident radiation, are detected by the detectors 24. Since the E-fields at the metal layer 20a are extremely high (due to surface plasmon coupling), the Raman signal may be enhanced by several orders of magnitude compared with what would ordinarily be seen. This is why the technique is called surface enhanced Raman spectroscopy. Although the embodiment of the invention shown in FIG. 5 uses a grating, other patterned surfaces may be used (typically a patterned surface that aids the conservation of momentum and hence surface plasmon coupling is used). An alternative embodiment of the invention is shown in FIG. 6. A prism 6 is provided with a metallic layer 7. A tuneable laser 30 is arranged to direct a radiation beam 30a into the prism 6 such that it is incident upon the metallic layer 7 and reflected back out of the prism to a detector 31. The detector may for example be a photodiode. The wavelength of the tuneable laser is adjusted until surface plasmon resonance is excited at the metallic layer 7. This is seen as a drop in the intensity of radiation detected by the photodiode 31. The wavelength generated by the laser 30 is then fixed at this resonant wavelength λo. It will be appreciated that the resonant wavelength λo, is dependent upon the angle of incidence of the radiation on the metallic film 7. However, in this particular version of the embodiment this angle θ0 is fixed. In other versions the wavelength may for example be fixed and the angle may be varied. In a further alternative version a range ot angles may be generated, for example using the configuration shown in FIGS. 2 and 4. Since the metallic film 7 is being illuminated by radiation at an angle and wavelength which excites surface plasmon resonance, a significant number of plasmons will be present in the metallic film. A second tuneable laser 32 may be arranged to generate a second beam of radiation 32a having the same wavelength as that generated by the first tuneable laser 30, i.e. λo. This laser may be arranged to direct the second radiation beam 32a at an opposite side of the metallic film 7, i.e. without first passing through the prism 6. A detector 33 is positioned to detect radiation scattered from the metallic film 7. The detector 33 is wavelength resolving, and so can monitor the spectrum of the scattered radiation (the detector may for example be a spectrometer). The scattered radiation will include a range of wavelengths, which arise due to inelastic scattering of the radiation by any contamination upon the metallic film. The amount of this Raman scattered radiation is enhanced by the presence of the surface plasmons. Enhancing Raman scattering in this way is referred to as surface enhanced Raman spectroscopy. In some cases, the first beam of radiation 30a may be split into two beams, instead of using the second tuneable laser 32 to generate the second beam 32a. A third laser 34 may be used to direct a third beam of radiation 34a with a different wavelength λ1 at the metallic film 7. This third beam of radiation may be directed at the metallic film 7 without passing through the prism 6. The wavelength λ1 is chosen to coincide with a peak of the Raman spectrum seen as a result of illuminating the metallic film 7 with the second radiation beam 32a. For example, the third radiation beam 34a may have a wavelength λ1 which is selected to coincide with an electronic transition of a molecule present on the metallic film 7 (in the presence of excitation radiation at λo). In this embodiment, since the aim is to detect contamination on the metallic film 7, the wavelength of the third radiation beam 34a may be tuned to coincide with an electronic transition of the contamination. In this way it is the Raman spectrum of the contamination that is seen by the detector 33. The effect of tuning the wavelength of the beam 34a generated by the third laser 34 is that the light is resonantly scattered. The effect of this is that vibrational and/or electronic modes associated with that particular transition exhibit a greatly increased Raman scattering intensity. Typically this increase is so high that it overwhelms Raman signals from all other transitions. For instance, resonance with a π-π* transition enhances stretching modes of the π-bonds involved with the transition, while the other modes remain unaffected. This “Resonance” Raman scattering is detected by the detector 33. Since the strength of the signal detected by the detector 33 is high, this allows accurate detection of a Raman spectrum of the contamination. This allows the nature of the contamination to be determined, i.e. which material or materials is present in the contamination. The thickness of the contamination may be determined by using the first laser 30 and detector 31 in a manner analogous to that described above in relation to FIG. 2 (i.e. using surface plasmon resonance measurements). This embodiment of the invention may be used for example to pick out a particular contaminant when several contaminants are present. For example, the characteristic Raman spectrum for the contaminant to be detected may already be known, and the wavelengths λo and λ1 may be selected accordingly. In some instances, it may be the case that the Raman spectrum is known approximately, and experiments may be needed in order to more accurately determine the Raman spectrum. In one example, carbon deposited on the metal film 7 in an EUV environment may give rise to a particular characteristic Raman spectrum, which may be excited via appropriate selection of λo and λ1 For example, λo may be 633 millimeters, and λ1 could be 650 millimeters. In general, any suitable wavelength may be used, including infrared wavelengths such as 1.55 microns. Wavelengths which do not merely pass through the contamination will provide more Raman scattered radiation. When selecting λo and λ1 it should be kept in mind that in general Raman scattering is not particularly sensitive to the first wavelength λo. It is the difference between the first and second wavelengths (λo−λ1) which should be selected to coincide with a peak of a Raman spectrum. The radiation wavelengths λo and λ1 may be selected to excite the electronic Raman spectrum or the vibrational Raman spectrum. In some instances the vibrational Raman spectrum may be preferred for the detection of organic contamination (organic contamination will be seen in EUV lithography). A further alternative embodiment of the invention is shown in FIG. 7a. In common with some of the above described embodiments, this embodiment is based around a prism 50. The prism 50 will be described in detail further below, in connection with FIG. 7b. A source 51 is arranged to generate a beam of radiation 52. The source may for example be a tuneable laser or a grating spectrometer. The radiation beam 52 passes through the polarizer 53 and a photo-elastic modulator 54 before passing into the prism 50. The radiation beam 52 is reflected from a surface of the prism, and passes through a lens 55 onto a detector 56. The detector 56 may for example be a photodiode. The photo-elastic modulator is controlled by a controller 57 with its reference frequency passed to a dual channel lock-in amplifier 58. An output from the lock-in amplifier 58 passes to a computer 59 or other data processing and/or storage apparatus. Referring to FIG. 7b, four layers are provided on the prism (the thickness of the layers is exaggerated in the figure). The first layer 61 is silver (Ag) with a thickness of 42 nanometers. The second layer 62 is Hafnia with a thickness of 292 nanometers (a quarter wave optical thickness of 2.0). The third layer 63 is silver (Ag) with a thickness of 42 nanometers. The fourth and final layer 64 is Alumina with a thickness of 24 nanometers (a quarter wave optical thickness of 0.13). The fourth layer 64 serves as a protective capping layer preventing the oxidation of the silver of the third layer. The materials and thicknesses are for a sensor operating in the near infrared region. Other thicknesses and/or materials may be used. For example other metals such as aluminium, gold or copper may be used in place of silver, depending upon in which spectral region the contamination detection system is arranged to operate. Similarly, other dielectric materials such as titania, silica, alkaline-earth fluorides and others may be used. The prism 50 is formed from BK7. Other prism materials may be used, for example, fused silica, glass (e.g. SF6, LaSFN9, etc) or crystal (e.g. Si, ZnS, ZnSe, SrTiO3, etc). In use the polarizer 53 is arranged to ensure that the radiation beam 52 has a plane polarization. The photo-elastic modulator introduces a retardation into the radiation beam of up to λ/2 such that on leaving the photo-elastic modulator the radiation beam switches between orthogonal polarization states (i.e. between the s-plane and the p-plane polarization). The frequency at which the switching takes place is determined by the controller 57, and may typically be a few tens of kilohertz. The combination of metal and dielectric layers 61-64 on prism allows ‘optical tunneling’ of photons through the layers, thereby allowing photons to interact with each of the layers, rather than only with the first silver layer 61. Optical tunneling is described in “Making Tunnel Barriers (Including Metals) Transparent”, I. R. Hooper, T. W. Priest, J. R. Sambles, Phys. Rev. Lett., 97, 053902 (2006). The interaction of the radiation beam 52 with the prism 50 (including the layers 61-64) is dependent upon the polarization state of the radiation beam. When the radiation beam is s-polarized there is no excitation of surface plasmons in the layers 61-64. Instead radiation is coupled, via optical tunneling into a waveguide mode which is centered on the Hafnia layer 62. The waveguide mode is confined because the first and third (silver) layers 61, 63 act as a form of Fabry-Perot cavity. As will be described further below, the angle at which the radiation beam 52 is directed at the prism is fixed, and the wavelength is varied. The coupling to the waveguide mode is wavelength dependent, and this coupling may be monitored using the detector 56. When the radiation beam 52 is p-polarized, there is significant interaction between the radiation beam and plasmons present in the upper silver layer 63. Photons in the radiation beam reach the third (silver) layer 63 via optical tunneling through the first (silver) and second (Hafnia) layer. The first (silver) layer serves as a tunneling barrier for the p-polarized radiation. The resonant frequency of the plasmon mode of the third (silver) layer 63 is strongly influenced by contamination on the fourth (Alumina) layer 64. This is because a surface wave is excited by E-field tunneling through the fourth (Alumina) layer 64. Since the resonant frequency of the plasmon mode of the third (silver) layer 63 is strongly influenced by the presence of contamination on the fourth (Alumina) layer 64, a measurement of the amount of contamination may be performed by monitoring the changes of the resonant frequency. In use, the wavelength of the radiation beam is scanned, for example from 1100 nanometers to 1400 nanometers. The intensity of radiation incident upon the detector 56 is recorded as a function of wavelength. The lock-in amplifier 58 is used, together with the controller 57 to distinguish between detected p-polarized radiation and s-polarized radiation. The manner in which a lock-in amplifier and photo-elastic modulator may be used to distinguish between polarizations will be known to those skilled in the art and hence is not described in detail here. In brief however, the lock-in amplifier 58 is locked to the signal generated by the controller 57, thereby allowing the lock-in amplifier to distinguish between radiation detected when the photo-elastic modulator 54 is in a first state and radiation detected when the photo-elastic modulator is in a second state (i.e. to distinguish between s-polarized and p-polarized radiation). The use of the lock-in amplifier 58 in this way allows both s-polarized p-polarized spectral features to be observed simultaneously, and removes inaccuracies which would arise from drift and other time-based variations. It is not essential that a lock-in amplifier be used in order to discriminate between s-polarized and p-polarized radiation. For example, a polarizing beam splitter may be used to separate the polarizations and direct them towards different detectors. Other discriminating apparatus may be used. If the lock-in amplifier (or other discriminating apparatus) and electro-optic modulator were not used, a set of measurements could be made for s-polarized radiation, followed by a set of measurements for p-polarized radiation (or vice-versa). However, this would be subject to drift and other time-based variations. FIG. 8 shows the intensity detected by the photo detector 56 for both s-polarized and p-polarized radiation. The measurements have been normalized, and are represented as a percentage reflectivity of the prism 50. The p-polarized radiation can be seen to pass through a minimum of reflectivity at 1100 nanometers, before gradually rising to a reflectivity of around 83%. This characteristic arises from interaction of the p-polarized radiation beam 52 with surface plasmons in the third (silver) layer 63. Since the plasmons are sensitive to the presence of contamination on the fourth (Alumina) layer 64, the wavelength at which the minimum occurs will be shifted by the presence of contamination. The s-polarized radiation passes through a minimum at 1300 nanometers, before rising to around 84%. This characteristic is due to coupling to the waveguide mode centered upon the second (Hafnia) layer. Since the waveguide mode is insensitive to the presence of contamination on the fourth (Alumina) layer 64, the wavelength of the minima is unaffected by the contamination. The s-polarized radiation therefore provides a reference minimum against which the wavelength of the minima of the p-polarized radiation beam may be compared. By providing this reference, and using lock-in amplification to avoid a time delay between measurement of p-polarized radiation and s-polarized radiation, the embodiment of the invention provides an accurate measurement of contamination on the fourth (Alumina) layer 64. In a variation of this embodiment, the source 51 may be a broadband source, and a Fourier transform spectrometer may be used to provide the radiation beam 52. A Fourier transform spectrometer is a Michelson interferometer with a moveable mirror. By scanning the moveable mirror over a distance, an interference pattern is produced which encodes the spectrum of the source. The embodiment of the invention operates in the same manner as described above, except that the measured intensities are recorded as a function of frequency rather than as a function of wavelength. A Fourier transform spectrometer may be used to generate a radiation beam for other embodiments of the invention. In all of the above mentioned embodiments, sources and detectors of the contamination detection systems have been in close proximity to the optical surface that has been probed. Flowever, incident, reflected, scattered, diffracted, etc radiation may be introduced or collected using optical fibers. The use of fiber optics may allow parts of the contamination detection system to be located in places remote from an optical surface. For example, a spectrometer used to detect changes in wavelengths of reflected or diffracted radiation may be located outside of a lithographic apparatus, the reflected or diffracted radiation being passed to the spectrometer using fiber optic cables. FIGS. 2, 4, 6 and 7 illustrate embodiments of the invention which utilize the Kretschmann geometry. The Otto configuration may alternatively be used. Details of the Kretschmann geometry and Otto configuration can be found in, for example, A. Otto, “Excitation of nonradiative surface plasma waves in silver by the method of frustrated total reflection,” Z. Phys., 216, 398 (1968), and E. Kretschmann and H. Raether, “Radiative decay of nonradiative surface plasmons excited by light,” Z. Naturforsch., 23A, 2135 (1968). Embodiments of the invention may alternatively utilize a grating coupling geometry, as illustrated in FIG. 5. The embodiments of the invention may use a radiation beam with any suitable wavelength or range of wavelengths. Any suitable source may be used, for example a laser, diode, broadband source etc. The embodiments of the invention shown in FIGS. 2 and 4 include a reference beam 2b which allows the intensity of the radiation incident on the prism 6 to be monitored. This same reference measurement arrangement, or some other equivalent arrangement, may be used for any of the embodiments of the invention. The detection arrangement shown in FIG. 2, i.e. detecting radiation over a range of angles, may be used for other embodiments of the invention. The embodiments of the invention have been described as being able to detect the chemical nature of the contamination of the optical surface. It will be appreciated that a binding agent may be deposited on an optical surface. This binding agent may be sensitive to (i.e. may bond with) particular chemicals. The binding agent may be chosen so that it binds to a particular contaminant. For example, the binding agent may be chosen such that long chain hydrocarbons bond with it. Where this is done, detected contamination on that optical surface may be assumed to be long chain hydrocarbon. The contamination detection system may for example be used to detect contamination of optical surfaces caused by a fluid that is used in immersion lithography. The fluid may be in contact with the optical surfaces or may provide gaseous contaminants (e.g. by evaporation). The embodiments of the invention are suited for use in optical lithographic apparatus which use EUV radiation to expose substrates (the EUV radiation causes the build-up of carbonaceous deposits on optical surfaces in the lithographic apparatus). The embodiments of the invention may however be used to detect the level of contamination on optical surfaces in lithographic apparatus in general, i.e. not just contamination arising due to EUV radiation. Furthermore, the contamination need not necessarily be carbonaceous deposits or other inorganic materials. For example, the embodiments of the invention can be used to monitor the build up of biological or other organic material on optical surfaces. The contamination may consist of heavy hydrocarbons generated from vacuum and resist outgassing, and resist leaking into the fluid in immersion lithography. It has been mentioned above that an example of a maximum level of contamination to be allowed on an optical surface may be 2 nanometers. It will be appreciated that this level is only an example, and that the maximum desired level may be higher or lower than 2 nanometers. Other factors which may be taken into account include the concentration of the contamination, the nature of the optical surface, and/or the nature or type of contamination. As described above, the contamination detection system CDS is located such that it is exposed to stray radiation (the contamination detection system CDS is not located in the path of the radiation beam B used to expose the substrate). It will be appreciated that the contamination detection system CDS may be provided at one of a number of locations about the lithographic apparatus, and that this location may vary according to the exact layout of the lithographic apparatus and its constituent parts. Indeed, contamination detection systems may be provided at a plurality of locations within the lithographic apparatus. In some instances it may be possible to position a contamination detection system such that it detects contamination on a surface which is located in the path of the radiation beam B. The form of the contamination detection system CDS (e.g. that of the prism 6 and metallic layer 7) of FIG. 2 may be such that it emulates the properties of optical surfaces used to condition, pattern and project the radiation beam B. In some cases, a single contamination detection system CDS may be sufficient for the purpose of determining the level of contamination of all optical surfaces in the lithographic apparatus in question. However, in other cases, in order to fully characterize the build up of deposits on a number of optical surfaces, it may be desirable to incorporate a plurality of contamination detection systems CDS. For example, each contamination detection system CDS may be constructed such that it has the same physical properties as a particular optical surface, and may be located as near to that optical surface as possible without interfering with the main radiation beam B. Where a plurality of contamination detection systems CDS are used, in some cases some parts of the systems may be common. For example, a single tuneable source (or other radiation source) may be used to provide radiation for all of the contamination detection systems. This may be done by providing the single source remotely, and coupling the radiation to each measurement location using optical fibers. Similarly, a single detector may be used, for example linked to each measurement location using optical fibers. Multiplexing between measurement locations may be used. For example, where a single detector is used, multiplexing may be used to ensure that at any given time only radiation from one measurement location is incident upon the detector (the multiplexing providing switching between the measurement locations). The surface plasmon measurement apparatus may be any apparatus suitable for detecting surface plasmons or the effects of surface plasmons. For example, the surface plasmon measurement apparatus may be a reflectometer arranged to detect light reflected from a surface, and/or the effect that surface plasmons have on this reflected light. Although specific reference may be made in this text to the use of lithographic apparatus in the manufacture of ICs, it should be understood that the lithographic apparatus described herein may have other applications, such as the manufacture of integrated optical systems, guidance and detection patterns for magnetic domain memories, flat-panel displays, liquid-crystal displays (LCDs), thin-film magnetic heads, etc. The skilled artisan will appreciate that, in the context of such alternative applications, any use of the terms “wafer” or “die” herein may be considered as synonymous with the more general terms “substrate” or “target portion”, respectively. The substrate referred to herein may be processed, before or after exposure, in for example a track (a tool that typically applies a layer of resist to a substrate and develops the exposed resist), a metrology tool and/or an inspection tool. Where applicable, the disclosure herein may be applied to such and other substrate processing tools. Further, the substrate may be processed more than once, for example in order to create a multi-layer IC, so that the term substrate used herein may also refer to a substrate that already contains multiple processed layers. The term “lens”, where the context allows, may refer to any one or combination of various types of optical components, including refractive, reflective, magnetic, electromagnetic and electrostatic optical components. While specific embodiments of the invention have been described above, it will be appreciated that the invention may be practiced otherwise than as described. The descriptions above are intended to be illustrative, not limiting. Thus, it will be apparent to one skilled in the art that modifications may be made to the invention as described without departing from the scope of the claims set out below. |
|
claims | 1. A method of producing a localised concentration of energy comprising using an external device to apply one or more shockwaves to a static volume of non-gaseous medium to create at least one shockwave propagating through the non-gaseous medium so as to be incident upon a pocket of gas within the medium, wherein the pocket of gas is attached to a surface comprising a depression, wherein the pocket of gas has a volume greater than or equal to a volume of the depression and the depression is shaped so as partially to receive the pocket of gas. 2. The method as claimed in claim 1, comprising controlling the formation of a transverse jet during collapse of the pocket of gas, wherein the surface depression is designed to receive the transverse jet impact such that at least some of pocket of gas is trapped between the impacting jet and the surface depression. 3. The method as claimed in claim 2, wherein the jet is arranged to strike an area of surface that has been prepared with a particular roughness or microscopic shape such that many small portions of the pocket of gas are trapped between the jet tip and the target surface. 4. The method as claimed in claim 1, wherein the surface depression and the pocket of gas are arranged such that the initial contact region is a curve which forms a closed loop. 5. The method as claimed in claim 4, wherein a section of the surface depression has a curvature greater than that of the tip of the jet and wherein the section of the surface depression is arranged such that the jet impacts therein. 6. The method as claimed in claim 1, wherein the surface depression tapers in cross-section away from the mouth of the surface depression. 7. The method as claimed in claim 1, wherein the surface depression has a depth greater than its width. 8. The method as claimed in claim 1, wherein the surface depression comprises a plurality of discrete portions. 9. The method as claimed in claim 8, wherein the discrete portions are piecewise polynomial. 10. The method as claimed in claim 1, comprising using an explosive device to create the shockwave with a pressure of between 0.1 GPa and 50 GPa. 11. The method as claimed in claim 1, wherein the pocket of gas is formed with the use of a pre-manufactured membrane that defines the boundary between the pocket of gas and the non-gaseous medium. 12. The method as claimed in claim 11, wherein the membrane is frangible and is arranged to break upon impact from the shockwave. 13. The method as claimed in claim 1, wherein a width of the pocket of gas is greater than equal to a corresponding width of the depression. 14. The method as claimed in claim 1, wherein a depth of the pocket of gas is greater than equal to a corresponding depth of the depression. 15. The method as claimed in claim 1, wherein the depression is continuously curved. |
|
054105773 | description | BEST MODE FOR CARRYING OUT THE INVENTION A core-melt source reduction system incorporating various features of the present invention is illustrated generally at 10 in the figures. The core-melt source reduction system, or system 10, is designed to slow and eventually stop the progression of a molten core through the containment floor of a water cooled nuclear reactor when a nuclear reactor core meltdown accident occurs. Moreover, in the preferred embodiment the system 10 is designed to prevent failure of the containment 24 due to overpressurization or overheating of the containment 24. Furthermore, the system 10 is designed such that a long term cold stable state matrix which traps radionuclides is a final result of the core meltdown accident. The system 10 is generally a "core catcher" in that the molten core 20 reacts with the system 10 in a manner such that the system 10 can contain the molten core 20 and prevent containment failure. When a core-melt accident occurs, the molten core 20 melts through the pressure vessel 22. With the present invention in place, the molten core or core debris 20 will drop onto the system 10 which has been integrated into the existing containment floor 24, as shown in FIG. 1. The system 10 is generally comprised of alternate layers of two different materials as part of the containment 24 under the reactor vessel 22. The first material reacts with and absorbs the molten core and allows for cooling of the molten core. In the preferred embodiment, the first material is a glass material layer 12 which contains nonradioactive waste glass or waste glass formers. Waste glass formers are materials which form glasses when melted together. The thickness of the glass layers 12 will be dependent upon the construction of surrounding containment structure 24 which surrounds the core. The glass material layer 12 should be at least 30 cm thick such that the molten core 20 does not melt directly through the glass material layer 12 without having time to react with the glass 14. Weight constraints of the glass material layer 12 will limit it to about 100 cm thick. The glass material 14 can be in the form of glass blocks, glass shards or glass aggregate in special cements. The preferred embodiment is glass block form because of ease of installation in block form. The glass composition utilized must have a reasonably low softening point (.about.750.degree. C.) such that the system 10 itself does not overheat the containment structure 24. It will be noted that the invention is intended to cover materials other than glass which have the capabilities described herein. The second material is a barrier material 16. The barrier material 16 can be a thermal barrier material or a high density material. When the barrier material 16 is a thermal barrier, the material should have a relatively high melting point and show no significant gas generation. An example of a suitable thermal barrier material is a high-fired alumina or steel. When the barrier material 16 is a high density material, the material must show no significant gas generation and must have sufficient density to float the core debris 20, which typically has a density of 8.5 gm/cm.sup.3, and the glass material 14. An example of a suitable high density material is lead. In the preferred embodiment, high density barriers are utilized and more specifically, lead barrier layers are employed. Lead has a density of .about.11.3 gm/cm.sup.3. Also, lead has low thermal conductivity. In an alternate embodiment, a combination of alternating thermal barrier layers and high density barrier layers can be used effectively. The system 10 requires that a sufficient volume of glass 14 be present to react with and absorb the molten core 20. The thickness of the system 10 will depend upon the design of the reactor and the containment structure 24. The total depth of the system 10 varies from about 1-3 meters. For example, if the containment structure 24 is only as wide as the area of the molten core 20, the system 10 will have to be deeper. If the structure 24 is wider than the area of the molten core 20, the system 10 can be shallower. There are at least five main objectives of the present invention. These objectives t dictate the design of the system 10 and the glass composition. A first objective is to stop the molten core 20 progression such that it does not melt through the containment 24 and failure of the containment 24 is prevented. A second objective is to provide a system 10 which does not generate noncondensable gases. A third objective is to provide a glass composition which minimizes heat rejection to the containment 24 early to prevent overheating and possible failure of the containment 24. A fourth objective is to provide a glass composition which traps radionuclides in a solidified matrix to such an extent that potential of leakage through the containment 24 is minimized. A fifth objective is to provide a glass composition which ends the accident sequence in a long term stable state. The first task of stopping the progression of the molten core 20 and preventing melt-through and failure of the containment structure 24 is accomplished by limiting the temperature of the containment structure 24. To do this, one must understand the potential containment failure mechanisms in an uncontrolled core-melt accident. The initial high heat release rate of the core debris 20 is due to the radioactive decay heat. This high heat release rate by itself is not the problem. The problem is the high heat release rate combined with inefficient heat transfer mechanisms to dump the heat. The poor heat transfer results in a high temperature core debris 20 which can destroy the concrete containment 24. There are two reasons for the poor heat transfer. First, in some accident scenarios, the core debris 20 may pile up in a particular location and create very high local temperatures. Second, the core debris 20 primarily consists of high temperature ceramic materials which act as insulators at temperatures in excess of 2000.degree. C. With a pile of core debris 20, the outer layers provide insulation which does not melt and drain away. The bottoms of such piles may burn holes through the concrete containment 24. The system 10 is constructed to lower the maximum temperature of the core debris 20 by improving the heat transfer. With these lower temperatures (.about.1000.degree. C.), insulation and other passive techniques can successfully limit the temperatures of the containment 24. The system 10 improves heat transfer by two mechanisms. The first is by converting the radioactive high heat core debris 20 of uncertain geometry to a uniform heat source of known geometry in the form of a molten pool 40 of glass. As shown in FIG. 2, the molten core 20 drops onto the glass, it will heat and melt the glass 14 around the molten core 20.creating a molten pool 40 into which the core 20 can begin to dissolve. The glass convective currents will heat the solid glass 14 causing it to melt. Further, the glass convective currents will mix the dissolved core material in the molten glass 40. The distribution of the heat source in the glass provides a high level waste molten glass pool 40 of a known surface area that can efficiently transfer heat to the water 26 above the molten glass 40 via glass convective currents. When the expanding surface area of the molten glass 40 transfers heat to the water 26 faster than the generation of decay heat and heat from chemical reactions, the molten core's 20 progression will be halted. The second mechanism is the efficient convective heat transfer through the glass 40 below 1000.degree. C. The dissolution process creates a glass 40 with well defined chemical and physical properties that can dissolve almost all materials. The glass 40 withstands high radiation fields, it remains liquid over very wide temperature ranges, and its properties can be controlled. These very properties provide for the efficient convective heat transfer. No high temperature insulative layers can form between the glass 40 and the overlying water 26. The lead barrier layers 16 provide three mechanisms to slow the process of the descent of the molten core 20, to provide time for dissolution of the core 20 in the glass 40 and to provide time for the decay of radioactive heat. First, lead has a density of .about.11.3 gm/cm.sup.3 which is higher than that of the mixture generally characterized as "core debris"20. Molten lead 42 gathers in low spots where the glass 40 is molten and floats the core debris 20 off the underlying solid glass 14. Lead has a relatively low melting point (350.degree. C.) and high boiling point (1753.degree. C.) a quality which makes it a good fluid for this application. Second, because lead has low thermal conductivity, the lead acts as a thermal/chemical barrier to the downward heat flux. This reduces melting of glass 14 below the core debris 20. Simultaneously, lead convective currents transfer heat upward from the bottom surface of the corium 20 to molten glass 40 and sideways to solid glass 14. The upward convective currents of the lead also allow for cooling of all surfaces of the molten glass 40 containing dissolved core material. The convective heat transfer preferentially melts glass in a horizontal plane. The melting glass absorbs heat and provides fresh glass to dissolve additional core debris. Third, lead is a self healing mechanism to prevent penetration of core debris 20 through the glass 40 to the containment floor 24. In a worst case accident, the core debris 20 would melt through the glass 40 before sufficiently dissolving in the glass 40. The lead would also melt sinking to the bottom through the molten glass 40 creating a molten lead bed 42 on which the core debris 20 would float such that it can not penetrate the floor of the containment 24. In the system 10, most of the core debris 20 should dissolve rapidly; however, pieces of core debris 20 that are slow to dissolve do not by themselves create a problem. The molten lead 42 keeps the debris 20 off the floor, while the glass convective currents provide an efficient method to transfer heat to water 26 above the system 10 and maintain controllable glass temperatures (1000.degree.-1200.degree. C.). A sufficient molten glass 40/molten lead 42 surface area not blocked by core debris 20 is needed to minimize lead temperatures. The system 10 requires a glass with a relatively low melting temperature to minimize the temperature of the containment 24. At the end of the initial phase of the system's operation, the core debris 20 is dissolved in a relatively homogeneous bath of glass 40 at .about.1000.degree. C. By this time, water 26 has drained down from higher locations in the containment 24 and lies on top of the molten glass 40. Strong glass convective currents transport heat to the glass/water interface 44, which maximizes upward heat transfer to the water 26. This cooling mechanism maintains maximum glass temperatures below 1150.degree. C. When the water 26 boils, the steam enters the upper portion of the containment 24, the steam is condensed on colder surfaces, and the water 26 returns to the top of the glass 40. The maximum downward heat flux to the floor of the containment 24 is expected to be two orders of magnitude less than the upward heat flux. The downward heat flux is limited by the layer of molten lead 42 below the glass 40, which acts as an insulator and by the layer of the system's insulation 36 below the lead 42. The insulation 36 covers the floor of the containment 24. Low heat fluxes to the floor of the containment 24 are possible because the glass temperatures are sufficiently low to allow the use of efficient insulation materials and ensure material integrity. The system 10 requires reasonable dissolution kinetics of core debris 20 in the molten glass 40 to avoid high temperatures and subsequent high containment 24 temperatures. Undissolved core debris 20 will float at the interface 38 between the molten glass 40 and the molten lead 42, as shown in FIG. 3. If there is a sufficient glass/lead interface 38 area for heat transfer, the existence of floating undissolved core debris 20 does not impact heat removal by the molten glass 40 to the water 26 above, or significantly raise the glass or lead temperatures. If a thick layer of insulative, heat generating core debris 20 totally covers this interface, it can heat the lead 42, and hence, the containment structure 24. The system 10 is constructed such that it has several mechanisms to prevent overheating of the containment 24 in this manner. It will take several hours to days to melt the glass, thus, providing a large amount time for glass dissolution. Dissolution rates are temperature sensitive such that with increasing temperatures, the dissolution rapidly accelerates. The thermal stratification of molten lead 42 when heated from above maximizes the temperature of the core debris 20, and, hence, the dissolution rates, while minimizing the temperatures elsewhere in the system 10. The second task of the system 10 is to eliminate chemically reactive hot metals which generate burnable and noncondensable gases and which will not dissolve in the glass 40. As discussed, zirconium is a constituent of corium. At high temperatures, zirconium is highly reactive in that it is a powerful reducing agent. Under molten conditions, zirconium may remove oxygen from various glass formers as well as water through the reaction: EQU Zr+2H.sub.2 O.fwdarw.ZrO.sub.2 +2H.sub.2 .uparw.. The generation of large amounts of noncondensable hydrogen occurs as a result of this reaction. Because of the chemical reactivity of zirconium there is a requirement that the glass composition contain a sacrificial metal oxide which preferentially reacts with zirconium. The sacrificial metal oxide must react with zirconium to form zirconium oxide plus other reaction products. The reaction products must be sufficiently stable with water such that hydrogen or other noncondensable gases are not generated. The exothermic reaction between zirconium and the sacrificial metal oxide must have limited heat release to avoid potential overheating of the system. With these requirements in mind, there are many possible sacrificial metal oxides. Most of the possible sacrificial metal oxides are heavy metal or transition metal oxides, such as lead oxide, uranium oxide or iron oxide. The reaction with lead oxide is: EQU 2PbO+Zr.fwdarw.ZrO.sub.2 +2Pb. Lead oxide is the preferred sacrificial metal oxide for many reasons. Lead metal does not further react with water of other materials in containment. It is chemically inert in the expected environment. The reaction product lead helps the system 10 operation by preventing rapid core debris 20 penetration of glass. There is limited energy release. If cold glass and stoichiometric quantities of molten zirconium metal chemically react, the reaction yields a glass with a low final product temperature. A third task of the system 10 is to minimize heat rejection to the containment 24 early in the accident. Significant heat is generated in a core-melt accident from radioactive decay heat and chemical reactions such as those involving zirconium. Minimizing the heat release rate early in the accident helps to prevent overheating of the containment 24 which could lead to overpressurization and failure of the containment 24. To minimize heat release, the glass composition should have a significant heat capacity and heat of fusion to absorb the initial high heat loads. Minimizing the heat release also requires a sufficient volume of glass to adsorb the heat. The heat capacity of the glass should be such that it takes 4-8 hours for the core debris 20 to raise the glass temperature to its softening point. This large heat capacity provides time to dissolve debris 20 and for various heat transfer mechanisms to become fully operational. In practice, with the expected cooling with water 26 on top of the system 10, it may take several days to melt the glass. The fourth task of the system 10 is to trap as much of the radionuclides in the system 10 as possible to minimize potential consequences of potential leakage through the containment 24. This is accomplished by selecting a glass composition with a high affinity for fission products and operating the system 10 at the lowest temperature possible to minimize volatilization of semi-volatile fission products from the molten glass 40 mixture. The glass composition selected has an impact on the retention of fission products in any water 26 above the system 10. The fifth and ultimate goal of the system 10 is to generate a solidified stable glass matrix which has contained the molten core 20 after a nuclear reactor meltdown accident. As explained above, the operation of the system 10 stops the molten core 20 progression. Within a period of days or weeks, a solidified, thermally hot mass of high level waste glass 30 results, as shown in FIG. 4. The high solidification temperature (700.degree.-1200.degree. C.) provides sufficient temperature gradients to ensure heat rejection to the water 26 above the system 10 and the containment 24 by thermal conduction. The radioactive decay heat continues. With the continued heat generation, the potential of slowly increasing temperatures in the nearby concrete containment 24 increases. If the temperatures are sufficiently high, damage to the structural integrity of the containment 24 may result. Methods to either limit heat flux to the surrounding containment 24 or lower the system's 10 temperature rapidly should be implemented. At this point in the accident, the system's geometry is favorable to cooling. From the top to the bottom, the major layers are water 26 above the system 10, a layer of solidified glass 30, a layer of solidified metal 32 (primarily lead), a layer of insulation 36 and the containment foundation 24, as shown in FIG. 4. To avoid overheating the containment 24, there are primarily three cooling options to either lower the system's 10 temperature or limit heat flux to the containment structure 24. The first option is to ensure the resultant glass bed 30 fractures completely upon cooling to allow water circulation and cooling through the glass bed 30. This option requires brittle glass with a large thermal expansion coefficient for wide cracks and ideally a high thermal conductivity glass. Most glasses naturally have these properties. This cooling option can be radically improved depending upon the glass composition utilized in the system. Some pure glasses have a relatively high solubility in water, but the glass/core mixture is relatively insoluble in water. With such systems, the glass will selectively dissolve into water around the glass with core materials. This provides enhanced cooling exactly where it is needed, next to the high level waste glass. A second option, as shown in FIG. 4, is to provide side and bottom insulation 36 placed between the system 10 and the containment 24. A suitable insulator would be refractory brick. Most of the long term decay heat in the system 10 will be transported upward to the water 26 or air above. The insulation 36 will limit heat fluxes and temperatures to the containment structure 24. Depending upon containment 24 geometry and effectiveness of the insulation 36, this may be sufficient to prevent damage to the containment structure 24. A third option (not shown) is to place cooling coils under the system 10 and insulation 36 to maintain low containment structure 24 temperatures. Due to the expected low heat fluxes to the containment, passive cooling coils with water 26 from above the system 10 may be sufficient. A combination of these cooling options may also be employed. The key to all of these options is that the system 10 operates at a sufficiently low temperature such that the insulation is effective and will survive. In considering all of the above discussed points, a lead oxide-boron oxide (PbO--B.sub.2 O.sub.3) glass system proves to have a unique set of characteristics which result in a very efficient system 10. Lead oxide is not itself a glass former, however, lead oxide and boron oxide combine to form glasses. Lead oxide serves as the sacrificial oxide which reacts with zirconium to form zirconium oxide and lead, which does not react with water. The system 10 works with molar ratios ranging from 4PbO:B.sub.2 O.sub.3 to PbO:B.sub.2 O.sub.3. A sufficient amount of PbO is required to form a glass after the reactions with zirconium. The preferred glass composition is a mole ratio of 2PbO:B.sub.2 O.sub.3. Boron oxide has the ability to dissolve most metal oxides, including uranium oxide, and form mixed oxide glasses. The glass composition with the ratio of 2PbO:B.sub.2 O.sub.3 has the highest solubility of uranium oxide (UO.sub.2) and zirconium oxide (ZrO.sub.2). The thermal properties of the lead borate glass system are also attractive for use in the system 10. In combination with lead oxide, boron oxide produces glasses which have liquidus temperatures near 770.degree. C. In these glasses, molten zirconium would preferentially react with lead oxide leaving the glass forming boron oxide and any dissolved oxide, such as uranium oxide, intact. The density of the lead borate glass is about 6-7 gm/cm.sup.3, depending upon the ratios of the lead oxide to boron oxide. The density is such that the molten glass 40 will float on molten lead 42. In this manner, lead will always be at the bottom of the system 10. Another advantage to using lead oxide for the sacrificial metal oxide is that the reaction product, lead, limits the temperature of the system 10. The boiling point of lead metal is 1753.degree. C. and the heat of vaporization at this temperature is 178 KJ/mol. Vaporization of lead limits the temperature of the system 10 and, thus, the release of fission products. This controls temperature rise due to decay heat release and chemical reactions of zirconium with lead oxide. The solubility of PbO--B.sub.2 O.sub.3 glass in water allows for a unique long term coolability. PbO--B.sub.2 O.sub.3 glass dissolves in water but PbO--B.sub.2 O.sub.3 --UO.sub.2 glasses are insoluble. After solidification of the glass, water will dissolve the lead borate glass next to the PbO--B.sub.2 O.sub.3 --UO.sub.2 glass, where the lead borate glass is the hottest and most soluble. This allows efficient water flow and cooling next to the warm glass mass, where long term cooling is desired. The solubility of the system can be decreased by small additions of silica (SiO.sub.2). It may be desirable to lower the solubility of the glass when considering long term storage such that the B.sub.2 O.sub.3 is not selectively leached out of the glass. From the foregoing description, it will be recognized by those skilled in the art that a core-melt source reduction system offering advantages over the prior art has been provided. Specifically, the system provides a structure which slows and eventually stops the progression of a molten core during a core meltdown accident. Moreover, the system provides a structure which prevents containment failure due to overpressurization or overheating. Further, the system ends the accident sequence with a long term, cold, stable state matrix. While a preferred embodiment has been shown and described, it will be understood that it is not intended to limit the disclosure, but rather it is intended to cover all modifications and alternate methods falling within the spirit and the scope of the invention as defined in the appended claims and their equivalents. Having thus described the aforementioned invention, |
abstract | The present invention provides a mixture containing a) at least 26 wt. % of gadolinium and b) one or more elements, alloys and/or compounds from the group consisting of barium, indium, tin, lanthanum, molybdenum, niobium, tantalum, zirconium and tungsten, a process for the preparation of this mixture, use of the mixture as radiation protection, use of the mixture to prepare polymeric radiation protection substances, a process for preparing radiation screening rubbers, thermoplastic materials and polyurethanes, a process for preparing products from the polymeric radiation protection substances and products made from these polymeric radiation protection substances. |
|
summary | ||
claims | 1. A detection apparatus usable with a fuel rod from among a plurality of fuel rods of a fuel assembly, the fuel rod having a cladding that has an interior region, the fuel rod being situated within a nuclear reactor, the detection apparatus being cooperable with an electronic processing apparatus situated outside of the reactor, the detection apparatus comprising:a transmitter that is structured to be positioned outside the cladding and inside the nuclear reactor in the vicinity of the fuel rod and structured to generate an excitation pulse and to transmit the excitation pulse through the cladding and into the interior region;an electrical circuit apparatus having a resonant electrical circuit that is structured to be supported within the interior region and to generate a response pulse in response to the excitation pulse and to transmit the response pulse in the form of a magnetic field signal that is structured to travel from the interior region and through the cladding;the resonant electrical circuit comprising a plurality of circuit components, at least one circuit component of the plurality of circuit components having a property which is structured to vary in response to a condition of the fuel rod and which, responsive to a change in the condition, is structured to cause the property and the response pulse to vary with the change in the condition and to be indicative of the condition; anda receiver structured to be supported within the nuclear reactor outside the cladding and in the vicinity of the fuel rod, the receiver being structured to receive the response pulse and to communicate to the electronic processing apparatus an output responsive to the response pulse. 2. The detection apparatus of claim 1 wherein the plurality of circuit components comprise an inductor having a coil, the inductor having a inductance which is structured to vary in response to the condition of the fuel rod and which, responsive to the change in the condition, is structured to cause the response pulse to have a frequency that varies with the condition. 3. The detection apparatus of claim 2 wherein the fuel rod has a number of fuel pellets situated within the interior region, wherein the condition is a center-line fuel pellet temperature of the fuel rod, and wherein the electrical circuit apparatus further comprises a temperature transmission apparatus comprising an element that is elongated and that is formed at least in part of a ferromagnetic material, at least a portion of the element being receivable in the coil, the at least portion of the element that is receivable in the coil varying with the condition to cause the inductance to vary in response to the change in the condition. 4. The detection apparatus of claim 3 wherein the element has an element temperature that varies with the center-line fuel pellet temperature, the element having a permeability that is structured to vary in response to the element temperature and which, responsive to a change in the center-line fuel pellet temperature, is structured to undergo a change in its permeability to alter the inductance and to resultantly cause the response pulse to have a frequency that varies with the center-line fuel pellet temperature. 5. The detection apparatus of claim 3 wherein the number of fuel pellets are structured to undergo thermal expansion as a function of an increase in the center-line fuel pellet temperature, the element being structured to be moved relative to the coil by the number of fuel pellets undergoing thermal expansion to thereby cause the inductance to vary in response to the change in the condition. 6. The detection apparatus of claim 5 wherein the temperature transmission apparatus further comprises a support engaged with a fuel pellet of the number of fuel pellets, the element being engaged with the support. 7. The detection apparatus of claim 2 wherein the condition is an ambient pressure within the interior region, and wherein the electrical circuit apparatus further comprises a pressure indication apparatus comprising an element that is elongated and that is formed at least in part of a ferromagnetic material, at least a portion of the element being receivable in the coil, the at least portion of the element that is receivable in the coil varying with the condition to cause the inductance to vary in response to the change in the condition. 8. The detection apparatus of claim 7 wherein the pressure indication apparatus further comprises a vessel having a hollow cavity that is sealed to resist fluid communication with the interior region, the vessel being structured to be supported within the interior region and being structured to undergo contraction in response to an increase in the ambient pressure within the interior region, the element being situated on the vessel being structured to be moved relative to the coil by the vessel undergoing contraction to thereby cause the inductance to vary in response to the change in the condition. 9. The detection apparatus of claim 8 wherein the vessel is a Bourdon tube that is one of a spiral shape and a helical shape. 10. The detection apparatus of claim 7 wherein the pressure indication apparatus further comprises a vessel and a seal, the vessel having a hollow cavity, the seal dividing the interior region into a main portion and a sub-region, the vessel being supported within the sub-region, the main portion being structured to experience the change in ambient pressure, the seal permitting fluid communication between the main portion and the cavity while resisting fluid communication between the main portion and the sub-region, the vessel being structured to undergo expansion and contraction in response to an increase and a decrease, respectively, in the ambient pressure within the main portion, the element being situated on the vessel being structured to be moved relative to the coil by the vessel undergoing expansion and contraction to thereby cause the inductance to vary in response to the change in the condition. 11. The detection apparatus of claim 10 wherein the vessel is one of a bellows having a number of corrugations formed therein and a Bourdon tube that is one of a spiral shape and a helical shape. 12. The detection apparatus of claim 7 wherein the pressure indication apparatus further comprises a vessel having a hollow cavity that is in fluid communication with the interior region, the vessel being structured to be supported within the interior region and being structured to undergo expansion in response to an increase in the ambient pressure within the interior region, the element being situated on the vessel being structured to be moved relative to the coil by the vessel undergoing expansion to thereby cause the inductance to vary in response to the change in the condition. 13. The detection apparatus of claim 1 wherein the resonant electrical circuit comprises a plurality of circuit components that comprise a capacitor, the capacitor having a capacitance which is structured to vary in response to the condition of the fuel rod and which, responsive to a change in the condition, is structured to cause the response pulse to have a frequency that varies with the condition. 14. The detection apparatus of claim 13 wherein the capacitor comprises a dielectric material that is structured to absorb fission gases that are generated within the interior region, the dielectric material having a dielectric value that is structured to vary in response to its absorption of fission gases and which, responsive to a change in an ambient pressure within the interior region, is structured to undergo a change in its absorption of fission gases to alter the capacitance and to resultantly cause the response pulse to have a frequency that varies with the ambient pressure. 15. The detection apparatus of claim 4 wherein the temperature transmission apparatus further has another element that is elongated and that is formed of a refractory metal, the another element being engaged with a fuel pellet of the fuel rod, the element being engaged with the another element, the another element being structured to conduct heat between the fuel pellet and the element. 16. The detection apparatus of claim 15 wherein the fuel pellet has a receptacle formed therein, the another element being received in the receptacle. 17. A method of detecting a condition of a fuel rod from among a plurality of fuel rods of a fuel assembly, the fuel rod having a cladding that has an interior region, the fuel rod being situated within a nuclear reactor, the method comprising:employing a detection apparatus to detect the condition, the detection apparatus being cooperable with an electronic processing apparatus situated outside of the nuclear reactor, the detection apparatus having a transmitter that is structured to be positioned outside the cladding and inside the nuclear reactor in the vicinity of the fuel rod, an electrical circuit apparatus having a resonant electrical circuit that is structured to be supported within the interior region, the resonant electrical circuit comprising a plurality of circuit components, at least one circuit component of the plurality of circuit components having a property which is structured to vary in response to a condition of the fuel rod and which, responsive to a change in the condition, is structured to cause the property and the response pulse to vary with the change in the condition and to be indicative of the condition, and a receiver structured to be supported within the nuclear reactor outside the cladding and in the vicinity of the fuel rod;the employing comprising:generating with the transmitter an excitation pulse and transmitting the excitation pulse through the cladding and into the interior region;generating with the resonant electrical circuit a response pulse in response to the excitation pulse and transmitting the response pulse in the form of a magnetic field signal from the interior region and through the cladding; andreceiving on the receiver the response pulse and communicating to the electronic processing apparatus an output responsive to the response pulse. 18. The method of claim 17, wherein the resonant electrical circuit comprises a plurality of circuit components that comprise a capacitor having a capacitance, and further comprising varying the capacitance in response to the condition of the fuel rod to cause the response pulse to have a frequency that varies with the condition. 19. The method of claim 17, wherein the resonant electrical circuit comprises a plurality of circuit components that comprise an inductor having an inductance, and further comprising varying the inductance in response to the condition of the fuel rod to cause the response pulse to have a frequency that varies with the condition. |
|
claims | 1. A radiation-attenuation shirt, comprising:a plurality of radiation-attenuating material panels adapted to conform to the contours of a body, having a plurality of attaching mechanisms on one side;a front portion, made of a compression material and having a plurality of attaching mechanisms disposed on one side of the front portion, the front portion including a first pocket for retaining a first radiation attenuating material panel; anda back portion, made of a compression material and having a plurality of attaching mechanisms disposed on one side of the back portion, the back portion including a second pocket for retaining a second radiation attenuating material panel,wherein the front portion and the back portion are secured together to form a shirt, such that the attaching mechanisms and the first and second pockets are disposed within the shirt,wherein the first radiation-attenuating material panel is removably disposed within the first pocket and the plurality of attaching mechanisms of the first radiation-attenuating material panel are removably coupled to the plurality of attaching mechanisms of the front portion,wherein the second radiation-attenuating material panel is removably disposed within the second pocket and the plurality of attaching mechanisms of the second radiation-attenuating material panel are removably coupled to the plurality of attaching mechanisms of the rear portion; andwherein the compression material comprises at least one of a moisture wicking or a cooling fabric. 2. The radiation-attenuation shirt of claim 1, wherein the radiation attenuating panels are comprised of lead. 3. The radiation-attenuation shirt of claim 1, wherein the radiation attenuating panels are comprised of lead alloy. 4. The radiation-attenuation shirt of claim 1, wherein the radiation attenuating panels are covered in a removable, machine washable material. 5. The radiation-attenuation shirt of claim 1, wherein the front portion and the back portion include a breathable mesh proximate to the radiation attenuating panels. 6. The radiation-attenuation shirt of claim 1, wherein the compression material is a moisture-wicking fabric. 7. The radiation-attenuation shirt of claim 1, wherein the compression material is a cooling fabric. 8. The radiation-attenuation shirt of claim 1, wherein the compression material is a moisture-wicking, cooling fabric. 9. Radiation-attenuation underwear shorts, comprising:a plurality of radiation-attenuating material panels adapted to conform to the contours of a body, having a plurality of attaching mechanisms on one side;a front portion, made of a compression material and having a plurality of attaching mechanisms disposed on one side of the front portion, the front portion including a first pocket for retaining a first radiation attenuating material panel; anda back portion, made of a compression material and having a plurality of attaching mechanisms disposed on one side of the back portion, the back portion including a second pocket for retaining a second radiation attenuating material panel,wherein the front portion and the back portion are secured together to form underwear shorts, such that the attaching mechanisms and the first and second pockets are disposed within the underwear shorts,wherein the first radiation-attenuating material panel is removably disposed within the first pocket and the plurality of attaching mechanisms of the first radiation-attenuating material panel are removably coupled to the plurality of attaching mechanisms of the front portion,wherein the second radiation-attenuating material panel is removably disposed within the second pocket and the plurality of attaching mechanisms of the second radiation-attenuating material panel are removably coupled to the plurality of attaching mechanisms of the rear portion, andwherein the compression material comprises at least one of a moisture wicking or a cooling fabric. 10. The radiation-attenuation underwear shorts of claim 9, wherein the radiation attenuating panels are comprised of lead. 11. The radiation-attenuation underwear shorts of claim 9, wherein the radiation attenuating panels are comprised of lead alloy. 12. The radiation-attenuation underwear shorts of claim 9, wherein the radiation attenuating panels are covered in a removable, machine washable material. 13. The radiation-attenuation underwear shorts of claim 9, wherein the compression material is a moisture-wicking fabric. 14. The radiation-attenuation underwear shorts of claim 9, wherein the compression material is a cooling fabric. 15. The radiation-attenuation underwear shorts of claim 9, wherein the compression material is a moisture-wicking, cooling fabric. 16. A radiation-attenuation garment system, comprising:a plurality of radiation-attenuating material panels adapted to conform to the contours of a body;a radiation attenuation shirt, comprising:a front shirt portion, made of a compression material; anda back shirt portion, made of a compression material,wherein the front portion and the back portion are secured together to form a shirt, such that a first radiation-attenuating material panel is removably disposed within the shirt;radiation-attenuation underwear shorts, comprising:a front underwear portion, made of a compression material; anda back underwear portion, made of a compression material,wherein the front underwear portion and the back underwear portion are secured together to form underwear shorts, such that a first radiation-attenuating material panel is removably disposed within the underwear shorts, andwherein the compression material comprises at least one of a moisture wicking or a cooling fabric. 17. The radiation-attenuation garment system of claim 16, wherein the radiation attenuating panels are comprised of lead. 18. The radiation-attenuation garment system of claim 16, wherein the radiation attenuating panels are comprised of lead alloy. 19. The radiation-attenuation garment system of claim 16, wherein the radiation attenuating panels are covered in a removable, machine washable material. 20. The radiation-attenuation garment system of claim 16, wherein the compression material is a moisture-wicking fabric. 21. The radiation-attenuation garment system of claim 16, wherein the compression material is a cooling fabric. 22. The radiation-attenuation underwear shorts of claim 16, wherein the compression material is a moisture-wicking, cooling fabric. |
|
abstract | A collimator is disclosed for stray radiation, in particular for medical X-ray devices. In addition, a method is disclosed for producing the collimator. The collimator includes numerous absorption elements for X-ray radiation which are separated from one another by a filler and support material and are aligned approximately in parallel or oriented towards a common focus. In the collimator, the absorption elements are statistically distributed. A collimator of this type is extremely cost-effective to produce. |
|
043437621 | claims | 1. A safety device for a nuclear fission reactor having suspended fuel assembly cores and comprising: (a) a bottle positioned in said reactor to receive a fuel assembly core in its neck; (b) a plurality of bottle neck baffle sets mounted in vertical, congruent succession in said bottle neck, each set having a multiple of the number of baffles of the set immediately above it for progressively and unblockably dividing the critical mass of the fuel assembly core into less than critical masses as they pass through said sets; and (c) a plurality of bottle body baffle sets mounted in said bottle body and in alignment with said bottle neck baffle sets, the upper body baffle set being congruent to the lower of said bottle neck baffle sets, and being engaged with around a center cone for dispersing said divided mass of the fuel assembly core outwardly and downwardly into a gas expansion space and thence into an annular lower body baffle set supported on the base of said bottle. 2. A safety device as described in claim 1 wherein the baffles of said bottle neck baffle sets are center connected intersecting vertically, and extend radially outwardly to contact said bottle neck, and each of said sets doubles the spaces between its baffles and those of set above it, all said baffles being neutron absorbent. 3. A safety device as described in claim 1 wherein said upper body baffle sets are supported on an impact plate and define with it and the bottle body said gas expansion space therebetween. 4. A safety device as described in claim 1 wherein said annular lower body baffle set defines with said impact plate and the base of said bottle a center space for an installation of a heat exchanger if required. 5. A safety device as described in claim 1 wherein said plurality of bottle body baffle sets has double the baffles of the baffle set above it. |
summary | ||
abstract | A glass radiation-source with customized geometries to maximize receipt of radiation into treatment areas that is formed from either neutron-activated glass, radioisotopes molecularly bonded to glass, or radioisotopes encased within glass. |
|
048636800 | abstract | Disclosed is a fuel assembly comprises a plurality of cylindrical fuel rods with a plurality of fuel pellets sealed therein, a plurality of small units each having a predetermined number of the fuel rods bundled spaced with a fixed intercentral distance and being arranged in such a manner that the intercentral distance between the component fuel rods falling on the mutually juxtaposed sides of the adjacent small units is larger than the intercentral distance between the adjacent fuel rods in the small units, and at least one water rod near the center of a cluster of the plurality of the small units. |
050911430 | claims | 1. A natural circulation reactor providing natural circulation of coolant through a core without an external circulation force during normal operation of the reactor, comprising a reactor pressure vessel having the core housed therein and a reactor container having a wetwell and a drywell, said pressure vessel being located in a portion of the drywell centered in the wetwell, wherein the core is disposed at a position below a predetermined level of coolant in said pressure vessel so that a top portion of the core is maintained to be submerged below the coolant even in the event that any pipe connected to said pressure vessel is broken and a coolant level in said reactor pressure vessel is then lowered to the predetermined level by flushing as a result of pipe breakage. 2. A natural circulation reactor according to claim 1, wherein said pressure vessel is metallic, the top portion of said core being arranged at a position lower than passage holes between said portion of the drywell and vent pipes so that a level of the coolant outside of said pressure vessel is higher than the top portion of said core, and heat exchange between inside and outside of said pressure vessel at a level corresponding to that of the position of said core is performed between inner coolant and outer coolant of said pressure vessel through said metallic pressure vessel due to transmission of heat between the inner coolant and the outer coolant so that said core is cooled efficiently from outside of said pressure vessel. 3. A natural circulation reactor according to claim 2, further including at least one coolant injection system for preventing an accident of decrease in a level of the coolant due to breakage of a pipe, said system having a volume of coolant sufficient to maintain coolant in both the wetwell and a lower portion of the drywell at a level of the passage holes in the vent pipes so as to enable cooling of said core in said pressure vessel. 4. A natural circulation reactor according to claim 1, wherein the predetermined level of coolant in said pressure vessel is a minimized level of coolant when the level of coolant in said pressure vessel is lower by flushing due to breakage of at least one pipe connected to said pressure vessel. 5. A natural circulation reactor for enabling natural circulation of coolant through a core without an external circulation force during a normal operation of the reactor, comprising a reactor container having a wetwell and a drywell and a reactor pressure vessel having the core housed therein, the pressure vessel being located in a portion of the drywell centered in the wetwell and being disposed and configured so as to maintain a level of coolant in the pressure vessel above a top portion of the core even when a level of coolant in the pressure vessel is lowered by flushing due to breakage of at least one pipe connected to the pressure vessel such that the top portion of the core remains submerged beneath the level of coolant in the pressure vessel when the coolant level is lowered by flushing. 6. A natural circulation reactor according to claim 5, wherein the pressure vessel has a metallic wall, the top portion of said core being disposed at a position within the pressure vessel lower than passage holes between the portion of the drywell and vent pipes so that a level of the coolant outside of the pressure vessel is higher than the top portion of the core, the metallic wall of the pressure vessel together with the coolant inside and outside of the pressure vessel at a level corresponding to that of the position of the core enabling heat exchange between the coolant inside and outside of the pressure vessel through the metallic wall, thereby effecting cooling of the core from the outside of the pressure vessel. 7. A natural circulation reactor according to claim 6, further comprising at least one emergency system for being activated in response to an abnormal operation including breakage of a pipe and including at least one coolant injection means for preventing an accident of decrease in a level of coolant within the pressure vessel due to breakage of the pipe, the coolant injection means having a volume of coolant sufficient to maintain coolant in both the wetwell and a lower portion of the drywell at a level of the passage holes in the vent pipes so as to enable cooling of the core in the pressure vessel. |
claims | 1. A collimator control method, comprising the steps of: forming X-rays emanating from a focus of an X-ray tube into a fan shaped beam by a collimator and projecting said fan shaped beam onto a detector element array comprising a plurality of detector element rows arranged side by side in a thickness direction of said fan shaped beam, each of said detector element rows comprising a plurality of X-ray detector elements disposed in an extent direction of said fan shaped beams; detecting an error between an impingement position of said fan shaped beam and a predetermined impingement position in direction of said side by side arrangement of said detector element rows on said detector array; controlling said collimator based on said detected error so that said impingement position of said ran shaped beam coincides with said predetermined impingement position; and removing high frequency components in said detected error; and wherein said collimator is controlled based on said error after removal of said high frequency components so that said impingement position of said fan shaped beam coincides with said predetermined impingement position. 2. The method of claim 1 , further comprising the step of: claim 1 detecting said error based on ratio of difference between X-ray detected signals to a sum of said X-ray detected signals, said X-ray detected signals being detected by X-ray detector elements adjacent in direction of said side by side arrangement of said detector element rows. 3. The method of claim 1 , wherein said high frequency components are removed by averaging. claim 1 4. The method of claim 1 , wherein said high frequency components are removed by low pass filtering. claim 1 5. The method of claim 1 , wherein claim 1 no control is performed when said error falls within a first range; control is performed with a first proportional gain when said error exceeds said first range and falls within a second range larger than said first range; and control is performed with a second proportional gain larger than said first proportional gain when said error exceeds said second range. 6. The method of claim 5 , further comprising the step of: claim 5 detecting said error based on ratio of difference between X-ray detected signals to a sum of said X-ray detected signals, said X-ray detected signals being detected by X-ray detector elements adjacent in direction of said side by side arrangement of said detector element rows. 7. The method of claim 5 , wherein said high frequency components are removed by averaging. claim 5 8. The method of claim 5 , wherein said high frequency components are removed by low pass filtering. claim 5 9. A collimator control apparatus, comprising: an X-ray tube for generating X-rays emanating from a focus; a collimator for forming said X-rays into a fan shaped beam; a detector array comprising a plurality of detector element rows arranged side by side in a thickness direction of said fan shaped beam, each of said detector element rows comprising a plurality of X-ray detector elements disposed in an extent direction of said fan shaped beam; error detecting means for detecting an error between an impingement position of said fan shaped beam and a predetermined impingement position in direction of said side by side arrangement of said detector element rows on said detector element array; control means for controlling said collimator based on said detector error so that said impingement position on said fan shaped beam coincides with said predetermined impingement position; and high frequency component removing means for removing high frequency components in said detected signal; and wherein said control means comprises means for controlling said collimator based on said error after removal of said high frequency components so that said impingement position of said fan shaped beam coincides with said predetermined impingement position. 10. The apparatus of claim 9 , wherein claim 9 said error detecting means comprises means for detecting said error based on ratio of difference between X-ray detected signals to a sum of said X-ray detected signals, said X-ray detected signals being detected by X-ray detector elements adjacent in direction of said side by side arrangement of said detector element rows. 11. The apparatus of claim 9 , wherein claim 9 said high frequency component removing means comprises means for removing high frequency.components by averaging. 12. The apparatus of claim 9 , wherein claim 9 said high frequency component removing means comprises means for removing high frequency components by low pass filtering. 13. The apparatus of claim 9 , wherein claim 9 said control means comprises means for performing no control when said error falls within a first range; performing control with a first proportional gain when said error exceeds said first range and falls within a second range larger than said first range; and performing control with a second proportional gain larger than said first proportional gain when said error exceeds said second range. 14. The apparatus of claim 13 , wherein claim 13 said error detecting means comprises means for detecting said error based on ratio of difference between X-ray detected signals to a sum of said X-ray detected signals, said X-ray detected signals being detected by X-ray detector elements adjacent in direction of said side by side arrangement of said detector element rows. 15. The apparatus of claim 13 , wherein claim 13 said high frequency component removing means comprises means for removing high frequency components by averaging. 16. The apparatus of claim 13 , wherein claim 13 said high frequency component removing means comprises means for removing high frequency components by low pass filtering. 17. An X-ray CT apparatus, comprising: an X-ray tube for generating X-rays emanating from a focus; a collimator for forming said X-rays into a fan shaped beam; a detector element array comprising a plurality of detector element rows arranged side by side in a thickness direction of said fan shaped beam, each of said detector element rows comprising a plurality of X-ray detector elements disposed in line in an extent direction of said fan shaped beam; an error detecting means for detecting an error between an impingement position of said fan shaped beam and a predetermined impingement position in direction of said side by side arrangement of said detector element rows on said detector element array; a control means for controlling said collimator based on said detected error so that said impingement position of said fan shaped beam coincides with said predetermined impingement position; a signal acquiring means for acquiring X-ray detected signals for a plurality of views with an X-ray emitting/detecting system including said X-ray tube, said collimator and said detector element array, being rotated around an axis parallel with a thickness direction of said fan shaped beam; a tomographic image producing means for producing tomographic images for slices crossed by said fan shaped beam on said X-ray detected signals; and means for removing high frequency components in said detected error; and wherein said control means comprises means for controlling said collimator based on said error after removal of said high frequency components so that impingement position of said fan shaped beam coincides with said predetermined impingement position. 18. The apparatus of claim 17 , wherein claim 17 said error detecting means comprises means for detecting said error based on ratio of difference between X-ray detected signals to a sum of said X-ray detected signals, said X-ray detected signals being detected by X-ray detector elements adjacent in direction of said side by side arrangement of said detector element rows. 19. The apparatus of claim 17 , wherein said means for removing high frequency components comprises means for removing high frequency components by averaging. claim 17 20. The apparatus of claim 17 , wherein said means for removing high frequency components comprises means for removing high frequency components by low pass filtering. claim 17 21. The apparatus of claim 17 , wherein claim 17 said control means comprises means for performing no control when said error falls within a first range; performing control with a first proportional gain when said error exceeds said first range and falls within a second range larger than said first range; and performing control with a second proportional gain larger than said first proportional gain when said error exceeds said second range. 22. The apparatus of claim 21 , wherein claim 21 said error detecting means comprises leans for detecting said error based on ratio of difference between X-ray detected signals to a sum of said X-ray detected signals, said X-ray detected signals being detected by X-ray detector elements adjacent in direction of said side by side arrangement of said detector element rows. 23. The apparatus of claim 21 , wherein claim 21 said means for removing high frequency components comprises means for removing high frequency components by averaging. 24. The apparatus of claim 21 , wherein claim 21 said means for removing high frequency components comprises means for removing high frequency components by low pass filtering. 25. A collimator control method, comprising the steps of: forming X-rays emanating from a focus of an X-ray tube into a fan shaped beam by a collimator and projecting said fan shaped beam unto a detector element array comprising a plurality of detector element rows arranged side by side in a thickness direction of said fan shaped beam, each of said detector element rows comprising a plurality of X-ray detector elements disposed in an extent direction of said fan shaped beam; detecting an error between an impingement position of said fan shaped beam and a predetermined impingement position in direction of said side by side arrangement of said detector element rows on said detector array, said error being based on a ratio of difference between X-ray detected signals to sum of said X-ray detected signals; and controlling said collimator based on said detected error so that said impingement position of said fan shaped beam coincides with said predetermined impingement position, wherein no control is performed when said error falls within a first range, control is performed with a first proportional gain when said error exceeds said first range and falls within a second range larger than said first range, and control is performed with a second proportional gain larger than said first proportional gain when said error exceeds said second range, whereby control is stable and rapid. 26. A collimator control apparatus comprising: means for forming X-rays emanating from a focus of an X-ray tube into a fan shaped beam by a collimator and projecting said fan shaped beam onto a detector element array comprising a plurality of detector element rows arranged side by side in a thickness direction of said fan shaped beam, each of said detector element rows comprising a plurality of X-ray detector elements disposed in an extent direction of said fan shaped beam; means for detecting an error between an impingement position of said fan shaped beam and a predetermined impingement position in direction of said side by side arrangement of said detector element rows on said detector array, said error being based on a ratio of difference between X-ray detected signals to sum of said X-ray detected signals; and means for controlling said collimator based on said detected error so that said impingement position of said fan shaped beam coincides with said predetermined impingement position, wherein no control is performed when said error falls within a first range, control is performed with a first proportional gain when said error exceeds said first range and falls within a second range larger than said first range, and control is performed with a second proportional gain larger than said first proportional gain when said error exceeds said second range, whereby control is stable and rapid. |
|
description | 1. Field of the Invention The present invention relates to an aberration correction system for use in a transmission electron microscope and, more particularly, to an aberration correction system using three stages of multipole elements each producing a field of 3-fold symmetry. 2. Description of Related Art One of the factors that limit the spatial resolution of an electron microscope is a variety of aberrations in the optical system. Especially, spherical aberration, which is one of such aberration, limits the spatial resolution because an axisymmetric lens always has a positive spherical aberration coefficient. This creates an intrinsic problem. This problem has been dealt with in A. V. Crewe and D. Kopf, Optik, Vol. 55 (1980), pp. 1-10, where a result of a theoretical analysis has been shown. That is, a single stage hexapole element having a thickness along the optical axis has a negative spherical aberration coefficient. This suggests that spherical aberration can be reduced by introducing a hexapole element into the optical system. Subsequently, it has been pointed out that if only the single stage hexapole element is used, a second-order aberration occurs. Accordingly, incorporating a single stage hexapole element in a transmission electron microscope results in low usefulness. However, the fact that a hexapole element produces a negative spherical aberration coefficient is very useful to correction of spherical aberration. Techniques for reducing spherical aberration using hexapole elements have been improved further. An example in which an aberration correction system equipped with a hexapole element having a negative spherical aberration and a thickness along the optical axis is applied to a transmission electron microscope is proposed in H. Rose, Optik, Vol. 85 (1990), pp. 19-24. This aberration correction system has a first transfer lens, a first hexapole element, a second transfer lens, and a second hexapole element arranged in turn. In this system, each transfer lens has two axisymmetric lenses. An aberration correction system having two stages of multipole elements each having a thickness along the optical axis is shown in JP-A-2003-92078. This system has two stages of multipole elements (e.g., hexapole elements) and a transfer lens interposed between them. Each multipole element produces a field of 3-fold symmetry, generating a 3-fold astigmatism and a negative spherical aberration. In the system of the above-cited JP-A-2003-92078, the rear stage of multipole element operates to cancel out the 3-fold astigmatism produced by the front stage multipole element and, therefore, the whole optical system produces a negative spherical aberration. Consequently, where an axisymmetric lens (e.g., an objective lens) producing a positive spherical aberration is disposed ahead of or behind the system, the spherical aberration in the whole optical system is reduced. However, the above-described aberration-correcting techniques correct aberrations only up to the fourth order and cannot achieve complete correction of higher-order aberrations. For example, fifth-order spherical aberration can be corrected by optically controlling the distance between the objective lens and the aberration corrector but astigmatism of the same order (i.e., 6-fold astigmatism) cannot be corrected. Because this is a factor limiting aberration correction, it cannot be expected that the spatial resolution will be improved further. An actual multipole element has a finite thickness along the optical axis. Where this multipole element produces a magnetic or electric field with 3-fold symmetry, if the spherical aberration is corrected by the multipole element, higher-order aberrations dependent on the thickness are induced. Furthermore, the combination of the two stages produces higher-order aberrations. Consequently, the range of incident angles of the electron beam that can be aberration-corrected is limited. This limitation makes it difficult to reduce diffraction aberration. This limitation to the angles is further described by referring to the Ronchigram of FIG. 7. This diagram is obtained when an electron beam passing through two stages of multipole elements is corrected for aberrations, each of the multipole elements producing a magnetic field of 3-fold symmetry with respect to the optical axis. A low-contrast region appearing in the center of the diagram corresponds to the angle of incidence of the electron beam on each multipole element, the beam having been appropriately corrected for aberrations. Where a maximum value of the angle of incidence is roughly described, a maximum circle centered at the central point of the region and including only the region is fitted. The angle of incidence of the electron beam is computed from the radius of the circle. It can be seen from the diagram of FIG. 7 that the maximum incident angle of the electron beam that has been appropriately corrected for aberrations is about 50 mrad. However, where regions located around the circle are noticed, one can observe that a region where an amorphous image is seen is hexagonal, because the fifth-order aberration, or the sixth-order astigmatism, is left as a residual aberration. In the case of the multipole elements producing the diagram of FIG. 7, the angle of incidence of the electron beam that can be corrected for aberrations is 50 mrad at maximum. It is difficult to appropriately correct the electron beam having a greater angle of incidence for aberrations. Accordingly, if one tries to reduce diffraction aberration, the spatial resolution is limited due to the limitation on the angle of incidence. Higher-order aberrations (6-fold astigmatisms) produced from multipole elements that generate fields of 3-fold symmetry is induced because the magnetic or electric fields are distributed in directions to cancel out their mutual astigmatisms of 3-fold symmetry. That is, if multipole elements are rotated relative to each other such that each multipole element is rotated through 60° or 180° relative to the magnetic or electric field as in the prior art, higher-order aberrations are produced. Accordingly, it is an object of the present invention to provide an aberration correction system which is for use in an electron microscope and which corrects the above-described higher-order aberrations while holding a negative spherical aberration. This object is achieved by an aberration correction system having three stages of multipole elements arranged in a row along an optical axis, each of the multipole elements having a thickness along the optical axis. The three stages of multipole elements include a front stage of multipole element, a middle stage of multipole element, and a rear stage of multipole element. The front stage of multipole element produces a first magnetic or electric field that shows a 3-fold symmetry with respect to the optical axis. The middle stage of multipole element produces a second magnetic or electric field that shows a 3-fold symmetry with respect to the optical axis. The rear stage of multipole element produces a third magnetic or electric field that shows a 3-fold symmetry with respect to the optical axis. Within the second magnetic or electric field, a distribution of a magnetic or electric field of 3-fold symmetry is produced in a direction not to cancel out an astigmatism of 3-fold symmetry produced from the first magnetic or electric field or from the third magnetic or electric field. Within the third magnetic or electric field, a distribution of a magnetic or electric field of 3-fold symmetry is produced in a direction not to cancel out an astigmatism of 3-fold symmetry produced from the first magnetic or electric field or from the third magnetic or electric field. An aberration of 3-fold symmetry produced by the front stage of multipole element is rotated using the middle stage of multipole element. An aberration of 3-fold symmetry produced by the middle stage of multipole element is rotated using the rear stage of multipole element. The fields produced by the three stages of multipole elements are combined to cancel out their mutual astigmatisms of 3-fold symmetry. The aforementioned rotation is an electron optical angular rotation made when a field produced by one multipole element is transferred to the next multipole element. Rotating action of each lens is taken into consideration. That is, a magnetic lens produces a rotating action about the optical axis, as well as a transferring action and a magnification-varying action. The rotating action is affected by the accelerating voltage and by the strength of the magnetic field. With respect to the distributions of the fields produced by the multipole elements located, respectively, ahead of and behind the magnetic lens, the rotational positional relationship between the 3-fold astigmatisms of two multipole elements must be discussed taking account of rotation induced by the lens. In the present specification, rotational positional relationships are set forth on the assumption that an angle given by this rotation is zero. That the electron optical rotational relation is taken into consideration means that this rotation is taken into consideration. In another feature of the present invention, the angular relational relationship between the magnetic or electric fields produced by the multipole elements is set as follows. Any one of the second and third magnetic or electric field is rotated through an angle of 40° relative to the first magnetic or electric field, taking account of the rotating action of an electron optical lens within a plane perpendicular to the optical axis. The other is rotated through 80° relative to the first magnetic or electric field, taking account of the rotating action of the electron optical lens within the plane perpendicular to the optical axis. The second magnetic or electric field and the third magnetic or electric field are so distributed that they are rotated in the same direction. A field of 3-fold symmetry has a rotational symmetry of 120°. Where a mirror-symmetric system is taken into consideration, rotational positional relationships given by 40° and 80° are equivalent to 120°×m±40° and 120°×m±80°, respectively. In another feature of the present invention, the angular relational relationship between the magnetic or electric fields produced by the multipole elements is set as follows. The second magnetic or electric field is so distributed that it is rotated through 120°×m±about 72° (where m is an integer) relative to the first magnetic or electric field, taking account of the rotating action of an electron optical lens within a plane perpendicular to the optical axis. The third magnetic or electric field is so distributed that it is rotated through 120°×m±about 24° relative to the first magnetic or electric field, taking account of the rotating action of the electron optical lens within the plane perpendicular to the optical axis. According to the above-described configuration, 3-fold astigmatism and 6-fold astigmatism can be removed while producing a negative spherical aberration and, therefore, the spatial resolution is improved. Furthermore, the range of incident angles in which aberration correction can be made can be widened. This reduces diffraction aberration and further improves the spatial resolution. Other objects and features of the invention will appear in the course of the description thereof, which follows. First, aberrations produced when an electron beam passes through both a single stage multipole element and an objective lens are described, the multipole element having a thickness along the optical axis. FIG. 1 is a schematic ray diagram of aberrations produced on a surface of a specimen when the electron beam has passed through the single stage of multipole element. The single stage of multipole element 102 (e.g., a hexapole element) and the objective lens 103 are arranged in a row along the optical axis 101. It is assumed that the multipole element 102 produces a magnetic or electric field that shows 3-fold symmetry with respect to the optical axis 101. After passing through the multipole element 102, the beam 100 is focused onto the surface 104 of the specimen by the objective lens 103. The complex angle Ω0 of the electron beam incident on the multipole element 102 is defined byΩ0=α exp(iφ) (1) The complex angle Ω0 is represented by two variables α and φ. The variable α is the angle at which the beam impinges on the specimen. The variable φ is a phase angle (azimuth). Without using α and φ which are employed in a cylindrical coordinate system, the complex angle can be written as follows using coordinate coordinates (u, v):Ω=ωu+iωv Note, however, that if the spatial frequencies of a reciprocal space are given by (u, v), it follows that (ωu, ωv)=λ(u, v). This complex conjugate is given by Ω0=α exp(−iφ) (2) Then, let z be the thickness (length) of the multipole element 102 along the optical axis 101. Let f be the focal distance of the objective lens. It is assumed that the optical distance L between the multipole element 102 and the objective lens 103 is 0. The optical distance L can be adjusted, for example, by inserting a transfer lens between the multipole element 102 and the objective lens 103. Let r be the position of the electron beam 100 on the specimen surface 104. Let r′ be the tilt (angle to the optical axis) of the beam. Under the above conditions, the position r and the tilt r′ are given by r = - 1 2 f A 3 Ω _ 0 2 z 2 + | A 3 | 2 12 f 3 Ω _ 0 Ω 0 2 z 4 - A _ 3 | A 3 | 2 120 f 5 Ω 0 4 z 6 - A 3 | A 3 | 2 180 f 5 Ω 0 Ω _ 0 3 z 6 + A 3 2 | A 3 | 2 3360 f 5 Ω _ 0 5 z 8 ( 3 ) r ′ = - 1 f A 3 Ω _ 0 2 z + | A 3 | 2 3 f 3 Ω _ 0 Ω 0 2 z 3 - A _ 3 | A 3 | 2 20 f 5 Ω 0 4 z 5 - A 3 | A 3 | 2 30 f 5 Ω 0 Ω _ 0 3 z 5 + A 3 2 | A 3 | 2 420 f 5 Ω _ 0 5 z 7 ( 4 ) where A3 is the 3-fold astigmatism coefficient (per unit length). Let a3 be the strength of the 3-fold astigmatism. Let 0 be the azimuthal angle of the 3-fold astigmatism. The 3-fold astigmatism coefficient is given byA3=a3 exp i(3θ) (5) A3 (6)Eq. (6) gives the complex conjugate of A3. Each term of the right sides of Eqs. (3) and (4) represents an aberration. In particular, the first term of the right side of each equation indicates the second-order, 3-fold astigmatism. The second term indicates the third-order negative spherical aberration. The third and fourth terms indicate the fourth-order, three-lobe aberrations. The fifth term indicates the fifth-order, 6-fold astigmatism. Aberrations appearing when a single stage of multipole element is used have been described so far. Where two stages of multipole elements are prepared and 3-fold astigmatism produced from the first stage of multipole element is canceled, the position of the electron beam assumed after leaving the second stage of multipole element is given by r ′ = - 2 | A ~ 3 | 2 3 f 3 Ω _ 0 Ω 0 2 z 3 + A ~ 3 2 | A ~ 3 | 2 14 f 7 Ω _ 0 5 z 7 + … ( 7 ) The first term of Eq. (7) is a negative spherical aberration intentionally produced to cancel out the spherical aberration in the objective lens. The second term produces a higher-order aberration (6-fold astigmatism) because the two stages of multipole elements are prepared and that the 3-fold astigmatism produced from the first stage of multipole element is canceled out. Accordingly, in the present invention, three stages of multipole elements are prepared. The multipole elements are so operated that a combination of any two stages does not cancel out a 3-fold astigmatism. Rather, the three stages of multipole elements cancel out 3-fold astigmatisms. In this way, an optical system that cancels out the higher-order aberration is built. One embodiment of the aberration correction system associated with the present invention is described. FIG. 2a is a schematic diagram of an aberration correction system associated with an embodiment of the present invention. As shown in FIG. 2a, the aberration correction system associated with the present embodiment is equipped with three stages of multipole elements producing fields of 3-fold symmetry with respect to the optical axis 11. In this diagram, an electron beam 10 passes from a front stage of multipole element 21 to a rear stage of multipole element 23. Then, the beam 10 passes through the coma-free plane 41 of an objective lens 40 that substantially corresponds to the front focal plane of the objective lens 40. Subsequently, the beam is focused onto a specimen surface 42. One example of each multipole element is a hexapole element. Another example is a dodecapole (12-pole) element. Each multipole element may have any number of poles as long as the element produces a field of 3-fold symmetry with respect to the optical axis 11. In the aberration correction system associated with the present embodiment, the field of 3-fold symmetry (second field of 3-fold symmetry) produced by the middle stage of multipole element 22 is so distributed that it has been rotated through 40° relative to the field of 3-fold symmetry (first field of 3-fold symmetry) produced by the front stage of multipole element 21 within the plane perpendicular to the optical axis 11. Furthermore, the field of 3-fold symmetry (third field of 3-fold symmetry) produced by the rear stage of multipole element 23 is so distributed that it has been rotated through 80° relative to the field of 3-fold symmetry produced by the front stage of multipole element 21 within the plane perpendicular to the optical axis 11. The fields of 3-fold symmetry produced by the middle stage of multipole element 22 and the rear stage of multipole element 23, respectively, are so distributed that they have been rotated in the same direction relative to the field of 3-fold symmetry produced by the front stage of multipole element 21. Also, in the case where the three fields of 3-fold symmetry are distributed as described above, the characteristics of aberrations produced by one field of 3-fold symmetry are fundamentally given by Eqs. (3) and (4). Therefore, aberrations produced by the three fields of 3-fold symmetry in the present embodiment are found by a combination of these equations taking account of the rotational positional relationships among the fields of 3-fold symmetry. Accordingly, it is assumed that the fields produced by the front stage of multipole element 21, middle stage of multipole element 22, and rear stage of multipole element 23 result in 3-fold astigmatism coefficients A3A, A3B, and A3C, respectively. We now take note of only these coefficients. The 3-fold astigmatism coefficients produced by the fields of 3-fold symmetry are given byA3A=a3 exp i(3θ)A3B=a3 exp i(3(θ+40°))=a3 exp i(3θ+120°)A3C=a3 exp i(3(θ+80°))=a3 exp i(3θ+240°) (8)Therefore, the sum of them is given by|A3A+A3B+A3C|=0 (9)It can be seen that the 3-fold astigmatisms are canceled out. On the other hand, a negative spherical aberration coefficient does not depend on the rotational positional relationships among the fields of 3-fold symmetry. Therefore, the negative spherical aberration coefficient has a magnitude that is three times as high as the intensity of the coefficient produced by one field of 3-fold symmetry. Consequently, the negative spherical aberration coefficient can be used for correction of the spherical aberration in the objective lens. The 6-fold astigmatism appearing from within one multipole element is now discussed using Eq. (4). Similarly to Eq. (8), the 6-fold astigmatism coefficients possessed by the multipole elements, respectively, are given byA3A2=a32 exp i(6θ)A3B2=a32 exp i(6(θ+40°))=a32 exp i(6θ+240°)A3C2=a32 exp i(6(θ+80°))=a32 exp i(6θ+480°)=a32 exp i(6θ+120°) (10)As a result, we obtain|A3A2+A3B2+A3C2|=0 (11)That is, if the fields of 3-fold symmetry produced by the middle stage of multipole element 22 and rear stage of multipole element 23, respectively, are so distributed that they have been rotated through 40° and 80°, respectively, in the same direction within the plane perpendicular to the optical axis 11 relative to the field of 3-fold symmetry produced by the front stage of multipole element, then the 6-fold astigmatism derived from Eq. (4) is canceled out. Consequently, the three stages of multipole elements 21, 22, 23 producing the above-described fields of 3-fold symmetry cancel out the 3-fold and 6-fold astigmatisms while producing a negative spherical aberration. In the above-described configuration, it can be seen that it does not matter which one of the fields of 3-fold symmetry rotated through 40° and 80°, respectively, relative to the field of 3-fold symmetry produced by the front stage of multipole element 21 is located ahead of the other. That is, the middle stage of multipole element 22 may produce a field of 3-fold symmetry rotated through 80° relative to the field of 3-fold symmetry produced by the front stage of multipole element 21, and the rear stage of multipole element 23 may produce a field of 3-fold symmetry rotated through 40° relative to the field of 3-fold symmetry produced by the front stage of multipole element 21. Also, in this case, the 3-fold astigmatism and 6-fold astigmatism are canceled out while a negative spherical aberration is produced. The multipole elements producing the above-described three fields of 3-fold symmetry are arranged as follows. Any one of the middle stage of multipole element 22 and the rear stage of multipole element 23 is rotated through 40° relative to the front stage of multipole element 21 within the plane perpendicular to the optical axis 11. The other is rotated through 80° within the plane perpendicular to the optical axis 11. At this time, the middle stage of multipole element 22 and the rear stage of multipole element 23 are disposed to be rotated in the same direction. FIGS. 2b-2d show one example of the arrangement of the multipole elements based on the above-described arrangement. In these figures, the arrangement of the front stage of multipole element 21 as viewed along the direction indicated by the arrow A from the origin O on the optical axis 11 shown in FIG. 2a is indicated by 21a. The arrangement of the middle stage of multipole element 22 is indicated by 22a. The arrangement of the rear stage of multipole element 23 is indicated by 23a. This rotational positional relationship needs to be noticed after a rotation is made by the transfer lens. If an electron optical rotation of 40° is achieved by the transfer lens, it does not matter whether the rotation of 40° is made physically. A field of 3-fold symmetry has a rotational symmetry of 120°. Where a mirror-symmetric system is considered, the rotational positional relationships given by 40° and 80°, respectively, are equivalent to 120°×m±40° and 120°×m±80°, respectively. In the discussion made thus far using Eq. (4), a 6-fold astigmatism produced within a single multipole element has been noticed. Then, using Eq. (7), a system is discussed in which a 6-fold astigmatism produced by interferences between 3-fold astigmatisms produced by two or more multipole elements is taken into consideration. Three stages of multipole elements are prepared, and 3-fold astigmatisms are canceled out by the three stages. Let A3A, A3B, and A3C be 3-fold astigmatism coefficients produced by the front, middle, and rear stages of multipole elements, respectively. The tilt of the electron beam leaving the third stage of multipole element with respect to the 6-fold astigmatism is given by A 3 A _ A 3 A 3 Ω _ 5 420 f 7 + A 3 A _ A 3 A 2 A 3 B Ω _ 5 30 f 7 + A 3 B _ A 3 A 2 A 3 B Ω _ 5 30 f 7 + A 3 B _ A 3 B 3 Ω _ 5 420 f 7 - A 3 A _ A 3 A 2 A 3 C Ω _ 5 30 f 7 + A 3 B _ A 3 A 2 A 3 C Ω _ 5 30 f 7 - A 3 B _ A 3 A A 3 B A 3 C Ω _ 5 15 f 7 - A 3 C _ A 3 A A 3 B A 3 C Ω _ 5 15 f 7 + A 3 B _ A 3 B 2 A 3 C Ω _ 5 30 f 7 + A 3 C _ A 3 B 2 A 3 C Ω _ 5 30 f 7 + A 3 C _ A 3 C 3 Ω _ 5 420 f 7 ( 12 ) As given by Eq. (12), if the three stages of fields of 3-fold symmetry are used, it is possible to make a setting in such a way as to cancel out a higher-order aberration (6-fold astigmatism) of the second term, because the magnitude of the higher-order aberration (6-fold astigmatism) of the second term is made of the coefficient of each 3-fold astigmatism. The three-lobe aberrations (fifth-order aberrations) of the aberrations of 3-fold symmetry can be corrected even by the prior art two-stage design as shown in FIG. 7. These aberrations can also be corrected by the three-stage design of the present invention. FIG. 8 is a graph in which the amount of a higher-order aberration (6-fold astigmatism) produced when two stages of multipole elements are used is plotted on the vertical axis and the angle made between the multipole elements producing the 3-fold astigmatism coefficients A3A and A3C is plotted on the horizontal axis. The amount of the higher-order aberration has been normalized to 1 using Eq. (11) to indicate the relative amount of the 6-fold astigmatism. The system is so set that the 3-fold astigmatisms are canceled out by combining the fields of 3-fold symmetry produced by the three stages of multipole elements. It can be seen that if the rotational positional relationship between the multipole elements producing the 3-fold astigmatism coefficients A3A and A3C, respectively, is varied, the amount of the 6-fold astigmatism decreases and assumes a minimum value around 24°. In a region of less than ±6° about 24° (i.e., the angle made between the multipole elements producing the 3-fold astigmatism coefficients A3A and A3C is 72°±6°), the amount of the 6-fold astigmatism is less than half of the amount produced when two stages of multipole elements are combined. This demonstrates that the astigmatisms have been corrected effectively. Thus, in the aberration correction system associated with another embodiment of the present invention, the field of 3-fold symmetry (second field of 3-fold symmetry) produced by the middle stage of multipole element 22 is distributed to have been rotated through about 72° relative to the field of 3-fold symmetry (first field of 3-fold symmetry) produced by the front stage of multipole element 21 within the plane perpendicular to the optical axis 11. Furthermore, the field of 3-fold symmetry (third field of 3-fold symmetry) produced by the rear stage of multipole element 23 is distributed to have been rotated through about 24° relative to the field of 3-fold symmetry produced by the front stage of multipole element 21 within the plane perpendicular to the optical axis 11. A 3-fold field returns to its original state if rotated through 120°. Furthermore, a 3-fold field can be realized by a mirror-symmetric optical system. The rotational positional relationship described so far can be generalized as follows from geometrical symmetry. The angle made between the first stage of multipole element and the second stage of multipole element can be generalized to 120°×m±about 72° (where m is an integer) within the plane perpendicular to the optical axis 11. The angle made between the second stage of multipole element and the third stage of multipole element can be generalized to 120°×m±24° within the plane perpendicular to the optical axis 11. Meanwhile, a negative spherical aberration coefficient does not depend on the rotational positional relationship between the fields of 3-fold symmetry and thus the combination of the intensities created by the three fields of 3-fold symmetry can be used for correction of the spherical aberration in an objective lens. FIGS. 2e-2f show one example of the arrangement of the multipole elements based on the above-described arrangements. In these figures, the arrangement of the front stage of multipole element 21 as viewed along the direction indicated by the arrow A from the origin O on the optical axis 11 shown in FIG. 2a is indicated by 21b. The arrangement of the middle stage of multipole element 22 is indicated by 22b. The arrangement of the rear stage of multipole element 23 is indicated by 23b. These rotational positional relationships need to be noticed after a rotation is made by the transfer lens. If electron optical rotations of 120°×m±72° and 120°×m±24°, respectively, are achieved by transfer lenses, it does not matter whether these rotations are made physically. Accordingly, the three stages of multipole elements 21, 22, and 23 producing the fields of 3-fold symmetry as described above cancel out the 3-fold astigmatisms while producing a negative spherical aberration. Furthermore, 6-fold astigmatism that is a higher-order aberration is also corrected. In order to produce three fields of 3-fold symmetry as described above, rotating means (not shown) for rotating the multipole elements 21, 22, and 23 within the plane perpendicular to the optical axis 11 may be mounted. Furthermore, in the aberration correction system associated with the present embodiment, a pair of first transfer lenses 31 may be mounted between the front stage of multipole element 21 and the middle stage of multipole element 22, and a pair of second transfer lenses 32 may be mounted between the middle stage of multipole element 22 and the rear stage of multipole element 23. The first transfer lenses 31 of the pair have two axisymmetric lenses 31a and 31b and transfer an image equivalent to the image obtained by the front stage of multipole element 21 to the middle stage of multipole element 22. Furthermore, the second transfer lenses 32 of the pair have two axisymmetric lenses 32a and 32b and transfer an image equivalent to the image obtained by the middle stage of multipole element 22 to the rear stage of multipole element 23. That is, the optical distance between the multipole elements is reduced down to zero by the pairs of transfer lenses 31 and 32. In this case, the pairs of transfer lenses 31 and 32 only act to transfer the equivalent images to between the multipole elements and so do not affect the optical characteristics relying on the three fields of 3-fold symmetry. In addition, a distance can be secured between the multipole elements. This provides wider latitude in disposing the multipole elements. Additionally, a pair of third transfer lenses 33 may be mounted between the objective lens 40 and the rear stage of multipole element 23, in addition to the first and second transfer lenses 31, 32. The third transfer lenses of the pair have two axisymmetric lenses 33a and 33b and transfer an image equivalent to the image obtained by the rear stage of multipole element 23 to the objective lens 40. That is, the optical distance between them is zero. The third transfer lenses of the pair only act to transfer the equivalent image to the objective lens 40 in the same way as the first and second transfer lenses 31, 32. Therefore, the third lenses do not affect the optical characteristics relying on the three fields of 3-fold symmetry. This offers wider latitude in disposing the rear stage of multipole element 23 and the objective lens 40. An example in which an aberration correction system associated with one embodiment of the present invention is incorporated in a transmission electron microscope is described by referring to FIGS. 5 and 6. FIG. 5 shows an example of transmission electron microscope 50 using the aberration correction system as an illumination system aberration corrector. An electron gun 51 produces an electron beam (not shown) under control of a high-voltage control portion 58 and accelerates the beam to a desired energy. A first condenser lens 52 then focuses the accelerated beam. The focused beam passes through an illumination system aberration corrector 53. At this time, the aforementioned aberration correction is performed. The beam leaving the aberration corrector 53 is focused by a second condenser lens 54 and passes through an objective lens and a specimen stage 55. A specimen is placed on the stage 55. The electron beam transmitted through the specimen is enlarged by an intermediate projector lens 56. Then, the beam impinges on a fluorescent screen (not shown) in an observation chamber 57. The image of the specimen projected onto the fluorescent screen is captured by a camera. When the beam passes through the objective lens and specimen stage 55, the objective lens further focuses the beam. A positive spherical aberration due to the objective lens acts to increase the spot diameter of the beam on the specimen surface. However, the positive spherical aberration is canceled out by a negative spherical aberration produced by the illumination system aberration corrector 53. Consequently, a very small spot of the beam is obtained on the specimen surface. On the specimen surface, 3-fold astigmatisms, 6-fold astigmatism, and other astigmatisms are removed. Therefore, the range of incident angles of the electron beam capable of being corrected for aberrations is widened in the illumination system. When the range of the incident angles of the electron beam is enlarged, diffraction aberration decreases. This further improves the spatial resolution of the transmission electron microscope. Since the quite small spot is obtained on the specimen surface, analysis of characteristic X-rays can be performed at high spatial resolution when the optical system of the transmission electron microscope 50 has a deflector (not shown). FIG. 6 shows an example of a transmission electron microscope, indicated by 60, using an aberration correction system associated with one embodiment of the present invention as an imaging system aberration corrector. The microscope 60 has an electron gun 61 that produces an electron beam (not shown) under control of a high voltage control portion 68 and accelerates the beam to a desired energy. The accelerated beam is then focused by a first condenser lens 62 and a second condenser lens 63. The focused beam is then passed through an objective lens and a specimen stage 64. Then, the beam is made to hit a specimen on the specimen stage. The electron beam transmitted through the specimen passes through an imaging system aberration corrector 65. At this time, the aforementioned aberration correction is performed. The beam passed through the aberration corrector 65 is enlarged by an intermediate projector lens 66 and impinges on a fluorescent screen (not shown) in an observation chamber 67. The specimen image projected onto the fluorescent screen is captured by a camera. When the electron beam passes through the imaging system aberration corrector 65, a positive spherical aberration produced by the objective lens is canceled out by a negative spherical aberration possessed by the aberration corrector 65. This aberration corrector removes 3-fold astigmatisms, 6-fold astigmatism, and other astigmatisms. Consequently, the spatial resolution of the transmission electron microscope is improved. Aberration correction made by the imaging system aberration corrector 65 widens the range of incident angles of the electron beam in which aberration correction can be made. This, in turn, reduces diffraction aberration induced by an aperture (not shown). In consequence, the spatial resolution of the transmission electron microscope is improved further. In the above examples, any one of the illumination system aberration corrector 53 and imaging system aberration corrector 65 is installed in a transmission electron microscope. A transmission electron microscope may also be equipped with both of these aberration correctors. Having thus described my invention with the detail and particularity required by the Patent Laws, what is desired protected by Letters Patent is set forth in the following claims. |
|
050948040 | abstract | A nuclear fuel element for a high temperature gas nuclear reactor that has an average operating temperature in excess of 2000.degree. C., and a method of making such a fuel element. The fuel element is characterized by having fissionable fuel material localized and stabilized within pores of a carbon or graphite member by melting the fissionable material to cause it to chemically react with the carbon walls of the pores. The fissionable fuel material is further stabilized and localized within the pores of the graphite member by providing one or more coatings of pyrolytic carbon or diamond surrounding the porous graphite member so that each layer defines a successive barrier against migration of the fissionable fuel from the pores, and so that the outermost layer of pyrolytic carbon or diamond forms a barrier between the fissionable material and the moderating gases used in an associated high temperature gas reactor. The method of the invention provides for making such new elements either as generally spherically elements, or as flexible filaments, or as other relatively small-sized fuel elements that are particularly suited for use in high temperature gas reactors. |
052778460 | claims | 1. A process for treating incinerable waste comprising organic substances and mineral substances which include radionuclides, said process comprising grinding said waste to a particle size less than 2 mm, delivering the resulting ground waste by means of a carrying gas to the lower part of a silica bath heated to a temperature to maintain said silica bath in the molten state, pouring said molten silica bath containing said mineral substances into a container and permitting said molten silica bath to solidify in said container. 2. Process according to claim 1, further comprising pouring only some of the bath into the container. adding mineral products to the waste, in a quantity and of a type such that the mineral composition of the waste becomes substantially identical to that of the bath. adding a flux to the waste which will reduce the melting point of the bath. introducing an oxygen-containing gas above the bath. 3. Process according to claim 1, wherein the bath has a height of 5-40 cm above the intake level of the waste, for a bath temperature of 1000.degree.-1100.degree. C. 4. Process according to claim 1, wherein the mass of the bath represents 0.2 to 6 times the hourly mass flow rate of waste. 5. Process according to claim 1, further comprising 6. Process according to claim 1, further comprising 7. Process according to claim 1, further comprising |
048088315 | description | DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT A container for radioactive samples is generally designated 10 in FIG. 1. Container 10 comprises a laminate type of structure including a carrier 12, spacer 14, window 16, window spacer 18 and a tab 20, see also FIGS. 2 and 3. Carrier 12, also referred to as a carrier member or a carrier layer, is a generally planar, disk-shaped member having a thickness of about 0.014 inches and is preferably formed of a synthetic material such as the plastic film sold under the trademark Mylar. Carrier 12 includes opposed surfaces 22, 24, an outer periphery 26 and has at least one aperture 28 formed therein. For some applications, another aperture 30 is provided. Spacer 14, also referred to as a spacer member, volume spacer and a first spacer layer, is a generally planar, disk-shaped member having a total thickness of about 0.020 inches and may be formed of two layers of laminated, double-sided tape or a single layer of suitable non-porous material. Spacer 14 includes an outer periphery 38 and an inner periphery 40 defining an opening 41 formed therethrough. Opposed surfaces 34, 36 include an adhesive 42 thereon. Surface 34 of spacer 14 is adhered to surface 24 of carrier 12 by adhesive 42. Window 16, also referred to as a window member or a transparent window layer, is a generally disk-shaped member preferably formed of a synthetic material such as the plastic film commonly known as 6C or 12C Mylar sold by the DuPont Company of Wilmington, Del., and is of a thickness sufficient to contain a sample and to permit beta or other low energy radiation to pass therethrough. Window 16 is attached to surface 36 of spacer 14 by adhesive 42. In this manner a cavity 44 is defined by surface 24 of carrier 12, inner periphery 40 of spacer 14 and window 16. Cavity 44 is accessible via aperture 28 of carrier 12. Window 16 therefore functions as a means for retaining a radioactive sample in container 10 and simultaneously for permitting beta and other low energy radiation from the sample to exit therethrough. Window spacer 18, also referred to as spacer means or a second spacer layer, is a generally disk-shaped member having a total thickness of about 0.010 inches and is preferably formed of two laminated layers. One of the layers being a synthetic material such as the plastic film sold under the trademark Mylar and the other layer being the double-sided tape mentioned above which includes adhesive 42. Window spacer 18 includes opposed surfaces 46, 48, an outer periphery 50 and an inner periphery 52 defining an opening 54 formed therethrough. Surface 46 of window spacer 18 is adhered to window 16 and to surface 36 of spacer 14 by adhesive 42. Tab 20 includes an adhesive on a surface 21 thereof for permitting tab 20 to function as a well-known means to be removably attached to surface 22 of carrier 14 for sealing aperture 28, or in some cases to simultaneously seal apertures 28 and 30. A blotter 60, FIG. 4, may be placed in cavity 44. Blotter 60 is generally disk-shaped and sized to fit within cavity 44, and may be formed of a suitable wicking material such as a blotter paper, mesh, or fibrous material. In the embodiment of FIGS. 6 and 6A, blotter 60 is illustrated as having an aperture 62 formed therein. Blotter 60 is preferably any suitable material that exhibits capillary wicking and has some thickness, such as a hydrophilic material. In this manner, samples can be evenly distributed in cavity 44 and spacing is maintained between window 16 and carrier 12. In one embodiment, FIG. 3, a large volume sample container includes carrier 12 which is sufficiently rigid to provide structure. Spacer 14 includes inner periphery 40, determined by the dimensions of an associated detector for measuring radioactivity. Window 16 is chemically compatible with most solvents, thin enough to transmit low energy betas and has low permeability to keep a sample from evaporating during a measurement (which would change the counting efficiency). Carrier 12 is provided with two apertures 28, 30 adjacent periphery 40 of spacer 14 to take advantage of capillary wicking to draw a sample into cavity 44 and to simultaneously allow air to be displaced by a liquid sample. These apertures 28, 30 can be sealed with adhesive backed tab 20 to limit evaporative losses which would change the counting efficiency. Sealing tabs 20 also completely enclose the radioactivity in cavity 44 to limit hazardous handling. The sample volume is determined by the diameter of periphery 40 and the thickness of spacer 14. The diameter is selected to correspond with the associated detector. The thickness of spacer 14 is chosen to make a sample volume of a desired size. In FIG. 4, carrier 12 includes one central sample access aperture 28. Contained inside cavity 44 is blotter 60. The characteristics of blotter 60 are chosen to: a) controllably and uniformly disperse the liquid sample throughout the internal volume of cavity 44; b) to uniformly and controllably space window 16 away from carrier 12; and c) to have as low a density as possible to maximize transmission of the low energy betas while still maintaining features a and b mentioned above. Aperture 28 is sealed by tab 20. If desired, blotter 60 may be adhered to carrier 12 with a light adhesive. Spacers 14, 18 and window 16 are substantially the same as described above. In FIG. 5 a high efficiency dry sample container 10 includes a modified carrier 12 having a greater thickness than in the above-mentioned embodiments. Carrier 12 is further modified in such a way as to maximize the deposition of the low energy isotope containing sample near the central region of the container and to provide an optimum emission solid angle into the detector, see also FIG. 5A. This is accomplished by a modified aperture 28 so as to gradually enlarge via a curved peripheral wall 71 as aperture 28 extends from surface 22 to opposed surface 24 of carrier 12. Thus, radio labelled material deposited on wall 71 of carrier 12 will have a greater exposure to an associated detector than would occur with a straight walled aperture as discussed above. Aperture 28 is sealed by tab 20 adhered to surface 22. Spacer 18 and window 16 are substantially the same as described above. In FIG. 6, a DNA liquid sample container includes carrier 12 having a single aperture 28. However, blotter 60 in cavity 44, is modified to include aperture 62 formed therein coaxially with aperture 28 of carrier 12. DNA or other molecules which strongly bind to blotter 60 will preferentially bind to the edges of central aperture 62 thus minimizing the absorption of the betas by the main body of blotter 60. Aperture 28 is sealed by tab 20. Spacers 14, 18 and window 16 are substantially the same as described above. In the embodiments illustrated herein, a preferred construction includes carrier 12, volume spacer 14, blotter 60, window 16 and window spacer 18 being interconnected so as to have a common centroidal axis designated A. Furthermore, carrier aperture 28, spacer opening 41, blotter aperture 62 and window spacer opening 54 also have the same centroidal axis A as illustrated in the drawing. From the foregoing it can be seen that dimensions of volume spacer 14 function to maintain a space, or cavity 44, between carrier 12 and window 16. In FIGS. 7-10A, it is illustrated that a suitable container 10 can include means other than spacer 14 to provide the desired cavity 44. In FIG. 7 a window 16 is suitably tensioned and adhered directly to surface 24 of carrier 12 in a manner sufficient to define a cavity 44 therebetween. Tab 20 is attached to surface 22 of carrier 12 for sealing aperture 28. Several projections 81, FIGS. 8, 8A, may extend from side 24 of carrier 12. Such projections can be of any suitable structure and only one of which is illustrated as exemplary. Projections 81, in this example, are formed as plurality of radial ribs of ridges which may be formed, such as by injection molding, with carrier 12 or attached thereto. Window 16 extends over projections 81 and is suitably attached to carrier 12. Thus, cavity 44 having uniform thickness, is provided between window 16 and surface 24 of carrier 12. Tab 20 seals aperture 28 on side 22 of carrier 12. Blotter 60, discussed above, may also function to maintain a space between carrier 12 and window 16. As illustrated in FIG. 9, blotter 60 is mounted between carrier 12 and window 16. Attachment of window 16 to carrier 12 defines cavity 44 having blotter 16 mounted therein functioning to provide capillary wicking and as a space maintainer. Tab 20 is provided to seal aperture 28. A further example, FIGS. 10, 10A, illustrates a mesh member 83 mounted between window 16 and carrier 12. A mesh of a suitable size, e.g. well-known window screen, may be utilized for this purpose. Although mesh member 83 of this type does not provide capillary wicking as with blotter 60, it is suitable to provide the desired space between carrier 12 and window 16, defining cavity 44, and assists in providing an even distribution of a liquid sample in cavity 44. Carrier 12, FIGS. 11, 12, may be molded to include a first circumferential raised ring 93 functioning as volume spacer 14 and, alternatively, to include a second circumferential raised ring 95 superimposed, in a stepped manner, on ring 93 to function as window spacer 18. A surface 97 of ring 93 provides a land for adhering window 16 thereto thus defining cavity 44 between surface 24 of carrier 12, inner periphery 40 of ring 93 and window 16. Containers 10 may be conveniently packaged on a suitable carrier tape 87 illustrated in FIGS. 13, 13A. Prior to insertion of a sample, containers 10 and tabs 20 are separately mounted on tape 87, with carrier 12 facing upwardly and spacer 14 or spacers 14, 18 facing downwardly so as to provide a space 89 between carrier 12 and carrier tape 87. Once a sample is placed into container 10, tab 20 is adhered to carrier 12 to seal aperture 28 and container 10 may be mechanically manipulated to another work station such as a detector, a drying operation, or the like, depending on the particular sample. Space 89 provides a convenient gripping point for a mechanical manipulator, e.g. robotic arm, (FIG. 15) to grip container 10 and transfer the same to another work station. An exemplary detector may include the well-known planchette counter 91 such as that diagrammatically illustrated in FIG. 14. An advantage of container 10 is that a liquid sample, being sealed in container 10, may be immediately introduced to the counter 91 since the sealed liquid sample cannot interfere with the sensitive gas volume of planchette counter 91. Another exemplary detector, FIG. 15, may include the currently available bench top radiation detection device 101, wherein sample containers 10 are placed in a sample holder well 103 and positioned on a surface 115 at a fixed distance from a solid state detection element 105. In order to maintain containers 10 centered in well 103, a mechanical manipulator 107, such as that described above for gripping and removing container 10 from tape 87, may include a suitable fixture 109 having a circumferential surface 111 which slips fits into associated surface 113 of well 103. In this manner, containers 10 can be delivered to detection device 101, the samples tested, and the container 10 removed entirely by mechanical manipulation. The present invention eliminates or reduces many problems associated with previously used sample containers. First, the sample containers are very small reducing the amount of radioactive waste which must be disposed. Second, the samples once introduced into the sample containers require no further handling, mixing, or other toxic chemicals in order to be counted. They retain their biological activity and can be recovered for use in further biological experimentation if necessary. Third, these sample containers can be presented to a direct ionization detector and have a sufficiently thin exit window that significant amounts of the radioactive emissions from carbon-14 and sulfur-35 can penetrate out of the sample containers and into the detector. Appropriate ionization detectors include solid state detectors and gas proportional or Geiger counters. The major advantage of the present invention sample containers is that the samples can be sealed inside thereby preventing mechanical contact with the radioactivity, and furthermore that liquid samples as well as dry samples can be accurately counted. With the ability to count liquid samples, drying requirements can be eliminated for faster analysis. |
063296640 | summary | BACKGROUND OF THE INVENTION 1. Field of the Invention This invention relates to an apparatus for ion implantation, and particularly relates to a structure of a wafer disc for holding wafers provided in the apparatus for executing ion implantation on wafers using a batch type production system for producing semiconductor devices. 2. Background Art In a batch type ion implantation treatment apparatus, ion implantation is carried out for a plurality of wafers supported by a wafer disc with a large diameter. In general, the wafer disc comprises a plurality of wafer holders arranged around its periphery, and each wafer is supported and fixed in each wafer holder by a fixing means such as a clamp. In a practical ion implantation operation, the wafer disc is driven to rotate and to move in reciprocating motions about an ion beam incident perpendicularly on wafer surfaces such that a plurality of wafers on the wafer disc are subjected to homogeneous ion implantation. However, the following problems are encountered in conventional batch-type ion implantation apparatuses. This batch-type ion implantation apparatus comprises a fixed number of wafer holders, that is, the number of wafers which can be treated as one batch is fixed. However, in practical ion implantation operations, the number of wafers to be treated is not always the same as the number of wafer holders, and sometimes the number of wafers is less than the number of fixed wafer holders. In this case, since the ion beam scans the whole surface area of the wafer disc, it is necessary to cover vacant wafer holders by, for example, dummy wafers. The use of dummy wafers raises the cost per wafer, and causes inflation of the manufacturing cost of the wafers. In addition, even though the number of wafers is less than the number of wafer holders, ion irradiates the whole peripheral surface area of the wafer disc including wafer holders, so that a problem arises that the ratio of the area of the product wafers to the total area of ion irradiation is low, which results in causing a low through-put. Furthermore, even if it is desired to change the ion dose for wafers in one batch, the only way to do this in conventional ion implantation apparatuses is to provide wafers with different ion doses in different batches. It is therefore an object of the present invention to solve the above described problems and to provide an apparatus for ion implantation which is capable of executing ion implantation without using dummy wafers even when the number of untreated wafers is less than the number of one full batch such that the manufacturing cost can be reduced and the through-put is improved, and also to provide an ion implantation apparatus which is capable of producing wafers with different ion doses in the same batch. SUMMARY OF THE INVENTION In order to attain the above object, an ion implantation apparatus is provided which has a wafer disc having a plurality of wafer holders to support a plurality of wafers, and which carries out ion implantation for each wafer, while said wafer disc is rotating and while said wafer disc is shifting back and forth by a reciprocating motion perpendicular to the ion beam such that the wafers on the wafer holders are irradiated by the ion beam, wherein said plurality of wafer holders are designed such that they can move in the radial direction of the wafer disc by holder arms of the wafer holders, and wherein said apparatus comprises a control means for controlling the center of the shifting position of the reciprocating motion in the radial direction of the wafer disc such that said plurality of wafers supported on said wafer holders are irradiated by the ion beam. According to another aspect of the present invention, the ion implantation apparatus of the above aspect further comprises holder arms connected to said plurality of wafer holders and the holder arms are designed so as to be extendable and contractable in the radial direction of the disc, and a driving means for driving so as to extend or to contract those holder arms automatically. In the ion implantation apparatus of the present invention, a plurality of wafer holders can be moved in the radial direction of the wafer disc. Thus, it is possible to separate wafer holders into a group supporting the wafers and another group of vacant wafer holders at different positions. The control unit then controls the center position of the reciprocating motions of the wafer disc such that the region where the wafer holders supporting untreated wafers are irradiated by the ion beam. Accordingly, since the filled holders and vacant holders are separated geometrically, and the regions of vacant holders are not subjected to the ion beam, it is not necessary for this ion implantation apparatus to use dummy wafers which were required in conventional apparatuses. Furthermore, when the number of wafers of a batch is small in comparison with the number of wafer holders, there was a problem in the conventional apparatus that the throughput was decreased due to the small area ratio of the product to the ion irradiation. In contrast, since it is necessary in the present ion implantation apparatus to carry out ion implantation in the restricted area in which holders supporting untreated wafers are positioned, the area ratio becomes larger than that of the conventional apparatus and the throughput is further improved. According to another aspect of the present invention, the ion implantation apparatus according to the first aspect further comprises a center of gravity adjusting means for adjusting the center of gravity of the disc so as to coincide with the position of the disc center. In the ion implantation apparatus according to the first aspect of the present invention, when said control means recognizes that a plurality of ion doses are required for untreated wafers in a batch, and the number of untreated wafers is less than the number of wafer holders, said control means forms a plurality of concentric circular regions corresponding to the number of ion doses by shifting the positions of the wafer holders, and ion implantation is executed by changing the speed of the reciprocating motions of the wafer disc using the intermediate range as the transition region of the speed change. According to this constitution, wafers to be treated with the same ion dose are arranged in the same circular region on the wafer disc, and wafers in a batch are separate in separated concentric circular regions. By setting the optimum scanning speed for each region, and if it is possible to change the scanning speed for each region smoothly, untreated wafers in the same batch can be treated with different ion doses, which results in improving the working efficiency of the ion implantation. |
claims | 1. A radionuclide producing apparatus, comprising:(a) a target chamber comprising a beam strike region for containing a target liquid, a target chamber lower opening communicating with the beam strike region, a condenser region for containing a vapor, and a sealable top above the condenser region, the condenser region disposed above the beam strike region in fluid communication therewith for receiving heat energy from the beam strike region and transferring condensate to the beam strike region;(b) a particle beam source operatively aligned with the beam strike region for bombarding the beam strike region with a particle beam;(c) a target liquid expansion chamber including an expansion chamber upper opening and an expansion chamber lower opening, the expansion chamber openly fluidly communicating with the beam strike region via the expansion chamber lower opening and the target chamber lower opening;(d) a pressurizing gas supply source separate from the target chamber and fluidly communicating with the expansion chamber upper opening; and(e) a lower liquid conduit interposed between the target chamber lower opening and the expansion chamber lower opening and forming an open target liquid flow path between the target chamber and the expansion chamber, wherein the expansion chamber, the lower liquid conduit, and the beam strike region are pressurized by the gas supply source. 2. The apparatus according to claim 1 comprising a cooling device disposed in thermal contact with the target chamber. 3. The apparatus according to claim 2 comprising a body defining the target chamber, wherein the cooling device comprises a coolant conduit farmed in the body and disposed in thermal contact with the target chamber. 4. The apparatus according to claim 1 wherein the target chamber encloses an internal volume ranging from approximately 0.5 to approximately 5.0 cm3. 5. The apparatus according to claim 1 wherein the target chamber comprises a front side and a back side, and a depth between the front and back sides through the beam strike region ranges from approximately 0.2 to approximately 12.0 cm. 6. The apparatus according to claim 1 wherein the condenser region is contiguously disposed above the beam strike region. 7. The apparatus according to claim 1 wherein the condenser region and the beam strike region have respective internal volumes, and the ratio of the condenser region internal volume to the beam strike region internal volume ranges from approximately 0.5:1 to approximately 2:1. 8. The apparatus according to claim 1 comprising an upper liquid conduit fluidly communicating with the condenser region. 9. The apparatus according to claim 8 comprising a target material supply source fluidly communicating with the upper liquid conduit and the target chamber lower opening to form a target material fluid circuit including the target material supply source and the target chamber. 10. The apparatus according to claim 1 comprising a target material supply source adapted for fluid communication with the target chamber lower opening. 11. The apparatus according to claim 10 wherein the target material supply source comprises an oxygen-18 enriched target fluid source. 12. The apparatus according to claim 11 wherein the target fluid source comprises a water source. 13. The apparatus according to claim 1 wherein the particle beam source comprises a proton beam source. 14. The apparatus according to claim 13 wherein the proton beam source comprises a cyclotron. 15. The apparatus according to claim 13 wherein the proton beam source comprises a linear accelerator. 16. The apparatus according to claim 1 comprising a radionuclide delivery line communicating with the target chamber lower opening. 17. The apparatus according to claim 1 wherein the target chamber comprises a front side and a back side, and a depth between the front and back sides through the beam strike region is greater than a depth between the front and back sides through the condenser region. 18. The apparatus according to claim 8 comprising a valve fluidly communicating with the upper liquid conduit and closable for sealing the target chamber. 19. A radionuclide producing apparatus, comprising:(a) a target chamber comprising an upper section, an upper opening communicating with the upper section, a lower section below the upper section, and a target chamber lower opening communicating with the lower section;(b) means for applying a particle beam to the target chamber for irradiating target material in the target chamber;(c) means for applying pressure to the target chamber at the target chamber lower opening during application of the particle beam; and(d) means for providing a target liquid flow path through the target chamber lower opening such that during application of the particle beam target material flows out from the target chamber via the target chamber lower opening against the pressure and back into the target chamber via the target chamber lower opening. 20. The apparatus according to claim 19 comprising means for filling the target chamber via the target chamber lower opening with a target material. 21. The apparatus according to claim 20 wherein the filling means comprises a pump communicating with the target chamber via the target chamber lower opening. 22. The apparatus according to claim 20 comprising an upper conduit communicating with the upper section via the upper opening, and means for sealing the upper conduit. 23. The apparatus according to claim 20 wherein the filling means comprises a target material supply source communicating with the target chamber via the target chamber lower opening. 24. The apparatus according to claim 23 wherein the target material supply source comprises an oxygen-18 enriched target fluid source. 25. The apparatus according to claim 19 wherein the pressure applying means comprises a gas supply source communicating with the target chamber via the target chamber lower opening. 26. The apparatus according to claim 19 wherein the flow path providing means comprises an expansion chamber communicating with the target chamber via the target chamber lower opening. 27. The apparatus according to claim 26 wherein the pressure applying means comprises a gas supply source communicating with the target chamber via the expansion chamber. 28. The apparatus according to claim 1 wherein a volume of target liquid extends into the target liquid expansion chamber, through the target chamber lower opening, and into the target chamber. 29. The apparatus according to claim 19 comprising a closed upper conduit communicating with the upper section via the upper opening, and wherein a volume of liquid-phase target material is disposed in the lower section and a volume of vapor-phase target material is disposed in the upper section. 30. The apparatus according to claim 27 comprising means for filling the target chamber via the target chamber lower opening with target material. 31. The apparatus according to claim 19 wherein the flow path providing means comprises an expansion chamber and a lower liquid conduit, the expansion chamber has an expansion chamber upper opening and an expansion chamber lower opening, the lower liquid conduit interconnects the expansion chamber lower opening and the target chamber lower opening, and the pressure applying means communicates with the expansion chamber upper opening. |
|
claims | 1. A jet pump diffuser weld repair device operable on a jet pump diffuser including pockets formed in an exterior surface of the diffuser on opposite sides of a weld to be repaired, the weld repair device comprising:a lower ring section and an upper ring section respectively sized to fit entirely around a circumference of the diffuser on opposite sides of the weld to be repaired, the lower and upper ring sections including a plurality of aligned gripper slots formed in an inner circumference of the lower and upper ring sections;a corresponding plurality of grippers fit into the gripper slots and facing radially inward toward the diffuser, wherein the grippers comprise double-tapered cam surfaces respectively engaging the lower ring section and the upper ring section, the double-tapered cam surfaces being tapered radially outward from axially distal ends of the grippers toward a central apex to drive the grippers radially inward as the lower and upper ring sections are drawn toward each other; anda plurality of connector bolts secured between the lower ring section and the upper ring section, wherein tightening the connector bolts draws the lower and upper ring sections toward each other,wherein the grippers comprise engaging lugs shaped to fit in the pockets formed on the opposite sides of the weld. 2. A jet pump diffuser weld repair device according to claim 1, wherein the grippers comprise tapered surfaces as the cam surfaces on a side of the grippers facing the gripper slots. 3. A jet pump diffuser weld repair device according to claim 2, wherein the gripper slots comprise angled surfaces as the cam surfaces engaging the tapered surfaces of the grippers. 4. A jet pump diffuser weld repair device according to claim 1, wherein the lower ring section comprises a clamp half lower female part engageable with a clamp half lower male part, and wherein the upper ring section comprises a clamp half upper female part engageable with a clamp half upper male part. 5. A jet pump diffuser weld repair device according to claim 4, wherein the clamp half lower and upper female parts comprise T-slots that receive corresponding T connectors on the clamp half lower and upper male parts. 6. A jet pump diffuser weld repair device according to claim 1, wherein if the opposite sides of the weld in the diffuser exterior surface in which the pockets are formed are of different materials, a material of the grippers is selected such that its coefficient of thermal expansion falls between coefficients of thermal expansion of the different materials. 7. A weld repair device for a thin wall welded pipe comprising:a lower ring section and an upper ring section respectively sized to fit entirely around a circumference of the thin wall welded pipe on opposite sides of a weld to be repaired;a plurality of grippers fit into aligned gripper slots formed in an inner circumference of the lower and upper ring sections, the plurality of grippers facing radially inward toward the thin wall welded pipe, wherein the grippers include double-tapered cam surfaces respectively engaging the lower ring section and the upper ring section, the double-tapered cam surfaces being tapered radially outward from axially distal ends of the grippers toward a central apex to drive the grippers radially inward as the lower and upper ring sections are drawn toward each other; anda plurality of connector bolts secured between the lower ring section and the upper ring section, wherein tightening the connector bolts draws the lower and upper ring sections toward each other. 8. A weld repair device according to claim 7, wherein the opposite sides of the weld comprise different materials, and wherein a material of the grippers is selected such that its coefficient of thermal expansion falls between coefficients of thermal expansion of the different materials. 9. A weld repair device according to claim 7, wherein the pipe on one side of the weld is cylindrical and on an opposite side of the weld is conical constituting a shape change, the lower and upper ring sections and the grippers being configured accommodate the shape change. 10. A weld repair device according to claim 7, wherein the grippers comprise engaging lugs shaped to fit in pockets formed in an exterior surface of the thin wall welded pipe on the opposite sides of the weld. |
|
abstract | In conjunction with a pressurized water reactor (PWR) and a pressurizer configured to control pressure in the reactor pressure vessel, a decay heat removal system comprises a pressurized passive condenser, a turbine-driven pump connected to suction water from at least one water source into the reactor pressure vessel; and steam piping configured to deliver steam from the pressurizer to the turbine to operate the pump and to discharge the delivered steam into the pressurized passive condenser. The pump and turbine may be mounted on a common shaft via which the turbine drives the pump. The at least one water source may include a refueling water storage tank (RWST) and/or the pressurized passive condenser. A pressurizer power operated relief valve may control discharge of a portion of the delivered steam bypassing the turbine into the pressurized passive condenser to control pressure in the pressurizer. |
|
abstract | A probe is made by attaching a carbon nanotube 12 to a mounting base end 13, which eliminates the effects of a carbon contamination film, to increase the bonding strength, increase the conductivity of the probe, and strengthen the bonding performance thereof by coating the entire circumference of the nanotube and the base with a coating film, rather than coating just one side. The work of mounting the carbon nanotube and mounting base end are performed under observation by a microscope. Further, the carbon contamination film 14 formed by an electron microscope is stripped off at a stage before bonding by the coating film. |
|
048250856 | claims | 1. A radiation image storage panel, comprising a heat generating body for drying assembled in a radiation image storage panel using a light stimulable phosphor. 2. The radiation image storage panel according to claim 1, wherein said heat generating body for drying is provided in contact with a support of the radiation image storage panel. 3. The radiation image storage panel according to claim 1, wherein said heat generating body for drying is provided in contact with a light stimulable phosphor layer of the radiation image storage panel. 4. The radiation image storage panel according to claim 3, wherein said heat generating body for drying is provided in contact with a support of the radiation image storage panel. 5. The radiation image storage panel according to claim 1, wherein said heat generating body for drying also functions as a support of said radiation image storage panel. 6. The radiation image storage panel according to claim 1, wherein said heat generating body for drying also functions as a protective layer of said radiation image storage panel. 7. The radiation image storage panel according to claim 6, wherein said heat generating body is a transparent substance. 8. The radiation image storage panel according to claim 1, wherein said heat generating body comprises a thin film formed by vapor deposition or sputtering of a metal oxide of electrical resistor, or a coated film of a coating material containing carbon black or metallic fine powder dispersed or suspended therein. 9. The radiation image storage panel of claim 8, wherein heat generating body comprises the thin film of metal oxide, and said metal oxide is indium oxide or indium tin oxide. 10. The radiation image storage panel of claim 8 wherein the heat generating body comprises said coated film containing carbon black dispersed or suspended therein. |
summary | ||
046541942 | summary | BACKGROUND OF THE INVENTION The present invention relates generally to nuclear fuel assemblies and, more particularly, to a novel nozzle arrangement employed in a fuel assembly. The reactor core of a typical fast breeder nuclear reactor is designed to sustain a continuous sequence or chain of fission reactions, and generally contains a multiplicity of similarly constructed fuel assemblies vertically oriented in a side-by-side relation. Each fuel assembly, in turn, contains a multiplicity of thin, elongated fuel elements or pins containing stacked fuel pellets formed of radioactive material, such as uranium, thorium, or plutonium compounds for example. As is known, the heat generated by the fission reactions is transferred to a circulating coolant, such as liquid sodium for example, and subsequently transmitted to a secondary coolant, such as water, for conversion into steam for generating electrical energy. In addition to the multitude of fuel pins, each fuel assembly usually comprises a relatively thin-walled duct tube serving as the jacket or housing for the fuel pin as well as a conduit for the passage of coolant therethrough, a shield/inlet nozzle assembly for introducing the coolant into the fuel assembly, and a handling socket at the other end of the duct tube to facilitate insertion and removal of the assembly into and from the core. Each fuel assembly must maintain its structural integrity during various stages of reactor operation including heat up, cool down, shut down, and powered operations as well as withstand the most adverse operative conditions expected during its lifetime. The shield/inlet nozzle assembly allows coolant to enter the fuel assembly while providing neutron shielding. It structurally ties the fuel pins to the support member and normally is welded to the duct tube to provide overall structural continuity for the fuel assembly. Conventionally, the nozzle assembly contains several block sections, such as an orifice block, a neutron shield block, and a diffuser block all welded in place within the nozzle assembly. These several block elements are formed with various orifice or passage patterns to establish coolant flow requirements dictated by the specific fuel assembly being designed. Since these assemblies differ according to their function and/or location relative to the axis of the nuclear core, it can be appreciated that a variety of different orificing arrangements must be contemplated. Moreover, once a particular orifice pattern is incorporated within a specific assembly, this orifice pattern remains fixed and, since varying power conditions and temperatures are encountered during an operating cycle, optimum coolant flow during various stages of operation cannot be realized. Another problem encountered in liquid metal cooled reactors, particularly those utilizing liquid sodium as a coolant, is their susceptibility to a phenomenon often referred to as "Flow Impedance", which is the gradual increase of pressure required to maintain a constant volume of coolant flow. This is believed to be caused by the deposition of particulates, such as silicon compounds, on the inlet end of core components and on the wall surfaces of the piping system. It is estimated that the effects of such phenomena can result in an operating penalty of $40,000,000 a year in a large commercial reactor. For a more detailed description of this phenomenon, as well as one approach for solving this problem, reference may be made to U.S. patent application, Ser. No. 554,867, filed on Nov. 25, 1983, and assigned to the saae assignee as the present invention. Accordingly, it is a primary object of the present invention to obviate the above noted shortcomings by providing a new and useful inlet nozzle assembly for nuclear reactor fuel assemblies. It is another object of this invention to provide in the foregoing nozzle assembly replaceable internal block elements to simplify fabrication and optimize fuel assembly design, effect nozzle standardization of core component assemblies, permit quick and easy non-destructible dismantling and replacement of assembly components, and facilitate cleaning of the several elements and components. It is still another object of the present invention to provide in the foregoing inlet nozzle assembly a novel orifice plate assembly comprised of a plurality of differently orificed plates selectively utilized in accordance with desired coolant flow requirements for a specific fuel assembly. It is a further object of this invention to detachably connect the above mentioned nozzle assembly to the associated fuel assembly to facilitate removal and replacement of the internal elements of the inlet nozzle assembly. It is still a further object of the present invention to provide the foregoing nozzle assembly with means for removing particulates from the coolant stream flowing therethrough. These and other objects, advantages, and characterizing features of the present invention will become clearly apparent from the ensuing detailed description of an illustrative embodiment thereof, taken together with the accompanying drawings wherein like reference characters denote like parts throughout the various views. SUMMARY OF THE INVENTION An inlet nozzle assembly comprising an elongated shell for housing separable components including an orifice plate assembly, neutron shielding means, and coolant diffusing means. The orifice plate assembly includes a plurality of orifice plates of differently configurated and sized openings for directing coolant flow therethrough in a predetermined flow pattern. |
046844953 | abstract | An improved bottom nozzle of a fuel assembly includes a housing and a modified adapter plate formed by a network of ligaments which extend across the housing and are connected to the side walls of the housing a short distance below its upper peripheral edge so as to define an open region therein. The improved bottom nozzle also includes a debris trap having a structure disposed within the open region of the housing upon the adapter plate and adapted to capture and retain debris carried by collant flowing from openings in the lower core plate of the nuclear reactor to the fuel assembly. The trap structure is composed of a plurality of straps aligned with one another in a crisscross arrangement and defining a plurality of interconnected wall portions which form a multiplicity of small cells each having open opposite ends and a central channel for collant flow through the trap. A plurality of spring-like fingers are punched out of the wall portions and bent to extend into the cell channels toward the downstream end of the trap structure to provide means to capture and retain pieces of debris carried through the channels by flowing coolant. Also, leaf springs are attached to periphery of the structure and engagable with a plurality of recessed shoulders in the housing for releasably locking the trap structure in place in the open region with intersections formed by the straps aligned below the fuel rods of the fuel assembly. |
description | This application is a continuation of U.S. patent application Ser. No. 15/320,800, filed Dec. 21, 2016, entitled “An Additive Manufacturing Technology for the Fabrication and Characterization of Nuclear Reactor Fuel”, which published on Jul. 27, 2017, as U.S. Patent Publication No. 2017/0213604 A1, and which is a § 371 U.S. National Phase application claiming priority from International Application Serial No. PCT/US2015/037080, filed Jun. 23, 2015, which published Dec. 30, 2015, as PCT Publication No. WO 2015/200257 A1. International Application Serial No. PCT/US2015/037080 claims the benefit of priority of U.S. Provisional Application Ser. No. 62/015,603, filed Jun. 23, 2014, entitled “An Additive Manufacturing Technology for the Fabrication and Characterization of Nuclear Reactor Fuel”, and from U.S. Patent Application Ser. No. 62/099,734, filed Jan. 5, 2015, entitled “An Additive Manufacturing Technology for the Fabrication and Characterization of Nuclear Reactor Fuel”, and from U.S. Patent Application Ser. No. 62/133,596, filed Mar. 16, 2015, entitled “An Additive Manufacturing Technology for the Fabrication and Characterization of Nuclear Reactor Fuel”, and from U.S. Patent Application Ser. No. 62/153,715, filed Apr. 28, 2015, entitled “An Additive Manufacturing Technology for the Fabrication and Characterization of Nuclear Reactor Fuel”. Each of these applications is hereby incorporated herein by reference in its entirety. Nuclear energy continues to be an important source of energy for the United States and many countries around the world, as nuclear fuel can provide greater amounts of energy over long time periods without many of the problems associated with fossil fuel use, such as greenhouse gas emissions. The inherent risks in using and storing nuclear fuel sources, the need for ensuring safe operation of nuclear reactors, and the risks of nuclear fuel being misused to create weapons continue to drive innovation in developing safe and secure nuclear fuel technologies. Various shortcomings of the prior art are overcome, and additional advantages are provided through the provision, in one aspect, of a nuclear fuel structure which includes a plurality of fibers arranged in the nuclear fuel structure and a multilayer fuel region within at least one fiber of the plurality of fibers. The multilayer fuel region includes an inner layer region with a nuclear fuel material, an outer layer region encasing the nuclear fuel material. In another aspect, also provided is a method of facilitating fabricating a nuclear fuel structure, where the facilitating fabricating includes providing a plurality of fibers arranged in the nuclear fuel structure and forming a multilayer fuel region within at least one fiber of the plurality of fibers. The multilayer fuel region formed includes an inner layer region having a nuclear fuel material, and an outer layer region encasing the nuclear fuel material. Additional features and advantages are realized through the techniques of the present invention. Other embodiments and aspects of the invention are described in detail herein and are considered a part of the claimed invention. Aspects of the present invention and certain features, advantages, and details thereof, are explained more fully below with reference to the non-limiting examples illustrated in the accompanying drawings. Descriptions of well-known materials, fabrication tools, processing techniques, etc., are omitted so as not to unnecessarily obscure the invention in detail. It should be understood, however, that the detailed description and the specific examples, while indicating aspects of the invention, are given by way of illustration only, and are not by way of limitation. Various substitutions, modifications, additions, and/or arrangements, within the spirit and/or scope of the underlying inventive concepts will be apparent to those skilled in the art from this disclosure. Reference is made below to the drawings, which are not drawn to scale for ease of understanding, wherein the same reference numbers used throughout different figures designate the same or similar components. Nuclear energy production continues to be important in many countries to meet current and predicted future energy demands. Nuclear fuel materials, such as uranium and uranium-based compounds, have a much greater energy density than other energy sources such as fossil fuels, and may have energy densities over a million times greater than, for example, gasoline or coal fuels. Safe handling and storing of nuclear fuel materials within nuclear reactors, as well as prevention of nuclear reactor accidents and meltdowns, continue to be important issues in nuclear energy production, as several well-known nuclear reactor accidents illustrate, such as the Three Mile Island incident, the Chernobyl disaster, and the recent Fukushima Daiichi meltdown. FIG. 1 is a simplified schematic of an example nuclear reactor 100, as may be part of a nuclear power plant. The nuclear reactor 100 depicted in FIG. 1 includes a reactor vessel 105 encased inside a reactor shield 110, which may be made of concrete or other material capable of withstanding high temperatures, so that material within the reactor shield 110 may be contained in the event of an accident. Reactor vessel 105 includes a core 120 in which nuclear fuel rods 130 and control rods 140 are disposed. Reactor vessel 105 also holds a coolant material 150, such as water or heavy water, which may be drawn into reactor 105 through a coolant inlet 155. Fuel rods 130 include a nuclear fuel material, frequently uranium dioxide fuel capsules, encased in a metal alloy fuel rod casing or cladding, such as a zirconium alloy metal casing. (Many nuclear fuel rods make use of zirconium alloy cladding materials produced under the trademark name Zircaloy.) The nuclear fuel material is bombarded with neutrons that can initiate a fission reaction in the nuclear fuel; the reaction splits the nucleus of the nuclear fuel material, releasing heat energy and additional neutrons that subsequently continue the fission reaction. The heat energy heats the coolant 160, which may then be pumped out of reactor vessel 105 via coolant outlet 165; the heated coolant 160 may be used, for example, to generate steam to drive turbines that in turn generate electrical energy (not depicted in FIG. 1 for simplicity). Core 120 may be made of one or more moderator materials, such as graphite, capable of slowing neutrons down to speeds at which the neutrons are more likely to react with the nuclei of the nuclear fuel material. Coolant material 150 may also act as a moderator material to slow down high-speed neutrons bombarding fuel rods 130. Control rods 140 may be used to variably control a fission rate of the nuclear fuel material in fuel rods 130. Control rods 140 may be made of one or more materials capable of absorbing neutrons without undergoing a fission reaction, such as boron, silver, cadmium, and/or indium. As control rods 140 are pulled out partially or fully from the reactor vessel 105, more neutrons may successfully bombard and react with nuclear fuel material in fuel rods 130, increasing energy output; conversely, by inserting the rods further or fully into reactor vessel 105, more neutrons may be absorbed and the nuclear fission reactions slowed to reduce energy production. In some nuclear reactors, fully inserting control rods 140 may be used to fully stop fission reactions in fuel rods 130. FIGS. 2A and 2B illustrate an example of a nuclear fuel assembly 200, as may be deployed in a nuclear reactor. Generally, nuclear fuel rods 130 and control rods 140, as depicted in FIG. 1, are not deployed separately in a nuclear reactor, but are more often deployed in a nuclear fuel assembly such as nuclear fuel assembly 200. Nuclear fuel rods 210 may be arrayed together with control rods 220 interspersed among the nuclear fuel rods 210, and both nuclear fuel rods 210 and control rods 220 bound by one or more spacers 230. The entire nuclear fuel assembly 200 may be deployed within a nuclear reactor vessel, such as reactor vessel 105 of FIG. 1, so that the nuclear fuel assembly is surrounded by moderator materials, such as the core 120, and coolant 150 may flow around fuel rods 210 and control rods 220. The control rods 220 may be coupled with controls within or outside the reactor vessel so that the control rods 220 may be variably withdrawn or inserted further into nuclear fuel assembly 200, as illustrated by FIG. 2B. Referring to FIG. 1 again, it may be noted that coolant 150 may serve several purposes within nuclear reactor 100. Coolant 160, being heated by the heat generated from fission reactions, carries away heat from the fuel rods 130 and core 120, and the heat energy of coolant 160 may be converted to electrical energy. As well, coolant 150, 160 may act as a moderator to slow neutrons to speeds at which they are more likely to successfully react with nuclear fuel material. In a loss of coolant accident (LOCA), coolant levels may drop within the reactor vessel 105 so that heat energy is no longer adequately conveyed out of the reactor, allowing heat to build within the reactor and potentially damage fuel rods 130, including the casing material. Although a loss of coolant may also represent a loss of moderator material, and thus result in a slow-down of fission reactions in the nuclear fuel material, heat may still build rapidly in the reactor vessel as the radioactive nuclear fuel materials, as well as radioactive by-products of fission reactions, continue to radiate heat energy into the reactor. Both the Three Mile Island disaster and the Fukushima Daiichi disaster began as loss of coolant accidents, resulting in a meltdown and highly exothermic oxidation of the zirconium alloy cladding, producing vast amounts of hydrogen gas and resulting in further heat build-up and a subsequent core meltdown. Once the cladding of fuel rods has been breached or cracked in a meltdown, the radioactive nuclear fuel and its radioactive fission by-products may be exposed and mix with other gases produced by the meltdown, allowing the radioactive materials to escape into the surrounding environment. Incidents such as Three Mile Island have spurred research into alternative and safer fuel rod cladding materials that can replace zirconium alloy cladding and other cladding materials. Silicon carbide (SiC), for example, may be one such alternative cladding material. Although silicon carbide is a relatively brittle material, its brittleness may be mitigated by the use of silicon carbide fiber (SiCf) reinforced silicon carbide matrix (SiCm) Ceramic-Matrix Composite (CMC) structures. FIGS. 3A-3B illustrate one example embodiment of a reinforced SiCf—SiCm CMC structure. FIG. 3A depicts one embodiment of a structure 300 including a tube 310, such as a monolithic SiC tube, with a plurality of reinforcing ribbons 320 of SiC fibers or tows 340 braided or wound around tube 310. Reinforcing ribbons may include, for example, a plurality of SiC fibers or tows 340 as illustrated by the close-up view of portion 330 of one ribbon 320. Fibers or tows 340 may include a silicon-carbide compound, such as SiCf. FIG. 3A illustrates one example of a braiding or winding process and pattern of ribbons 320, with additional alternating strands not included in order to simplify the figure and better illustrate the exemplary pattern. Other patterns and processes of braiding or winding ribbons may also be possible. For example, ribbons 320 could also be braided inside tube 310 (not shown in FIG. 3A for clarity of illustration). FIG. 3B illustrates structure 300 with multiple layers of ribbons 320 encasing tube 310, and embedded an outer layer 360 covering the ribbons 320 and tube 310. The roles of 310 and 360 may be reversed, in which case 360 is an outer tube encasing the multiple layers of ribbon 320 and then covered with an inner layer 310. For clarity of presentation, the former architecture is assumed without loss of generality. Outer layer 360 may also include SiC, in which case structure 300 may be a SiCf—SiCm CMC structure. Outer layer 360 may be provided, for example, by a chemical vapor infiltration (CVI) process and/or a chemical vapor deposition (CVD) process. Close-up view 351 shows a view of a portion of the plurality of fibers 340 as seen looking radially into the structure 300, illustrating how fibers 340 may be ideally arranged in an ideal ‘cross-weave’ type pattern to provide reinforcement to structure 300 while providing open porosities to facilitate CVD or CVI. Close-up views 352 and 353 show a cross-sectional view of a cut-away portion of the plurality of fibers 340, illustrating one way in which fibers 340 may be ideally arranged to layer over tube 310. The exemplary structure 300 illustrated by FIG. 3B depicts eight layers of ribbons 320 layered over tube 310 for illustration purposes only; in practice, many more layers of ribbons 320 may be provided over tube 310 for structural reinforcement, or fewer layers of ribbons 320 may be needed. Alternatively, tube 310 and matrix 360 may be reversed to reflect a winding or braiding of ribbons 320 inside tube 310. Reinforced CMC structures, such as the exemplary structure 300 illustrated by FIGS. 3A-3B, may have a toughness comparable to metals, such as zirconium alloys, but with much greater tolerance for high temperatures. For example, beta-phase stoichiometric silicon carbide (β-SiC) CMCs retain their strength at a temperature of 1500° C. under irradiation. As well, β-SiC materials may exhibit low oxidation rates at high temperatures, and may have a relatively low reactivity with nuclear fuel compounds such as uranium dioxide (UO2). However, even reinforced CMC structures are not without drawbacks. For example, although SiC compounds had been identified as possible substitutes for zirconium alloy cladding when the Fukushima power plants were built, silicon carbide cladding fuel rods were still expensive to produce and use. Unlike metal alloys, which may be readily welded to seal fuel pellets within a metal alloy cladding, SiC materials do not readily fuse together, making it difficult to fully hermetically seal nuclear fuel pellets within a silicon carbide tube. As well, SiC CMC reinforced cladding is generally made relatively thick in order to overcome the inherent brittleness of pure silicon carbide; however, metal cladding of current fuel rods can be made relatively thin compared to SiC CMC cladding. Thus, in order for many SiC CMC clad fuel rods to be used as replacements for metal alloy clad fuel rods in current nuclear reactors, the SiC CMC cladding would have to be kept to a thickness similar to metal cladding, but at such thicknesses the cladding may not provide adequate structural reinforcement to the fuel rod. Tristructural-isotropic (TRISO) nuclear fuel may address some of these shortcomings. TRISO nuclear fuel encapsulates nuclear fuel in multiple spherical layers enclosed in a SiC sphere. The spherical design, however, provides a relatively low ratio of nuclear fuel volume fraction, requiring higher enrichments and more frequent replacement, thereby increasing the burden of storing spent nuclear fuel safely. As well, not every silicon carbide CMC may be suitable for use as cladding, but those CMCs that are suitable present challenges and drawbacks as well. For example, one of the few SiCf tow used to reinforce CMC materials currently being used to develop nuclear fuel rod structures is Hi-Nicalon Type-S (HNS), a commercially available β-SiCf compound that sufficiently approaches stoichiometry and that can withstand high doses of neutron bombardment during use in a nuclear reactor. However, HNS fibers typically do not form a well-ordered arrangement of continuous fibers as shown in the close-up views 351, 353 depicted in FIG. 3B. Instead, FINS fibers tend to twist and tangle, forming clumps of silicon carbide and leaving spaces or voids within the braided tow structure around tube 310. These problems occur regardless of the specific process used to form and deposit the HNS fibers, whether by chemical vapor infiltration (CVI) and/or chemical vapor deposition (CVD), polymer infiltration and pyrolysis (PIP), or melt infiltration processes. This tendency of HNS fibers to tangle and clump may also reduce the resulting CMC 300 fiber volume fraction in some portions of the braided fiber structure around tube 310, leaving those portions more susceptible to cracking. Formation of HNS reinforced CMC cladding by a melt infiltration process also tends to form pockets of silicon along portions of the HNS fibers; as silicon expands once it turns solid, the silicon pockets become weak points in the CMC that are highly susceptible to cracking. Thus, generally stated, disclosed herein is a nuclear fuel structure or cladding structure which includes a plurality of fibers arranged in the nuclear fuel structure and a multilayer fuel region within at least one fiber of the plurality of fibers. The multilayer fuel region includes an inner layer region with a nuclear fuel material, an outer layer region encasing the nuclear fuel material. As used herein, the term “fiber” can refer to any elongated structure along which discrete regions may be formed. This may include, but is not limited to, any CMC structure(s), filaments or filamentary structures, and other similar structures of the type disclosed herein. Filamentary structures may include, for example, structures that curl around a helix or branch out into multiple filaments or fibers. When used as cladding, the plurality of fibers may contain no fiber having a multilayer fuel structure. In any instance, the plurality of fibers may also contain elements intended to interact with nuclear reactions, for example material included as burnable poisons. In one embodiment, the plurality of fibers are arranged in the nuclear fuel structure to provide structural reinforcement to at least a portion of the nuclear fuel structure. The nuclear fuel structure may include an inner rod or tube structure, and the plurality of fibers may be wrapped around the inner rod or tube structure to facilitate providing structural reinforcement. As one or more of the plurality of fibers may also include a multilayer fuel region or regions within the one or more fibers, a CMC tube reinforced with a plurality of fibers may not only serve as stand-alone nuclear fuel but may also serve as cladding containing the additional nuclear fuel pellets. In another embodiment, the inner layer region having the nuclear fuel material may be a first inner region, and the structure may also include a second inner layer region below the first inner layer region. The second inner layer region may include a material selected to capture by-products, such as gaseous by-products, of nuclear fission reactions occurring in the nuclear fuel material. As exemplified in FIG. 11, the material of the second inner layer region 1102 may be, in one example, nanoporous carbon deposited upon a scaffold filament 1101. In yet another embodiment, the multilayer fuel region is one multilayer fuel region of a plurality of discrete multilayer fuel regions disposed along the at least one fiber. The plurality of discrete multilayer fuel regions may each have a respective inner layer region of nuclear fuel material and a respective outer layer region encasing the nuclear fuel material. The plurality of discrete multilayer fuel regions may be formed over a core filament along the length of the at least one fiber. In yet another embodiment, the fibers may include, in addition to or instead of a multilayer fuel region, an additional material layer selected to interact with nuclear fuel material in order to moderate or delay nuclear fission. In one example the additional material layer may include carbon as a moderator. In another example the additional material layer may include boron or gadolinium as a nuclear poison or burnable poison to delay nuclear fission. In another aspect, also disclosed herein is a method of facilitating fabricating a nuclear fuel structure, where the facilitating fabricating includes providing a plurality of fibers arranged in the nuclear fuel structure and forming a multilayer fuel region within at least one fiber of the plurality of fibers. The multilayer fuel region formed includes an inner layer region having a nuclear fuel material, and an outer layer region encasing the nuclear fuel material. In one example, forming at least one layer of the multilayer fuel region may be accomplished by a chemical vapor deposition (CVD) process. In another example, the method may also include providing an inner rod or tube structure of the nuclear fuel structure, and arranging the plurality of fibers to wrap around the inner rod or tube structure so that the plurality of fibers provide structural reinforcement to the nuclear fuel structure. FIG. 4A depicts one embodiment of a fiber 410 that includes a multilayer fuel region 420. A cutaway view 415 of multilayer fuel region 420 is provided to show clearly the multiple layers of multilayer fuel region 420. Multilayer fuel region 420 has an inner layer region 423 that includes nuclear fuel material, such as uranium or a uranium compound, and an outer layer region 424 that encases the nuclear fuel material of inner layer region 423. The nuclear fuel material of inner layer region 423 may be uranium dioxide (UO2), as uranium dioxide may be used frequently as a nuclear fuel in many nuclear fuel structures. However, because the inner layer region 423 is completely, hermetically sealed by outer layer region 424, uranium, plutonium or fissile material-containing compounds with a higher fissile material density than that of uranium dioxide may also be used, such as uranium mononitride (UN), uranium carbide (UC), and uranium silicide (U2Si3). Outer layer region 424 may include, for example, pyrolytic carbon (PyC), and/or may include silicon carbide. In the exemplary multilayer fuel region depicted in FIG. 4A, inner layer region 423 may be considered a first inner layer region 423 and multilayer fuel region 420 may include a second inner layer region 422 disposed below the first inner layer region 423. The second inner layer region may include a material, such as nanoporous carbon, selected to capture by-products of nuclear fission reactions, such as gaseous by-products, occurring in the nuclear fuel material of inner layer region 423. Outer layer region 424 may include, for example, pyrolytic carbon (PyC), and/or may include silicon carbide. Outer layer region 424 may, in one embodiment, be a first outer layer region 424, and multilayer fuel region 420 may include a second outer layer region. Second outer layer region may include a material that adds further functionality to fiber 410. For example, a second outer layer region may include a nuclear poison material, such as boron or gadolinium, that may delay activity of the nuclear fuel material of inner layer region 423. Second outer layer region, in another example, may include a material, such as carbon, that acts as a moderator of nuclear fission activity of the nuclear fuel material of inner layer region 423. In another example, second outer layer region may include an interphase layer for structural integration with a SiC matrix. Multilayer fuel region 420 may be formed over a core region 421. Core region 421 may be, for example, a silicon carbide filament along a length of fiber 410, over a portion of which the multiple layers of multilayer fuel region 420 are formed. Core region 421 generally may include any ceramic material, such as silicon carbide or hafnium carbide. Finally, an overcoat layer 425 may further encase the multiple layers of multilayer fuel region 420 and core region 421. Overcoat layer 425 may itself be a multilayer overcoat. Overcoat layer 425 may include a ceramic material, such as silicon carbide or hafnium carbide, and may include additional overcoat layers that further functionalize the fiber. For example, an additional layer may be a layer of pyrolytic carbon (PyC) applied as a CMC interphase layer. In another example, an additional layer may include boron nitride applied to serve both as an interphase layer and a burnable nuclear poison layer to inhibit nuclear fission reactions in nuclear fuel material 423. Multilayer fuel region 420 may be one multilayer fuel region of a plurality of discrete multilayer fuel regions 420 disposed along fiber 410, as illustrated in FIG. 4A. Each discrete multilayer fuel region 420 may have a respective inner layer region 423 made of the nuclear fuel material, and a respective outer layer region 424 encasing the nuclear fuel material. The plurality of discrete multilayer fuel regions may be disposed over core region 421 along the length of the at least one fiber 410. Overcoat layer 425 may encase the plurality of discrete multilayer fuel regions 420 and core filament 421, resulting in a completed fiber 410. Overcoat layer 425, as depicted in FIG. 4A, may have a substantially uniform thickness along the length of fiber 410. As illustrated by FIG. 4A, the plurality of discrete multilayer fuel regions 420 appear as “beads” disposed along fiber 410, as the plurality of discrete multilayer fuel regions have a greater thickness than regions of fiber 410 including core filament 421 and overcoat 425 without a multilayer fuel region. FIG. 4B depicts an alternative embodiment of a fiber 450 that includes a multilayer fuel region 460, in which the fiber 450 has a substantially uniform thickness, so that multilayer fuel region 460 appears to be embedded within fiber 450. In the alternative embodiment of FIG. 4B, first inner layer region 463, second inner layer region 462, outer layer region 464, and overcoat 465 may have varying thicknesses over a length of multilayer fuel region 460, with a thickness of a layer tapering at either end of multilayer fuel region 460. In one exemplary embodiment, core region 461 may be provided to have a variable thickness prior to providing the layers of multilayer fuel region 460. In another exemplary embodiment, core region 461 may have a substantially uniform thickness, and multilayer fuel region 460 may be formed over core region 461 and may initially have a beaded appearance as depicted in FIG. 4A, but overcoat layer 465 may be provided with a variable thickness over multilayer fuel region 460 and core region 461, resulting in fiber 450 having a substantially uniform thickness along a length of fiber 450. The exemplary embodiments of fibers 410 and 450, as depicted in FIGS. 4A and 4B, are only two possible embodiments of a fiber including a multilayer fuel region 420, 460, and including a plurality of discrete multilayer fuel regions 420, 460. Variations of the examples depicted, as well as alternative multilayer fuel region embodiments, may be possible and contemplated within the scope of the disclosure herein. For example, fibers such as fibers 410/450 may have other functionality added by either or both of coating or doping. Specifically, fibers may embed materials intended to either enhance or inhibit nuclear fission reactions, either temporarily or permanently. In one example, fibers can be coated or doped with materials intended to enhance nuclear reaction, which transmute into isotopes that are themselves fissionable. Examples of such isotopes are Thorium-232 and Uranium-238. In another example, fibers can be coated or doped with neutron-absorbing isotopes that inhibit nuclear reactions. Among such isotopes—known as “nuclear poisons”—are temporary inhibitors called “burnable poisons”, such as boron and gadolinium. Other elements are long-term inhibitors, such as hafnium. In one example, dopant may be added to a fiber or fibers during fabrication, and become part of a microstructure of the fiber, either as interstitial elements, substitution elements, or concentrated at grain boundaries. In yet another example, functional coatings can be coated locally over the entire length of a fiber. A coating can also be applied to the fibers in compound form, where the coating can serve to meet additional functional requirements. For example, boron can be added in the form of boron nitride, which can also serve as a lubricant interphase in a ceramic matrix composite. Boron can also be added as boron carbide. Similarly, hafnium can be coated as hafnium carbide and serve as a high-temperature environmental barrier. Without any loss of generality, any references herein to a fiber 410 and/or multilayer fuel region 420, as depicted in FIG. 4A, may also be considered to be applicable to a fiber 450 and/or multilayer fuel region 460, as depicted in FIG. 4B, and vice versa. FIG. 4C depicts a radial cross-section view through multilayer fuel region 460 of fiber 450 of FIG. 4B, illustrating exemplary thicknesses of the different layers of multilayer fuel region 460. A similar cross-section view and exemplary thicknesses may apply to multilayer fuel region 420 of FIG. 4A. Core region 461 may be a ceramic material filament, such as a silicon carbide filament, with a thickness 461a in a range of about 5 μm to about 10 μm measured radially from the center of core region 461 (resulting in core region 461 having a diameter ranging from about 10 μm to about 20 μm). First inner layer region 463, having the nuclear fuel material, may have a thickness 463a ranging from about 3 μm to about 30 μm or more. Second inner layer region 462, disposed between the nuclear fuel material 463 and the core region 461, may have a thickness 462a ranging from about 0.5 μm to about 1.5 μm or more. Outer layer region 424, encasing the nuclear fuel material of first inner layer region 463, may have a thickness 464a ranging from about 1 μm to about 2 μm. Overcoat layer 465 over multilayer fuel region 460 may have a thickness ranging from about 1 μm to about 2 μm or more, if desired. Overcoat layer 465 may have a similar thickness over portions of fiber 450 that do not have a multilayer fuel region (i.e., portions of the fiber 450 that include portions of core region 461 and overcoat layer 465 applied over core region 461), or may have a greater thickness over such portions of fiber 450. Multilayer fuel region 460 may thus have an overall thickness 460a ranging from about 10 μm to about 22 μm or more, depending on the thicknesses selected for the layers of multilayer fuel region 460, as measured radially from the center of core region 461 to the outer surface of overcoat layer 465. FIG. 4D may illustrate one embodiment of a portion of multiple fibers 410, for example multiple scaffold fibers 410 as illustrated, for example, in FIG. 10, and may also illustrate multiple fibers 410 including pluralities of discrete multilayer fuel regions 420, arrayed to form a ribbon or tow that may be wrapped around an inner rod structure of a nuclear fuel structure, as further discussed below and illustrated further in FIG. 5A. For clarity the fiber 410 and multilayer fuel region 420 illustrated in FIG. 4A is shown in FIG. 4D to illustrate clearly the plurality of discrete multilayer fuel regions 420 disposed along fibers 410, with the plurality of discrete multilayer fuel regions 420 separated by non-fuel portions 430 of fibers 410 that do not contain multilayer fuel regions, although it is to be understood that multiple fibers 450 as illustrated in FIG. 4B may similarly be arrayed in a similar ribbon or tow. In exemplary embodiments in which the plurality of discrete multilayer fuel regions 420 are disposed substantially uniformly over a length of fiber 410, any one of the plurality of discrete multilayer fuel regions 420 may, for example, be about 5 mm long, and any one non-fuel portion 430 may, for example, be about 5 mm long. The plurality of discrete multilayer fuel regions 420 may thus cover about half or 50% of an overall length of one fiber of the multiple fibers 410. The length dimensions provided are by way of example only, as the plurality of discrete multilayer fuel regions may be formed to have greater or smaller lengths, and may be separated by larger or smaller non-fuel portions 430 along fiber 410. For example, multilayer fuel regions 420 may be formed to a length of about 6.5 mm, and the non-fuel portions 430 separating the plurality of discrete multilayer fuel regions 420 may be about 3.5 mm in length. In this example, the plurality of discrete multilayer fuel regions 420 may cover about 65% or more of a length of fiber 410. FIG. 5A depicts one embodiment of a nuclear fuel structure 500 or cladding structure 500 with a plurality of fibers 410/450, such as in the examples of FIGS. 4A-4B, arranged within nuclear fuel structure 500 or cladding structure 500. Nuclear fuel structure 500 has an inner rod or tube structure 520 and an outer layer 560, similar to structure 300 of FIG. 3B, and the plurality of fibers 410/450 may be arranged to wrap around inner rod or tube structure 520 to facilitate providing structural support to nuclear fuel structure 500. Similar to structure 300 of FIG. 3B, the respective roles of tube 520 and outer layer 560 can be swapped, in which case the plurality of filaments 410/450 are wound inside an outer tube 560 and covered with an inner layer 520 to provide structural support to nuclear fuel structure 500. For clarity and simplicity, the former architecture of inner rod or tube 530 and outer layer 560 is assumed herein below without loss of generality. Hence, multiple fibers 410/450 of the plurality of fibers include pluralities of discrete multilayer fuel regions 420/460, similar to multilayer fuel regions 420/460 of FIGS. 4A-4D, as illustrated more clearly in close-up cross-sectional views 530 and 550 of a portion of the plurality of fibers 410/450. Thus, the plurality of fibers 410/450 arranged in nuclear fuel structure 500 or cladding structure 500 may provide both cladding for nuclear fuel pellets and/or provide the nuclear fuel material of nuclear fuel structure 500 and structural reinforcement, or cladding, for nuclear fuel structure 500. The close-up cross-sectional views 530 and 550 show one possible arrangement of segments of fibers 430 without fuel regions alternating with segments of fibers that include multilayer fuel regions 460, as the fibers might appear if one were to cut longitudinally along the fibers wrapped around inner rod structure 520. It may be noted, however, that the alternating pattern depicted in FIG. 5A may not result everywhere in nuclear fuel structure 500, as fibers 410/450 need not be wrapped around inner rod structure 520 so as to produce such a symmetrical, alternating pattern of multilayer fuel regions 460 with non-fuel regions. In practice, a cross-sectional view 530, 550 of fibers 410/450 might have a random pattern of multilayer fuel regions 460 arrayed with non-fuel regions 430. The exemplary nuclear fuel structure 500 illustrated by FIG. 5A depicts eight layers of fibers 410/450 layered over inner rod or tube structure 420 for illustrative purposes only, and it may be understood that many more layers of fibers 410/450 including multilayer fuel regions may be provided to provide more nuclear fuel within nuclear fuel structure 500 and provide greater structural reinforcement to nuclear fuel structure 500. Referencing FIGS. 4A-4D and 5A again, fiber 410 or fiber 450 of FIGS. 4A-4B may provide a greater volume of nuclear fuel material for nuclear fuel structure 500 than a volume of nuclear fuel material possible for nuclear fuel rods in current use. The volume of nuclear fuel material that can be packed into nuclear fuel structure 500 may be a matter of volume fraction of the fiber 410/450 that is nuclear fuel, and a volume fraction of fiber 410/450 taken up by the composite (CMC) materials. These are respectively obtained from equations EQ. 1 and EQ. 2 below, where: uff and ff are respectively the fuel volume fraction of the fiber, and the fiber volume fraction of the composite, dc and f are respectively the fiber core and outer diameters, and d and D the nuclear fuel structure 500 inner and outer diameters, tn and tf are the respective thicknesses of the nanoporous carbon and fuel layers, δi and δo are the nuclear fuel structure's respective inner and outer layers of monolithic SiC thicknesses, n is the number of layers in the braid, c is the fraction of fiber length covered by fuel cells, and p is the pitch distance between adjacent filaments in a layer. u ff = 4 c ( d c + t n + t f ) f 2 t f ( EQ . 1 ) f f = n · π · ( d + δ i + δ o + n · f ) ( D 2 - d 2 ) p ( EQ . 2 ) For example, consider a fuel embedded in a 30 μm fiber, as shown in the example of FIG. 4C. If it is assumed that the fuel cells to cover 65% of the fiber's length, the volume fraction of the filament occupied by nuclear fuel is 33%. This is over 2.5 times the fuel packing density of TRISO. Similar to TRISO fuel, because the fuel is fully sealed in SiC, the fissile material content can be nearly doubled compared to UO2 by embedding UC, UN, U2Si3 or even U as nuclear fuel. Referring again to FIGS. 4A-4D and 5A, the issue of fiber packing density using the fibers described herein may be examined. Table 1 compares alternate designs for fiber volume fraction, and against TRISO for fuel volume fraction for various designs of tube inner diameter (‘ID’) and outer diameter (‘OD’). The analysis also assumes inner and outer monolithic SiC layer 500 μm thick sealing in the CMC tube, and an intra-layer pitch of 40 μm center to center between filaments. Although the embodiments described so far have assumed a tube configuration, alternative embodiments may include braiding over a monolithic SiC rod, which is what design No. 3 in Table 1 represents. Table 1 shows the superior fiber packing density afforded by the ribbon architecture introduced in FIGS. 3A-3B and 5A, comparing alternate embodiments or designs for fiber volume fraction and fuel volume fraction. Indeed, ceramic tow weaving or braiding seldom can produce fiber volume fractions reaching 30%, which is important for the structural strength and toughness of the CMC. The higher fiber volume fraction is achieved without exacerbating the “labyrinth effect” which typically prevents adequate infiltration by the matrix and results in unwanted voids in the CMC. The implementation suggested by the examples treated in would leave a well-controlled pore distribution of 10 μm between filaments, allowing for even diffusion of the matrix precursors throughout the volume of the CMC. More importantly for nuclear energy applications, the proposed approach allows fuel packing densities that are up to 3 times as much as TRISO spherical fuel, with the added benefit—assuming a tube—that heat could be convectively extracted from both the inner and outer surfaces, hence enhancing heat transfer. A final, but important remark, is that embodiments including tube designs, such as tube designs 1 and 3 in Table 1 below, could be made as drop-in replacements for Zircaloy fuel rods in light water reactors (LWR). TABLE 1Comparing alternate embodiments of a nuclear fuel structurefor fiber volume fraction and fuel volume fraction.IDODFiber Fuel volume#(in.)(in.)volumefractionReferenceTRISOLayersfraction6.5%11/41/272 32% 10.5%21/2117849.5% 16.3%31/81/212546.3%15.25% Referring to FIGS. 5A-5E, through the use of a nuclear fuel structure such as nuclear fuel structure 500 it may be possible to achieve a fuel assembly design for which a fuel volume fraction exceeds an annulus minimum areal fuel load q of about 0.443576. Achieving such a fuel assembly design may be characterized as a paving problem, in which the paving problem may be parameterized as described below. For example, as depicted in part by FIG. 5B, it may be assumed that an integral fuel tube will be in a square pattern with a center-to-center distance m. The inner and outer diameters of the integral fuel tube are d and respectively and the areal fuel loading in the tube cross-section is q. Further ρ and μ designate respectively: ρ = D m ( EQ . 3 ) μ = d D ( EQ . 4 ) The paving problem may be reduced to a single tile, with an areal fuel load given by EQ. 5 below:UVFt=(π/4)qρ2(1−μ2) (EQ. 5) EQ. 5 governs the design space of feasible solutions for a fuel assembly. Assuming the fuel assembly is paved with such tiles, then the tile's areal fuel load is the same as that of the FA. FIG. 5B depicts an example cross-section of a fuel assembly 501 including fuel rods 560. For the example 5×5 grid of distributed over a 214 mm×214 mm cross-section of fuel assembly 501, as depicted in FIG. 5B, a center-to-center distance 563 m=42.8 mm. As well, for the example fuel assembly 501, an inner to outer tube diameter ratio μ≈⅓, so that the tube's wall thickness is of the order of the inner diameter. As an illustrative example, we pick an annulus ID 562 and OD 561 respectively at 12.6 mm and 41.9 mm, i.e. ρ=0.978 and μ=0.3. The tables below show two sample design configurations that exceed the required annulus areal fuel load of q=0.443576. The designs differ only in their constitutive fibers and the corresponding monolithic layer. The fuel assembly areal fuel loads for these designs are 30.88% and 30.44% respectively. Both are greater than a benchmark areal fuel load of 30.36%. TABLE 2Comparing alternate design configurations of fuel assemblyhaving annulus arreal fuel load q > 0.443576.Annulus ID12.6mmAnnulus ID12.6mmAnnulus OD41.9mmAnnulus OD41.9mmMonolithic Layer485.μmMonolithic Layer535.μmNumber of Layers171LayersNumber of Layers97LayersFiber Core Diameter15μmFiber Core Diameter15μmNanoporous Carbon2.5μmNanoporous Carbon5μmLayer ThicknessLayer ThicknessFuel Layer Thickness26μmFuel Layer Thickness47.5μmFiber Diameter80μmFiber Diameter140μmPitch87.5μmPitch145μmFiber Volume0.670533%Fiber Volume 0.70293%FractionFractionTube Areal Fuel Load0.451101%Tube Areal Fuel Load0.444621% As the share of fuel assembly cross-section functionally allocated to fuel is increased, the share of cross-section allocated to coolant flow may be reduced compared to other fuel assembly designs. The total convective perimeter may also be reduced to 4.28 m, a 45% reduction compared to other designs. This may require a two-fold improvement of convective heat transfer, which can be achieved with a flow increase, an increased operating temperature, or a combination thereof. Fortunately in this case, higher operating temperatures are not only permitted by the material, they are also desirable for thermal efficiency. It is also worth noting that with current fuel pellet-based design, conductive heat transfer is a limiting factor due to the poor thermal conductivity of UO2. Conductive heat transfer is no longer limiting in the case of CMC containing fuel in fibers as conductivity is increased by about two orders of magnitude by the SiC matrix and fibers. FIGS. 5C-5E depict cross-sectional views of alternative design variants of the fuel assembly depicted in FIG. 5B. The alternative designs depicted by FIGS. 5C-5E may have a similar fuel assembly areal content with different convective perimeters. Referring again to FIG. 5A, any of the described embodiments of nuclear fuel structure 500, as well as alternative embodiments, may provide several additional benefits in addition to those described above. In fuel rods currently in use, a structural breach in the cladding or casing may risk exposing a large amount of the nuclear fuel contained in the fuel rod, and potentially may expose all of the radioactive nuclear fuel to the surrounding environment. Because the nuclear fuel material of nuclear fuel structure 500 is embedded inside the plurality of fibers 410/450 in a plurality of discrete and separated multilayer fuel regions, rather than being deployed inside a tube, any breach in the structure of nuclear fuel structure 500 may only expose a small amount of the total nuclear fuel material, minimizing the amount of hazardous radioactive material that may escape into the surrounding environment in the event of an accident. As well, it may be extremely difficult and extremely dangerous for nuclear fuel material to be recovered from nuclear fuel structure 500 for misuse in making weapons, as the nuclear fuel material in inner layer regions 423 is embedded within carbon and silicon carbide materials and formed over carbon and silicon carbide layers as well. Finally, because nuclear fuel is embedded and sealed within the plurality of fibers before the fibers are wrapped around inner rod structure 420 of the nuclear fuel structure 500, there may be no need to provide a cap to seal nuclear fuel structure 500. This may eliminate problems with trying to fuse a silicon carbide sealing cap to ends of a silicon carbide fuel structure or cladding. As well, pure stoichiometric β-SiC fibers are capable of being resistant for long periods of time (>8 years) in close proximity to nuclear fuel. To date, the only SiC fibers to have achieved the required stoichiometry and purity have been deposited by CVD on a tungsten or carbon core filament. Such fibers, however, come only in large diameters (90 or 140 μm) that are unsuitable for the kind of braiding or weaving as disclosed herein, let alone the presence of a foreign core filament that would not necessarily survive the nuclear reactor environment. As discussed previously, a source of SiCf tows approaching stoichiometry and purity is HNS. There are two issues of critical import associated with HNS: Composition, and foreign sourcing. HNS fibers are produced by spinning a preceramic polymer, which must then be processed at great expense of money and energy to reduce impurity levels. These extreme levels of processing drive the cost of HNS to roughly $10,000/lb. yet only reduce oxygen contents down to 0.2-0.7%, which is barely acceptable for long-lived nuclear applications. The limitation on oxygen content is inherent to chemical processes that only achieve purity in the limit. It is therefore likely to recur with any preceramic polymer approach to SiC. The issue of foreign sourcing has also long been a frustration to the US government and industry. As disclosed herein, a CVD process is capable of producing a wide range of filament diameters (10-100 μm), without the requirement of a core filament. The nuclear fuel structures and processes for making such may include printing 3C-βSiC filaments that exhibit stoichiometry and purity in a single step, and that may not require foreign sourcing. Referring again to FIG. 5A, in one alternative embodiment the plurality of fibers 410/450 may also include multiple sensor fibers. The multiple sensor fibers may be arranged with the multiple fibers including the plurality of discrete multilayer fuel regions. Sensor fibers may include, for example, silicon carbide filaments coated with zirconium diboride (ZrB2), and may include, as another example, silicon carbide filaments coated with hafnium diboride (HfB2). In one embodiment, multiple zirconium diboride coated fibers may be braided with multiple hafnium diboride coated fibers, wherein each overlap or contact point between a zirconium diboride fiber and a hafnium diboride fiber provides a high temperature thermocouple. The resulting braid would form a square matrix of embedded thermal receptors capable of mapping temperature throughout the structure. In exemplary embodiments the boron of the zirconium diboride and hafnium diboride includes the 11B isotope to ensure that the fibers may be compatible with nuclear reactors. FIGS. 6A-6E depict one example of a part of a process for forming a nuclear fuel structure, such as nuclear fuel structure 500, including forming a multilayer fuel region within at least one fiber of a plurality of fibers. The process depicted in FIGS. 6A-6E may be described as forming a multilayer fuel region by spot-coating, or depositing a layer of material of a specified thickness over a given length of the at least one fiber. FIG. 6A depicts a portion of a fiber 600 including a core filament 610. Core filament 610 may be a core region, as described above, and may include a ceramic material such as silicon carbide or hafnium carbide. In the example depicted in FIG. 6A, core filament 610 may have a substantially uniform thickness. FIG. 6B depicts core filament 610 of FIG. 6A having a material layer 620 deposited over a portion of core filament 610, where material layer 620 includes a material selected to absorb gaseous by-products of nuclear fission reactions occurring in a nuclear fuel material. Material layer 620 may correspond to a second inner layer region 422 depicted in the example of FIG. 4A. In exemplary embodiments material layer 620 may include nanoporous carbon. A material layer 620 of nanoporous carbon may be provided, for example, by chlorine etching of a part of core filament 610. Alternatively, a material layer 620 of nanoporous carbon may be spot-coated onto core filament 610. FIG. 6C depicts fiber 600 of FIG. 6B with a nuclear fuel material 630 deposited over at least a part of a length of material layer 620. Nuclear fuel material may include one or more fissile materials such as uranium, plutonium and/or related compounds, for example uranium dioxide, uranium mononitride, uranium carbide, and/or uranium silicide. Nuclear fuel material 630 may be provided, for example, by an LCVD process using, for example, uranium hexafluoride (UF6) as a precursor for forming the nuclear fuel material layer. Alternatively, uranium hexafluoride (UF6) may be used as a precursor for LCVD along with appropriate precursors such as ammonia, methane or chorosilane for the formation of a UN, UC or U2Si3 layer 630. Nuclear fuel material 630 in FIG. 6C may correspond to a first inner layer region 423 of FIG. 4A. FIG. 6D depicts fiber 600 of FIG. 6C with an outer layer region 640 deposited over nuclear fuel material 630 and material layer 620 of FIG. 4C. In exemplary embodiments, outer layer region 640 encases nuclear fuel material 630 to seal the nuclear fuel within fiber 600. Outer layer region 640 may include, for example, pyrolytic carbon deposited by, in one instance, an LCVD process. Outer layer region 640 and inner layer region 630 including the nuclear fuel material, at least, form a multilayer fuel region of fiber 600. In one embodiment, outer layer region 640 may be a first outer layer region 640, and a second outer layer region may be included. Second outer layer region may be added to add further functionality to fiber 600. For example, a second outer layer region may include a nuclear poison material, such as boron or gadolinium, that may delay activity of the nuclear fuel material of inner layer region 630. Second outer layer region, in another example, may include a material, such as carbon, that acts as a moderator of nuclear fission activity of the nuclear fuel material 630. In another example, second outer layer region may include an interphase layer for structural integration with a SiC matrix. FIG. 6E depicts fiber 600 of FIG. 6D with an overcoat layer 650 deposited over fiber 600, covering both core filament 610 and the multilayer fuel region. Overcoat layer 650 may be provided, for example, by an LCVD process. In the example embodiment illustrated by FIG. 6E, overcoat layer 650 may have a substantially uniform thickness over fiber 600, resulting in the multilayer fuel region having a “beaded” appearance, as shown. In an alternative embodiment, overcoat layer may be formed to have a variable thickness over fiber 600, which may result in greater deposition of overcoat layer 650 over core filament 610 and lesser deposition of overcoat layer 650 over the multilayer fuel region. In such an alternative embodiment, the resulting fiber 600 may have a uniform appearance, as depicted in FIG. 7E. FIGS. 7A-7E depict an alternative embodiment of the process illustrated by FIGS. 6A-6E, in which core filament 710 of fiber 700, as shown in FIG. 7A, has a variable thickness over a length of fiber 700. For example, core filament 710 may have a smaller thickness over first portions 711 of core filament 710, and greater thickness 712 over second portions 712 of core filament 710. As illustrated in FIGS. 7B-7E, the layers of a multilayer fuel region may be formed over first portions 711 so that the multilayer fuel region, as finally formed, has a thickness substantially equal to the thickness of second portions 712. FIG. 7B depicts core filament 710 of FIG. 7A having a material layer 720 deposited over first portion 711 of core filament 710, where material layer 720 includes a material selected to absorb by-products of nuclear fission reactions occurring in a nuclear fuel material. Material layer 720 may correspond to second inner layer region 462 as depicted in the example of FIG. 4B. In exemplary embodiments material layer 720 may include nanoporous carbon. A material layer 720 of nanoporous carbon may be provided, for example, by chlorine etching of a part of core filament 710. Alternatively, a material layer 720 of nanoporous carbon may be provided by spot-coating. FIG. 7C depicts fiber 700 of FIG. 7B with a nuclear fuel material 730 deposited over material layer 720. Nuclear fuel material may include one or more fissile materials such as uranium, plutonium and/or related compounds, for example uranium dioxide, uranium mononitride, uranium carbide, and/or uranium silicide. Nuclear fuel material 730 may be provided, for example, by an LCVD process. Nuclear fuel material 630 may be provided by an LCVD process using, for example, uranium hexafluoride (UF6) as a precursor for forming the nuclear fuel material layer. Alternatively, uranium hexafluoride (UF6) may be used as a precursor for LCVD along with appropriate precursors such as ammonia, methane or chorosilane for the formation of a UN, UC or U2Si3 layer 730. Nuclear fuel material 730 of FIG. 7C may correspond to inner layer region 463 of FIG. 4B. FIG. 7D depicts fiber 700 of FIG. 7C with an outer layer region 740 deposited over nuclear fuel material 730 and material layer 720 of FIG. 7C. In exemplary embodiments, outer layer region 740 encases nuclear fuel material 730 to seal the nuclear fuel within fiber 700. Outer layer region may include, for example, pyrolytic carbon deposited by, in one instance, an LCVD process. Outer layer region 740 and inner layer region 730 including the nuclear fuel material, at least, form a multilayer fuel region of fiber 700. Multilayer fuel region of fiber 700 may now have a thickness substantially equal to the thickness of second portions 712 of core filament 710. In one embodiment, outer layer region 740 may be a first outer layer region 740, and a second outer layer region may be included. Second outer layer region may be added to add further functionality to fiber 700. For example, a second outer layer region may include a nuclear poison material, such as boron or gadolinium, that may delay activity of the nuclear fuel material of inner layer region 730. Second outer layer region, in another example, may include a material, such as carbon, that acts as a moderator of nuclear fission activity of the nuclear fuel material 730. In another example, second outer layer region may include an interphase layer for structural integration with a SiC matrix. FIG. 7E depicts fiber 700 of FIG. 7D with an overcoat layer 750 deposited over fiber 700, covering both core filament 710 and the multilayer fuel region. Overcoat layer 750 may be provided, for example, by an LCVD process. The resulting fiber 700 may have a substantially uniform thickness over a length of fiber 700 following provision of overcoat layer 750. Multilayer fuel region of fiber 700 may thus be embedded within fiber 700. The embodiments of the processes depicted in FIGS. 6A-6E and FIGS. 7A-7E may not only be applied to one fiber, but may be applied to multiple fibers arrayed together in a ribbon or tow-like structure, so that each layer of a multilayer fuel region for one fiber is also formed over the other multiple fibers, as shown in FIG. 8. Each step of layer formation may be carried out in a separate deposition tool, an example of which is depicted in FIG. 8, and the multiple fibers may be conveyed from one deposition tool to the next for the next layer to be deposited. As well, the deposition tool or tools may be controlled to automatically stop and start deposition of layers over the multiple fibers, thus allowing for a plurality of discrete multilayer fuel regions to be formed along the lengths of the multiple fibers while also automatically forming non-fuel regions of the fiber that separate the plurality of discrete multilayer fuel regions. FIG. 8 depicts one example of a deposition tool 800 that may be used to form a layer of a multilayer fuel region of at least one fiber, or respective layers of respective multilayer fuel regions for a plurality of fibers. Deposition tool 800 may, for example, be a laser chemical vapor deposition (LCVD) tool. Deposition tool 800 may convey multiple fibers 830 through a conveyer inlet 815 into a deposition chamber 830. Deposition chamber may contain one or more precursor gases that may facilitate forming a layer of a multilayer fuel region. A laser 820 may be provided, through a focusing lens or window 825, to be incident on multiple fibers 840 as the multiple fibers 840 are conveyed through the deposition chamber. As the laser 820 interacts with the multiple fibers 840 and precursor gases, the desired layer of a multilayer fuel region may be deposited over portions of the multiple fibers 845. In one example, the laser may be started and stopped at defined intervals as the multiple fibers pass through the deposition tool 800, thus controlling formation of multilayer fuel regions over portions of the multiple fibers 845 and leaving other portions unprocessed (i.e., non-fuel regions of the multiple fibers). The processed multiple fibers 845 may then be conveyed out of the deposition tool 800. The multiple fibers 845 may then be conveyed to another deposition tool, in which another layer of the discrete multilayer fuel regions will be formed, or may be finished and conveyed out of the tool entirely. The resulting multiple fibers may then be further arranged in a nuclear fuel structure, such as nuclear fuel structure 500, to be wrapped around an inner rod structure, as described herein. For clarity, FIG. 8 includes close-up views 810 and 815 of the multiple fibers 840, 845 as the multiple fibers undergo LCVD processing to deposit a layer of the multilayer fuel regions. FIG. 9 depicts one embodiment of a process 900 for forming a plurality of fibers arranged in a lattice 910. Magnified views 901 and 902 depict a filament lattice 910 including a plurality of filaments 920 undergoing treatment by a plurality of laser beams 930 in a LCVD process. Plurality of laser beams 930 induce a plasma 940 around a tip of the plurality of filaments 920, adding material to the plurality of filaments 920 to form the plurality of fibers. The plurality of fibers may, in turn, be the plurality of fibers depicted in any of FIGS. 3A-8, as described above. The LCVD process of FIG. 9 may, in one example, be controlled to form a plurality of fibers having a substantially uniform thickness. In another example, the LCVD process of FIG. 9 may be variably controlled to form a plurality of fibers having variable thickness along the lengths of the plurality of fibers. For example, the plurality of laser beams 930 may have an intensity that may be increased or decreased as the plurality of fibers are formed, resulting in corresponding increases or decreases in the amount of material added to the plurality of filaments 920 of filament lattice 900. Depicted in FIG. 9 is one exemplary method and apparatus for forming a plurality of fibers from (e.g., CVD) precursors, including a reactor adapted to grow a plurality of individual fibers; and a plurality of independently controllable lasers, each laser of the plurality of lasers growing a respective fiber of the plurality of fibers. The reactor and lasers may grow the fibers according to Laser Induced Chemical Vapor Deposition. The plurality of lasers in one embodiment comprises Quantum Well Intermixing (QWI) lasers. This technique is further discussed in PCT Publication WO2013180764 (A1) dated 2013 Dec. 5, entitled “HIGH STRENGTH CERAMIC FIBERS AND METHODS OF FABRICATION”, filed as PCT Application WO2013US22053 20130118; and the following three (3) previously filed U.S. Provisional Patent Applications: U.S. Provisional Application No. 61/588,733, filed Jan. 20, 2012, entitled “METHOD AND APPARATUS FOR LARGE SCALE MANUFACTURING OF HIGH STRENGTH CERAMIC FIBERS USING A PLURALITY OF CONTROLLABLE LASERS”; U.S. Provisional Application No. 61/588,765, filed Jan. 20, 2012, entitled “NON-BRIDGING IN-SITU BORON NITRIDE COATING OF SILICON CARBIDE FIBERS IN CERAMIC MATRIX COMPOSITE MATERIALS”; and U.S. Provisional Application No. 61/588,788, filed Jan. 20, 2012, entitled “NANOCOATING SYSTEMS FOR HIGH PERFORMANCE FIBERS FOR DIRECTING MICRO-CRACKS AND ENDOWING MATRIX COMPOSITES WITH AN IMMUNE RESPONSE TO MICRO-CRACKING AND OXIDATION”. Each of the above-noted PCT and provisional applications is hereby incorporated herein by reference in its entirety. FIG. 10 depicts an exemplary embodiment of the plurality of filaments of FIG. 9 in lattice 910 resulting from variation in the laser power of laser beams 930. The filament section 1001 produced at the highest level of laser power has the largest thickness. As laser power decreases smoothly over the section of filament 1002, ending with section 1003. As laser power increases back up, so does filament thickness until it maxes out in section 1004. Alternatively, the plurality of fibers may be formed by using “Digital Spinneret” (“DS”). This technology may also be known as a ‘Fiber Laser Printer.’ The DS technology induces the growth of parallel monofilaments by massive parallelization of Laser Induced Chemical Vapor Deposition (“LCVD”), similar to the technique depicted in FIG. 9, in which laser incidence occurs at a glancing angle to a substrate. One example embodiment of a SiCf ribbon 910 that may be produced by this method is shown in FIG. 10. The resulting filaments may be β-SiC 3C with grain size distribution varying from the fiber center outward. Grains at the edge of the fiber are equiaxed. The anisotropy of the laser printing process manifests itself at the fiber's center where grains are elongated along the fiber's axis, and present an aspect ratio of 2-3 or more, with a radial size of about 25 nm or more. The grain distribution may provide additional toughness. Any one or more of the nuclear fuel structures 500 disclosed herein may not only be appropriate for use in existing nuclear reactors, and may substitute directly for metal alloy cladding fuel rods, but may also be appropriate for use in nuclear thermal propulsion (NTP) applications. Nuclear thermal propulsion (NTP) has been a technical area of interest for the United States federal government and NASA since the late 1950's. Nuclear fuel structures 500 may offer several advantages for harnessing nuclear fission in a spacecraft engine, and may provide a nuclear fuel structure design equivalent to a hexagonal fuel element building block as developed by the Nuclear Engine for Rocket Vehicle Applications (NERVA) program. The following NERVA engineering parameters may be applied to determine a fuel-in-fiber system using a nuclear fuel structure such as nuclear fuel structure 500: a) uranium fuel density 600 mg/cc; b) hexagonal element leg length 0.753″; c) 19 nozzles equivalent to 19 channels found in hexagonal element. The resulting silicon carbide-silicon carbide (SiC—SiC) nozzle geometry would utilize a notional 2 millimeter (mm) inner diameter/4 mm outer diameter SiC monolithic tube mandrel and require 2 meters of fibber ribbon per inch of tube. The fiber volume fraction from this design would be 30%. With micro-encapsulated fuel cells covering 50% of the fiber's length, the fiber fuel content would be 13.4% and thus equivalent to the NERVA hexagonal fuel. The ribbon-wound mandrel structure would subsequently be infiltrated with a SiC matrix by either the chemical vapor infiltration or the polymer impregnation and pyrolosis process. In this manner, the SiCf—SiCm fuel-in-fiber composite nozzle structure would be fabricated. An interesting variation on the fuel layer composition would be to deposit thorium (232Th) as a fertile material for subsequent neutron activation and transmutation to a fissile uranium species (233U). There may be multiple advantages of using a nuclear fuel structure 500 for deployment in NTP. These may include the following: 1) Manufacturing ease—the LCVD additive manufacturing approach can produce a full fuel-in-fiber structure in-situ without the necessity of additional post-fabrication processing. There are multiple levels of economic savings possible via this method. An LCVD deposition system, as disclosed herein, is relatively straightforward, easily scalable, and is composed of significantly less expensive equipment than other CVD and additive manufacturing processes, thus reducing the capital outlay requirements to establish a high throughput manufacturing plant. The operational costs for running and maintaining such fiber production systems are similarly less expensive, including the outlays for raw materials and consumables. 2) Operational temperature range capability—the high purity materials deposited in the baseline fiber and overcoat layers, in particular the lack of oxygen and other detrimental contaminants in the structure, will be able to survive the 2600K operating temperature requirements for a NTP engine. 3) Favorable SiC thermal conductivity—the relatively high thermal conductivity of SiC enhances the ability to remove the heat generated by the fission process occurring in the fuel layers along the fiber length. SiC thermal conductivity values generally range in the 100-150 Watts/meter-K at room temperature, falling to 20-30 W/m-K at temperatures greater than 1500 C. The concept of a SiCf—SiCm composite nozzle with high heat transfer efficiency could find application in the NERVA NTP engine concept. Fuel-in-fiber wound SiC nozzles would be located in bored passages through the graphite (or other material) block in which H2 propellant travels through the tube inner diameter and is heated. 4) Capture of fission gas by-products—the nano-porous carbon layer adjacent to the deposited fuel layer in the fuel-in-fiber design may serve as a tortuous path medium that effectively traps the fission gas by-products, thus preventing these materials' release into the propellant stream. 5) Utilization of uranium nitride (UN) fuel—the overall integrated fuel package of a SiCf—SiCm nozzle would provide a barrier to exposure of a UN fuel layer to H2 propellant, thus minimizing the chemical attack and degradation of this fuel material. The advantages of UN fuel include higher uranium fuel density, significantly higher melting point (approaching 3000K at 1 atm) and enhanced thermal conductivity (approximately 20 W/m-K). 6) Safety enhancements—the issue of nuclear fuel safety is obviously a central concern for implementation of NTP technology that needs to be addressed to the satisfaction of government regulators and the general population. Three example safety considerations are: a. Accident/crash tolerance in which the integrity of the fuel encapsulation is maintained. The nuclear fuel structure 500 described herein would lead to enhanced protection because the fuel component is enclosed in a multitude of physically isolated micro-cells protected by outer coating layer(s) and embedded in a solid matrix. Should a fracture develop, only a minute fraction of the cells can be breached, hence greatly limiting the release of fissile material in case of a crash. b. From the NERVA program, a major issue arose as fissile material was ejected into the propellant stream during testing due to hydrogen gas etching of the graphite block with UO2 or UC2 particles. This chemical attack and material release would be mitigated due to the structure of the nozzle as well as having the nozzles embedded in a solid graphite matrix. c. For nozzles prepared with fertile nuclear material rather than fissile, the risks of diversion for WMDs is greatly diminished, and unused tubes will not represent a high-level nuclear waste. The terminology used herein is for the purpose of describing particular embodiments only and is not intended to be limiting of the invention. As used herein, the singular forms “a”, “an” and “the” are intended to include the plural forms as well, unless the context clearly indicates otherwise. It will be further understood that the terms “comprise” (and any form of comprise, such as “comprises” and “comprising”), “have” (and any form of have, such as “has” and “having”), “include” (and any form of include, such as “includes” and “including”), and “contain” (and any form contain, such as “contains” and “containing”) are open-ended linking verbs. As a result, a method or device that “comprises”, “has”, “includes” or “contains” one or more steps or elements possesses those one or more steps or elements, but is not limited to possessing only those one or more steps or elements. Likewise, a step of a method or an element of a device that “comprises”, “has”, “includes” or “contains” one or more features possesses those one or more features, but is not limited to possessing only those one or more features. Furthermore, a device or structure that is configured in a certain way is configured in at least that way, but may also be configured in ways that are not listed. The corresponding structures, materials, acts, and equivalents of all means or step plus function elements in the claims below, if any, are intended to include any structure, material, or act for performing the function in combination with other claimed elements as specifically claimed. The description of the present invention has been presented for purposes of illustration and description, but is not intended to be exhaustive or limited to the invention in the form disclosed. Many modifications and variations will be apparent to those of ordinary skill in the art without departing from the scope and spirit of the invention. The embodiment was chosen and described in order to best explain the principles of one or more aspects of the invention and the practical application, and to enable others of ordinary skill in the art to understand one or more aspects of the invention for various embodiments with various modifications as are suited to the particular use contemplated. |
|
claims | 1. A system, for the nuclear imaging of the breast, comprising: at least one gamma camera, of a size appropriate for the scanning of a breast, having a radiation detecting surface, which detects gamma radiation and provides data signals responsive to radiation from the breast; a collimator, positioned over the reception surface; a gantry on which the radiation detector is mounted and which provides rotational movement of the radiation detection surface around the axis of the breast; and a computer which receives and analyzes the data signals and constructs an image of radiation sources therefrom; wherein the radiation detection surface of the at least one gamma camera is tilted with respect to the axis during rotation of the at least one gamma camera about the axis, such that it is partially facing the chest of a study subject. 2. A system according to claim 1 and including means for selectively tilting the at least one gamma camera such that the radiation detection surface is selectively tilted or parallel to the axis of rotation, during different rotations of the at least one gamma camera about the axis. claim 1 3. A system according to claim 2 , wherein the computer constructs the image of the radiation source utilizing an iterative approach for at least a portion of the volume for which data is acquired with the radiation detection surface at said angle and, wherein an analytic approach is used for at least a part of the volume for which data is acquired with the radiation detection surface parallel to the axis of rotation. claim 2 4. A system according to claim 1 wherein the computer constructs the image of radiation sources utilizing an iterative approach for at least part of a reconstructed volume. claim 1 5. A system according to claim 1 wherein the detector is a detector having an extent between 10 and 20 cm. claim 1 6. A system according claim 5 wherein the extent is between 10 and 15 cm. claim 5 7. A system according to claim 5 wherein the extent is about 12 cm. claim 5 8. A system according to claim 1 wherein the at least one planar gamma camera comprises two detection surfaces, each said surface being tilted with respect to the axis during rotation of the at least one gamma camera about the axis, such that it ii partially facing the chest of a study subject. claim 1 9. A system according to claim 1 wherein the image is a SPECT image. claim 1 10. A system, for the nuclear imaging of the breast, comprising: at least one gamma camera, of a size appropriate for the scanning of a breast, having a radiation detecting surface, which detects gamma radiation and provides data signals responsive to radiation from the breast; a collimator, positioned over the reception surface; a gantry on which the radiation detector is mounted and which provides rotational movement of the radiation detection surface around the axis of the breast; and a computer which receives and analyzes the data signals and constructs an image of radiation sources therefrom; wherein the collimator comprises sepia near the center of the collimator which accept radiation from a direction perpendicular to the radiation detection surface and septa near an edge of the collimator which accept radiation from an outward facing acute angle to the perpendicular direction. 11. A system according to claim 10 wherein the septa which accept radiation near one edge accept such radiation only near one edge of the collimator. claim 10 12. A system according to claim 11 wherein the one edge is an edge near the chest wall of the study subject. claim 11 13. A system according to claim 10 wherein the computer constructs the image of radiation sources utilizing an iterative approach for at least part of a reconstructed volume. claim 10 14. A system according to claim 10 wherein the computer constructs the image of the radiation source utilizing an iterative approach for at least a portion of the volume for which data is acquired via the septa at said angle and wherein an analytic approach is used for at least a part of the volume for which data is acquired via the septa normal to the direction of the axis. claim 10 15. A system according to claim 10 wherein the image is a SPECT image. claim 10 16. A gamma ray detector system comprising: at least one gamma ray detector having a detector surface that has a normal thereto at each point thereof; and at least one collimator placed on the detector surface, the collimator having a first portion that selectively passes gamma rays which impinge it at said normal angle and at angles near said angle and a second portion that selectively passes gamma rays which impinge on it from an outward looking direction with respect to the normal; wherein the first portion comprises a region near one edge of the collimator and a central region of the collimator and wherein the second portion comprises a region near a second edge of the collimator opposite the one edge. 17. A gamma ray detector system according to claim 16 wherein the at least one detector surface is a planar surface and wherein the first portion comprises the entire planar surface except for a region near the second edge. claim 16 18. A gamma ray detector system according to claim 17 wherein the planar surface has an extent of less than 20 cm. claim 17 19. A gamma ray detector system according to claim 17 wherein the planar surface has an extent of less than about 15 cm. claim 17 20. A gamma ray detector system according to claim 17 wherein the at least one detector comprises first and second detectors said first detector and second detectors having first and second detector surfaces that are parallel and face each other, each said detector having a collimator placed thereon, as aforesaid. claim 17 21. A gamma ray detector system according to claim 20 wherein the second portions of the collimators associated with the first and second detectors are situated opposite each other. claim 20 22. A gamma ray detector system according to claim 16 wherein the detector surface comprises a cylindrical surface and wherein the first portion comprises the detector surface except for a region near one end of the cylindrical surface. claim 16 23. A gamma ray detector system according to claim 22 wherein the cylindrical surface has an inner diameter of between 10 and 20 cm. claim 22 24. A gamma ray detector system according to claim 23 wherein the collimator has an inner diameter of less than 20 cm. claim 23 25. A gamma ray detector system according to claim 23 wherein the collimator has an inner diameter of less than about 15 cm. claim 23 26. A gamma camera comprising: a gamma ray detector system according to claim 22 and including a rotator that rotates the at least one detector surface about an axis parallel to and within the field of view of the detector surface, wherein the outward looking direction is at an acute angle with the axis. claim 22 27. A gamma camera according to claim 26 wherein the outward looking angle varies over the second portion, with said angle being 90 degrees near the first portion and a minimum value near at the second edge. claim 26 28. A gamma camera according to claim 27 wherein the minimum value is about 60 degrees. claim 27 29. A gamma camera according to claim 27 wherein the minimum value is about 60 degrees. claim 27 30. A gamma camera according to claim 26 wherein the gamma ray detector system is sized and configured to rotate about a breast of a human patient, wherein the axis of rotation coincides generally with the axis of the breast. claim 26 31. A gamma camera according to claim 26 wherein the at least one detector generates nuclear medicine imaging signals responsive to gamma rays detected by the at least one detector and including image processing circuitry that receives said signals and produces a three dimensional image therefrom. claim 26 32. A gamma camera according to claim 31 wherein the image processing circuitry produces said image utilizing an Iterative approach for a portion of the image, said portion including at least the portion including information acquired from the outward looking direction. claim 31 33. A gamma camera according to claim 22 wherein the outward looking angle varies over the second portion, with said angle being 90 degrees near the first portion and having a minimum value near at the second edge. claim 22 34. A gamma camera according to claim 22 wherein the gamma ray detector system is sized and configured to fit around the breast of a human patient, wherein the axis of the cylinder coincides generally with the axis of the breast. claim 22 35. A gamma camera according to claim 22 wherein the cylindrical detector generates nuclear medicine imaging signals responsive to gamma rays detected by the detector and including image processing circuitry that receives said signals and produces a three dimensional image therefrom. claim 22 36. A system, for the nuclear imaging of an object comprising: at least one planar gamma camera, having a planar radiation detecting surface, which detects gamma radiation and provides data signals responsive to radiation from the object; a collimator, positioned over the reception surface; a gantry on which the radiation detector is mounted and which provides rotational movement of the radiation detection surface around an axis, such that the radiation detector detects radiation from different direction as it rotates around the axis; and a computer which receives and analyzes the data signals and constructs tomographic image of radiation sources therefrom; wherein the radiation detection surface of the at least one gamma camera is tilted with respect to the axis during rotation of the at least one gamma camera about the axis, such that a normal to the detection surface forms an acute angle with axis. 37. A system according to claim 36 wherein the at least one planar gamma camera comprises two detection surfaces, each said surface being tilted with respect to the axis during rotation of the at least one gamma camera about the axis, such that a normal to the detection surface forms an acute angle with axis. claim 36 |
|
claims | 1. A collimator control method for an X-ray CT apparatus wherein a subject is helically scanned in a direction of a body axis thereof using an X-ray beam formed by a collimator, and image reconstruction is performed based on projection data obtained through an X-ray detector, said method comprising:changing an aperture of the collimator according to a position of a helical scan on the body axis of the subject in the process of progress of the helical scan, said changing comprising:opening the aperture during an acceleration of the progress of the helical scan in the body-axis direction; andclosing the aperture during a deceleration of the progress of the helical scan in the body-axis direction. 2. The collimator control method according to claim 1, wherein the acceleration and the deceleration of the progress of the helical scan in the body-axis direction are linear. 3. The collimator control method according to claim 1, wherein the acceleration and the deceleration of the progress of the helical scan in the body-axis direction are nonlinear. 4. The collimator control method according to claim 1, wherein said position of a helical scan on the body axis of the subject in the process of progress of the helical scan is based on a coordinate of the body axis direction which is measured during the helical scan. 5. The collimator control method according to claim 1, wherein the aperture defines a predetermined opening, a first half of the predetermined opening extending from a center point of the aperture forward along the body axis to a front edge of the aperture and a second half of the predetermined opening extending from the center point of the aperture backwards along the body axis to a back edge of the aperture, said changing comprising:at a start position of the helical scan, opening the first half and closing the second half such that the aperture is at about one-half of the predetermined opening at the first half;at an intermediate position of the helical scan in the direction of the progress of the helical scan, opening the aperture to the predetermined opening by opening the first half and the second half; andat an end position of the helical scan, closing the aperture at the first half such that the aperture is opened by about one-half of the predetermined opening at the second half. 6. The collimator control method according to claim 1, wherein said changing comprises changing the aperture continuously during the helical scan. 7. An X-ray CT apparatus comprising:an X-ray source;a collimator for shaping X-rays emitted from the X-ray source;a control device for controlling the collimator;an X-ray detector disposed so as to be opposed to the X-ray source and the collimator with a subject interposed therebetween; andan image reconstructing device for helically scanning the subject in a direction of a body axis thereof and reconstructing an image on the basis of projection data obtained through the X-ray detector,wherein the control device changes an opening degree of an aperture of the collimator according to a position of a helical scan on the body axis in the process of progress of the helical scan, said control device configured to:open the aperture during an acceleration of the progress of the helical scan in the body-axis direction; andclose the aperture during a deceleration of the progress of the helical scan in the body-axis direction. 8. The X-ray CT apparatus according to claim 7, wherein the acceleration and the deceleration of the progress of the helical scan in the body-axis direction are linear. 9. The X-ray CT apparatus according to claim 7, wherein the acceleration and the deceleration of the progress of the helical scan in the body-axis direction are nonlinear. 10. The X-ray CT apparatus according to claim 7, wherein said position of a helical scan on the body axis of the subject in the process of progress of the helical scan is based on a coordinate of the body axis direction which is measured during the helical scan. 11. The X-ray CT apparatus according to claim 7, wherein the aperture defines a predetermined opening, a first half of the predetermined opening extending from a center point of the aperture forward along the body axis to a front edge of the aperture and a second half of the predetermined opening extending from the center point of the aperture backwards along the body axis to a back edge of the aperture, said control device configured to:at a start position of the helical scan, open the first half and close the second half such that the aperture is at about one-half of the predetermined opening at the first half;at an intermediate position of the helical scan in the direction of the progress of the helical scan, open the aperture to the predetermined opening by opening the first half and the second half; andat an end position of the helical scan, close the aperture at the first half such that the aperture is opened by about one-half of the predetermined opening at the second half. 12. The X-ray CT apparatus according to claim 7, wherein said control device is further configured to change the aperture continuously during the helical scan. |
|
abstract | An apparatus, system and method for handling and translating a spent nuclear fuel storage cask. The apparatus comprises a body for supporting the cask close to the ground so that the cask and the apparatus can pass underneath over head doors. The apparatus further comprises rollers for translating the cask, the rollers adapted to move between a retracted position and an extended position, wherein when the rollers are in the retracted position, the rollers do not contact a ground surface. The apparatus additionally supports the storage cask during spent nuclear fuel transfer procedures. |
|
047120142 | abstract | A radiation lamp unit with a housing (1), in which are fitted a number of highly-polished concave reflectors (3), with light-orange radiation lamps (4), arranged in their focal point areas, and with UV-B and UV-C lamps (9, 10) secured to bases (7, 8) of two lamp units (5, 6), arranged apart from each other and symmetrical about the center axis of the housing. A drive motor (12) provides for a limited swivel motion of the lamp units in the longitudinal direction of the housing. |
abstract | A flow diverter is provided for attachment to a spacer cell above a part-length fuel rod. The flow diverter includes a tubular base having a plurality of laterally projecting tabs extending in an upstream direction for diverting flow from between the spacer cells into the volume above the spacer void of a fuel rod. A vortex generator is secured to or forms an integral part with the flow diverter for swirling the flow onto and into the interstices of laterally adjacent fuel rods. The flow diverter and vortex generator are formed of tubular stock. The vanes of the vortex generator are formed by providing slits along the tubular stock and twisting alternating edges of the stock inwardly to form the vanes. |
|
claims | 1. A method of modifying an upper layer of a workpiece using a gas cluster ion beam, the method comprising:collecting parametric data relating to an upper layer of the workpiece;identifying non-uniformities in the parametric data;directing the gas cluster ion beam toward the upper layer of the workpiece; andspatially modulating an applied dose, based at least in part on a systematic error response and the parametric data, of the gas cluster ion beam as a function of position on the upper layer of the workpiece to correct the non-uniformities. 2. The method of claim 1, wherein the non-uniformities are formed by a process performed on the upper layer of the substrate before the gas cluster ion beam is directed toward the upper layer of the substrate. 3. The method of claim 1, wherein collecting the parametric data further comprises:collecting the parametric data using an ex-situ metrology tool that is external to a vacuum enclosure of a gas cluster ion beam tool in which the gas cluster ion beam is directed toward the upper layer of the workpiece. 4. The method of claim 3, wherein the ex-situ metrology tool is selected from the group consisting of a spectroscopic ellipsometer, scatterometer, interferometer, X-ray fluorescence tool, and a four point probe tool. 5. The method of claim 1, wherein spatially modulating the applied dose of the gas cluster ion beam further comprises:moving the second workpiece relative to the gas cluster ion beam with a dwell time at each position determined at least in part by the systematic error offset. 6. A method of correcting systematic non-uniformities using a gas cluster ion beam, the method comprising:generating a first data set for each of a plurality of workpieces;scanning the gas cluster ion beam without modulation across an upper layer;generating a second data set for each of the plurality of workpieces;identifying systematic non-uniformities in parametric data generated by comparing a parameter in the first and second data sets of each of the plurality of workpieces;scanning the gas cluster ion beam across an upper layer of another workpiece; andspatially modulating an applied dose of the gas cluster ion beam as a function of position on the upper layer of the another workpiece to correct for the systematic non-uniformities. 7. The method of claim 6, scanning the gas cluster ion beam without modulation further comprises:exposing the upper layer of the another workpiece to the gas cluster ion beam to induce the systematic non-uniformities. 8. The method of claim 6, wherein the first data set comprises pre-gas cluster ion beam processing data from the plurality of workpieces and the second data set post-gas cluster ion beam processing data from the plurality of workpieces. 9. The method of claim 6, wherein at least one of the first data set or the second data set is collected using an ex-situ metrology tool. 10. The method of claim 9, wherein the ex-situ metrology tool is selected from the group consisting of a spectroscopic ellipsometer, scatterometer, interferometer, X-ray fluorescence tool, and a four point probe tool. 11. The method of claim 6, wherein spatially modulating the applied dose of the gas cluster ion beam further comprises:moving the second workpiece relative to the gas cluster ion beam with a dwell time at each position determined at least in part by the systematic error offset. 12. A processing system for use with a metrology tool, the metrology tool configured to map a parameter of an upper layer on each of the processed workpieces and to generate parametric data representing the mapped parameter, the processing system comprising:a gas cluster ion beam apparatus; anda controller coupled in communication with the gas cluster ion beam apparatus and adapted to be coupled in communication with the metrology tool, the controller being configured to receive the parametric data from the metrology tool, to generate control signals for operation of the gas cluster ion beam apparatus that are based upon the parametric data received from the metrology tool, and to communicate the control signals to the gas cluster ion beam apparatus. 13. The processing system of claim 12, wherein a gas cluster ion beam apparatus including a vacuum enclosure, a source configured to produce a gas cluster ion beam inside the vacuum enclosure, and a workpiece support in the vacuum enclosure. 14. The processing system of claim 13, wherein the workpiece support includes an X-Y positioning table. 15. The processing system of claim 13, wherein the controller is configured to identify systematic non-uniformities in the parametric data and to generate the control signals based at least partially on the systematic non-uniformities for spatially modulating an applied dose of the gas cluster ion beam as a function of position on a workpiece supported by the workpiece support. 16. The processing system of claim 13, wherein the gas cluster ion beam apparatus further comprises:a plurality of scan plates; anda scan generator electrically coupled with the scan plates, the scan generator configured to apply voltages to the scan plates for varying a path of the gas cluster ion beam relative to the workpiece support. 17. The processing system of claim 13, wherein the source is a reactive source. 18. The processing system of claim 13, wherein the parametric data includes at least one of pre-gas cluster ion beam apparatus processing data or post-gas cluster ion beam apparatus processing data. 19. The processing system of claim 18, wherein the parametric data comprises film thickness data. 20. The processing system of claim 12 wherein the controller communicates with the gas cluster ion beam apparatus by a first wireless technology connection and is optionally adapted to communicate with the metrology tool by a second wireless technology connection. |
|
claims | 1. A radiation shield comprising a radiation attenuating layer, comprising:a first sublayer comprising a first radiation attenuating material comprising a salt of a first elemental species having a first atomic number; anda second sublayer comprising a second radiation attenuating material comprising a salt of a second elemental species having a second atomic number, the second atomic number being higher than the first atomic number;wherein the radiation attenuating material of the first sublayer differs from the radiation attenuating material of the second sublayer, and wherein all the radiation attenuating materials in the radiation attenuating layer are salts of an elemental species. 2. The radiation shield of claim 1, wherein the first sublayer is configured to attenuate incident ionizing radiation emanating from a source of ionizing radiation, and the second sublayer is configured to attenuate secondary ionizing radiation emanating from the first sublayer. 3. The radiation shield of claim 1, wherein the first sublayer is configured to attenuate a first range of energies of ionizing radiation, and the second sublayer is configured to attenuate a second range of energies of ionizing radiation. 4. The radiation shield of claim 3, wherein the first range of energies of ionizing radiation are higher in energy than the second range of energies of ionizing radiation. 5. The radiation shield of claim 1, wherein the first radiation attenuating material is configured to attenuate ionizing radiation over a first bandwidth of frequencies or wavelengths, the second radiation attenuating material is configured to attenuate ionizing radiation over a second bandwidth of frequencies or wavelengths, and the first bandwidth and the second bandwidth differ from one another. 6. The radiation shield of claim 1, wherein the first sublayer comprises one of the following: barium species, bismuth species, and lanthanum species. 7. The radiation shield of claim 1, wherein the first sublayer comprises barium sulfate and the second sublayer comprises bismuth oxide. 8. The radiation shield of claim 1, wherein all the radiation attenuating materials in the radiation attenuating layer are salts of an elemental species having an atomic number of 50 or greater. 9. The radiation shield of claim 8, wherein all the radiation attenuating materials in the radiation attenuating layer are salts of an elemental species having an atomic number of 56 or greater. 10. The radiation shield of claim 1, wherein the first sublayer is configured to be positioned closer to a source of ionizing radiation than the second sublayer. 11. A radiation shield comprising a radiation attenuating layer, comprising:a first sublayer comprising a first radiation attenuating material comprising a salt of a first elemental species having a first atomic number; anda second sublayer comprising a second radiation attenuating material comprising a salt of a second elemental species having a second atomic number, the second atomic number being higher than the first atomic number;wherein the first sublayer is configured to attenuate a first range of energies of ionizing radiation and the second sublayer is configured to attenuate a second range of energies of ionizing radiation, and wherein all the radiation attenuating materials in the radiation attenuating layer are salts of an elemental species. 12. The radiation shield of claim 11, wherein the first sublayer is configured to attenuate incident ionizing radiation emanating from a source of ionizing radiation, and the second sublayer is configured to attenuate secondary ionizing radiation emanating from the first sublayer. 13. The radiation shield of claim 11, wherein the first range of energies of ionizing radiation are higher in energy than the second range of energies of ionizing radiation. 14. The radiation shield of claim 11, wherein the first sublayer comprises barium sulfate and the second sublayer comprises bismuth oxide. 15. The radiation shield of claim 11, wherein all the radiation attenuating materials in the radiation attenuating layer are salts of an elemental species having an atomic number of 50 or greater. 16. The radiation shield of claim 11, wherein the first sublayer is configured to be positioned closer to a source of ionizing radiation than the second sublayer. 17. The radiation shield of claim 11, wherein the radiation attenuating material of the first sublayer differs from the radiation attenuating material of the second sublayer. 18. A method for attenuating ionizing radiation, comprising:positioning a radiation shield between a source of ionizing radiation and a subject to be shielded from the ionizing radiation, the radiation shield comprising a radiation attenuating layer, comprising:a first sublayer comprising a first radiation attenuating material comprising a salt of a first elemental species having a first atomic number; anda second sublayer comprising a second radiation attenuating material comprising a salt of a second elemental species having a second atomic number, the second atomic number being higher than the first atomic number;wherein the first sublayer is configured to attenuate incident ionizing radiation emanating from the source of ionizing radiation, and the second sublayer is configured to attenuate secondary ionizing radiation emanating from the first sublayer, and wherein all the radiation attenuating materials in the radiation attenuating layer are salts of an elemental species; andorienting the radiation shield such that the first sublayer is closer to the source of ionizing radiation than the second sublayer. 19. The method of claim 18, wherein the first sublayer comprises barium sulfate and the second sublayer comprises bismuth oxide. 20. The method of claim 18, wherein the radiation attenuating material of the first sublayer differs from the radiation attenuating material of the second sublayer. |
|
abstract | A first shock absorber group is obtained by combining a plurality of shock absorber blocks, absorbs a shock in a direction parallel to an end surface of a cask, consisting of a first material. A second shock absorber group absorbs the shock in a direction perpendicular to or oblique with respect to the end surface, consisting of a second material having a weaker compressive strength than the first material. A third shock absorber group absorbs the shock in a direction perpendicular to the end surface, consisting of a third material having a weaker compressive strength than the second material. A space is provided at least in the first shock absorber group. |
|
043137954 | summary | BACKGROUND OF THE INVENTION This invention relates to a nuclear power plant that is designed with a component design and arrangement with safety as a primary criteria. In particular, the power plant is designed for on-site disposal and storage of nuclear wastes and incorporates a component power generating system that utilizes a solid state heat transfer means that is both safe and compact. In conventional nuclear power plants the reactor is utilized in conjunction with relatively standard steam generating systems for the production of electricity. However, the necessary heat exchangers required to insure isolation from contamination of the operating water for steam production are expensive, and subject to failure because of their size and high pressure for containment at high temperature. Furthermore, large cooling towers are required for condensing steam for recycling in the power loop. These requirements virtually dictate the large capital investment in stationary plants with all the attendant problems of on-site use and off-site disposal of nuclear wastes from spent fuels. Further, dismantling and disposal of such large plants is predicted to cost more than the initial cost of construction. It is a primary object of the devised nuclear power plant to provide a power generating plant that uses nuclear fuels in a safe manner by providing for the necessary heat transfer within the primary reactor containment vessel by means that prevents the possibility of radioactive contamination of the power generating driving medium and by providing for on-site disposal and storage of the spent fuels. Further, the preferred power generating system eliminates the necessity of conventional heat exchanger boilers and condenser apparatus with their attendant high capital costs. Because of the general undesirability of fission power as compared with the yet uncommercialized fusion power it is expected that nuclear power plants employing fission will ultimately be replaced by fusion plants and therefore such plants do not warrant the high attendant capital costs. By limiting the components which are subject to radioactive contamination and by designing a plant that is contemplated to be disassembled, overall costs and radiation dangers can be minimized. SUMMARY OF THE INVENTION The nuclear power plant of this invention is constructed with an elongated vertically oriented, high pressure containment vessel within which a nuclear reactor core is centrally located. The power plant design is particularly adapted for use with a bare core or fast breeder reactor contained in a molten sodium medium which comprises an extractor medium for extracting heat generated in the reactor core. The pressure vessel is formed with two chambers at each end: a lower disposal and storage chamber for containment of dumped cores of spent fuel and an upper heat transfer chamber for transfer of the heat generated by the nuclear reactor to the driving medium for the power generation. Within a central segment of the pressure vessel above the core is an array of solid state heat transfer conductors which comprise elongated thermally conductive rods. The rods are preferably fabricated from aluminum core in a, seamless iron tube casing, or other material depending in part on the temperature operating range of the reactor unit. The lower portions of the vertically oriented rods are immersed in the molten sodium or similar medium surrounding the reactor core. A seal and support gasket seals the central segment of the pressure vessel containing the molten heat extractor medium and supports the plurality of rods in a spaced array for efficient heat transfer. The upper portion of the pressure vessel comprises an isolated chamber free from contamination by the core reaction or extractor medium, which becomes radioactive on operation of the reactor. The conductive rods project into and largely fill the upper chamber to maximize the surface area for further transfer of the reactor generated heat to the power plant driving medium. The power plant preferably utilizes a superheated steam as the driving medium in a closed cycle without condensation to water. In this manner, purified steam is cycled through the upper chamber under pressure where it picks up substantial heat from the conductive rods for expansion through a multi-stage steam turbine it produces rotary power for driving a connected electrical generator. The expanded steam at the reduced temperature from the energy transformation is then partially condensed to a point where most or some of the latent heat is removed then compressed by a conventional gas compressor for cycle return to the upper chamber of the pressure vessel. For reasons of safety, only the top segment of the reactor pressure vessel and the power generating components are above ground. In this manner, any failure in these components will release only uncontaminated superheated steam to the atmosphere. Because of the relatively low operating pressures, the chance of failure is substantially reduced, also, low grade heat is put to use. The reactor and molten heat extractor medium surrounding the core are below ground to isolate any contamination on any possible failure. Since there are no high pressure boiler components in contact with the heat extractor medium, the possibility of failure is substantially reduced. Below the reactor is a lower pressure vessel chamber which forms a disposal dump for used cores. When the fuel is spent, a dump mechanism isolates the core and the immediately surrounding heat extractor medium and drops the material into the dump where it is retained for temporary storage. Depending on the contemplated length of temporary storage, alternate designs for the storage dump can be employed. The dump may include nuclear reaction inhibiting material, for example, material with neutron absorbing characteristics to reduce the possibility of radioactive emissions and to control a reacting core inadvertently introduced into the dump by a meltdown. The dump is designed to accomodate multiple expended cores for continued on-site storage. When filled, the lower portion of the pressure vessel defining the disposal dump can be sealed. The remaining portion of the pressure vessel can be deactivated or moved to an adjacent or new site along with the attendant power generating components. The conventional power generating components are easily detached and reused as they are without contamination. These and other features of the invention will become apparent from the detailed description of the preferred embodiment. Because of the compact size of the power plant it is suitable for use in marine applications by simple substitution of the electrical generator with mechanical propulsion means. In such application the core storage component can be reduced in size or eliminated. In such applications vertical orientation of the containment vessel may not be preferred. |
claims | 1. A method for determining a system matrix for a medical imaging system, the method comprising:using a closed form expression to determine a penetration term for a collimator of the medical imaging system;determining a point spread function of the collimator based on the penetration term; andcalculating the system matrix for the medical imaging system based on the determined point spread function. 2. A method in accordance with claim 1 further comprising convolving the collimator point spread function with a detector response for a detector of the medical imaging system. 3. A method in accordance with claim 1 further comprising convolving the collimator point spread function with a projection of a voxel onto a detector of the medical imaging system. 4. A method in accordance with claim 1 wherein determining a penetration term comprises analytically deriving the penetration term. 5. A method in accordance with claim 1 further comprising reconstructing an image based on the system matrix, wherein the system matrix is pre-calculated for use during image reconstruction. 6. A method in accordance with claim 1 further comprising performing an element by element multiplication of system matrix terms to incorporate attenuation factors to the system matrix. 7. A method in accordance with claim 1 wherein the collimator comprises a pinhole collimator. 8. A method in accordance with claim 1 wherein the collimator comprises a focusing pinhole collimator. 9. A method in accordance with claim 1 wherein the medical imaging system comprises a single photon emission computed tomography (SPECT) imaging system. 10. A method in accordance with claim 1 wherein the closed form expression defines a path length ΔL of a photon through a collimator as: Δ L = Δ t sin θ a = d f tan α ( N sin γ + cos γ ) - ( Q 1 2 - PR 1 ) 1 / 2 - ( Q 2 2 - PR 2 ) 1 / 2 P ( csc 2 θ - 2 ρ cot θ cos ( β - ϕ / h + ρ 2 / h 2 ) - 1 / 2 ,whereP=M2+AN2−2BN+C; M=cot θ cos φ−ρ cos β/h N=cot θ sin φ−ρ sin β/h; A=cos2 γ−sin2 γ tan2 α;B=cos γ sin γ(1+tan2 α); C=sin2 γ=cos2 γ tan2 α;R1=ρ2(cos2 β+A sin2 β)−ρdf sin β sin γ tan α−0.25df2;R2=ρ2(cos2 β+A sin2 β)+ρdf sin β sin γ tan α−0.25df2;Q1=Mρ cos β+ρ sin β(AN−B)−0.5df tan α(N sin γ+cos γ);Q2=Mρ cos β+ρ sin β(AN−B)+0.5df tan α(N sin γ+cos γ). 11. A method in accordance with claim 1 further comprising using a precomputed system matrix from the calculating and incorporating a table translation into image reconstruction. 12. A method in accordance with claim 1 further comprising pre-computing the system matrix for voxels within a volume of interest (VOI) at a higher resolution and computing the system matrix for voxels outside the VOI as a lower resolution. 13. A method in accordance with claim 1 further comprising performing angular sampling with a variable step size and a variable translation step size for adjacent views of the medical imaging system. 14. A method for determining a system matrix for a medical imaging system, the method comprising:determining a penetration term for a collimator of the medical imaging system without performing any measurements using the medical imaging system;determining a sensitivity term, including a geometric term and a penetration term, for shape of a point spread function for the collimator based on the penetration term; andcalculating the system matrix for the medical imaging system based on the determined point spread function. 15. A method in accordance with claim 14 wherein determining the shape of the point spread function comprises using a closed form expression to model the collimator. 16. A method in accordance with claim 14 wherein the determined penetration term comprises an analytically derived term. 17. A method in accordance with claim 14 wherein the collimator comprises a pinhole collimator. 18. A method in accordance with claim 14 further comprising adding attenuation factors to the system matrix using matrix multiplication. 19. A method in accordance with claim 14 further comprising convolving a distance driven based function, and calibration parameters and sensitivity terms, with the system matrix. 20. A method for determining a system matrix for a medical imaging system, the method comprising:determining parametric values where a plurality of planes that contain a voxel in an image space intersect a collimator and a detector of the imaging system;marking a location where the plurality of planes intersect a surface of the collimator as end points on the detector; andcalculating the system matrix for the medical imaging system based on an inner most shape through which photons from a point source pass through the collimator and are detected. 21. A method in accordance with claim 20 wherein the penetration of the photon through the collimator is modeled by determining locations where edges of the collimator intersect a plane containing a point source and passes through a detector of the medical imaging systems. 22. A method in accordance with claim 21 wherein calculating a path length of photons through a material of the collimator from a shape of the collimator for each location on a surface of the detector lying between the projection of the edges of the collimator. 23. A method for reducing the size of a system matrix for a medical imaging system, the method comprising:calculating the system matrix for one or more geometric configurations of a collimator and detector of a medical imaging system, wherein the calculated system matrix for all locations in an image space based on one of linear and non-linear transformations is used; andprecomputing a reduced system matrix for all angular views at one position of a table of the medical imaging system. 24. A method in accordance with claim 23 wherein the precomputing comprises using a variable-pitch-helical acquisition of the medical imaging system, wherein a collimator and gantry are rotated to varying view angles located as far apart as possible and a patient table is moved. 25. A method in accordance with claim 24 further comprising computing the system matrix for different table translations using a distance of the table motion. 26. A method in accordance with claim 23 further comprising precomputing the system matrix and storing the system matrix for voxels within a volume of interest (VOI), and for voxels outside the VOI calculating element for the system matrix using one of a fast approximation and precomputing for a larger interval between the voxels than initially used to precomputed the system matrix. 27. A method in accordance with claim 23 further comprising approximating values of different elements of the system matrix using a set of parameters, including at least one of a combination of geometric shapes and physical parameters, which include one of a radius, offset values, an aspect ratios and an amplitude. 28. A method in accordance with claim 23 further comprising sequentially sampling the image in a same order during generation of the system matrix and reconstruction. 29. A method in accordance with claim 23 further comprising storing one of system matrix elements and parameters by saving multiple values in a same memory location element. 30. A medical imaging system comprising:a plurality of nuclear medicine imaging detectors;a plurality of pinhole collimators attached to the plurality of nuclear medicine imaging detectors; andan image reconstruction processor configured to reconstruct an image using a system matrix calculated based on an analytically derived pinhole penetration term. 31. A medical imaging system in accordance with claim 30 wherein the analytically derived pinhole penetration term is calculated using a closed form expression. 32. A medical imaging system in accordance with claim 30 wherein the plurality of nuclear medicine imaging detectors comprise gamma cameras and the plurality of pinhole collimators comprise focusing pinhole collimators. |
|
description | The subject of the present invention is cosmetic or pharmaceutical compositions which are protective against common skin irritants or allergens. The invention also relates to the use of these compositions to protect skin from the effects of metal. Another object of the invention is a method of preventing or protecting the skin from the effects of metals, using the compositions of the invention. A lot of people are allergic or develop skin reactions such as contact dermatitis while in contact with items containing irritants (such as jewelries, watches, jean button, buckle, coins . . . ). In particular, nickel allergy is one of the most common causes of allergic contact dermatitis. Nickel allergy is commonly associated with earrings and other jewelry, particularly jewelry associated with body piercings. But nickel can be found in many everyday items, from coins to zippers, from cellphones to eyeglass frames. Nickel, cobalt and chromium are common allergens that can induce contact allergies. They also have irritant properties. Epidemiology studies have shown that the sensitization toward nickel evolves to allergic contact dermatitis if exposure exceeds the individual threshold. Numerous studies have been published to determine the prevalence of these contact dermatitis due to metal exposure. A recent review (Thyssen. J. P., et al., Contact Dermatitis 2007: 57: pp 287-299) has analyzed the prevalence of contact allergy in the general population based on studies conducted mainly in North America and Western Europe between 1966 and 2007. The most prevalent allergen was nickel, with a medium prevalence of 8.6%. This study concluded that “nickel was an important cause of contact allergy in the general population and it was widespread in both men and women. [ . . . ] pierced ears were a significant risk factor for nickel allergy. Nickel was a risk factor for hand eczema in women.” The evaluation of the influence of the limitation to nickel exposure has been recently published by the same group (Thyssen. J. P., Contact Dermatitis, Volume 65, Issue Supplement s1, pp 1-68, September 2011). It was concluded that environmental nickel exposure was the most important factor to develop contact allergy toward nickel. A common strategy to protect people sensitive to metal is to add varnish to make a protective layer between the irritating or allergenic object and the exposed skin. Some varnishes are specifically advised as a protective layer toward nickel (such as nickel Guard™ sold by Allergy Asthma Technology or Isoclip sold by Tradiphar) but common nail varnish is often used instead. However, the use of varnish is not a cosmetically acceptable solution to put directly on the skin. Moreover, the use of varnish itself is suspected to be a cause of initial sensitization of people toward nail varnish (Ozkaya. E., and A. Ekinci. Clin Exp Dermatol. 2010 June; 35(4): pp 37-40). Most of the products claiming an efficiency for this application are cosmetic or dermatological products containing a significant amount of occlusive ingredients, preferably issued from petrol derivatives, such as vaseline and dimethicone, that act as an isolating but non-specific layer between the skin and the irritating items. These products deposit an hydrophobic and occlusive film on the skin that would reduce the penetration into skin of irritating or allergenic molecules while the film is intact. However, these compounds are known to potentially alter the integrity of the skin and be themselves the cause of skin irritations or rashes, either due to their own allergenic or irritant potential, or as a consequence of the barrier film that they form at the surface of the skin. Moreover, these barriers creams are not efficient, as illustrated by the prevalence of contact dermatitis in skin diseases. The strategies advised to people suffering from skin reaction toward metal irritants or allergens exposure are indeed either avoidance of contact with the irritating or allergenic items or treatment of the rashes triggered by these metals. No specific products to protect the skin for people suffering from contact dermatitis nor to protect people from risk of developing allergies due to metal irritants or allergens is yet available. None of the existing methods aimed to protect the skin against contact with nickel or other metals is suitable for a cosmetic or pharmaceutical composition. Indeed, this kind of composition should give a good feel, must be compatible with skin pH on a daily use and offer an optimal efficiency. The use of calcium carbonate particles to prevent contact dermatitis due to nickel exposure has been disclosed (US 2012/0321573). However, the use of such ingredients in cosmetic preparations induces several problems. Mainly, the introduction of calcium carbonate or other salt carbonates in a oil in water emulsion would raise the pH well above skin pH. Skin pH is indeed usually comprised between 5.5 and 6.5, while a suspension of calcium carbonate in water at atmospheric CO2 pressure would raise aqueous pH at 9.7-9.8, which could induce irritation to skin, specially for people suffering from high skin sensitivity. Increasing skin pH could also help the development of bacteria that are usually inhibited by the acidic pH environment on normal skin (Koting H. C., et al., Clin Investig, 1993; 71(8): pp 644-648). Second, the calcium carbonate would require a complex formulation to be incorporated in stable cosmetic or pharmaceutical products. None of these aspects are taken into account to develop products that can efficiently protect skin against metal exposure. Most importantly, CaCO3 and other carbonates would partly dissociate at a pH 4-8 required for a topical product (Coto, B., et al., Fluid Phase Equilibria. 324 (2012) pp 1-′7), allowing free carbonates to chelate metals and bring them through skin, thereby limiting the possible effects of the CaCO3 particles. Usually, the absorption of compounds applied to skin is limited by the stratum corneum, which forms a very structured barrier at the surface of the skin. The passage of molecules through the cornified layer of the skin takes place at a very low diffusion rate and it is known that beads having a size greater than 10 nm remain at the surface of the skin (Rolland A., et al., 1993. Pharm Res 10: pp 1738-1744). However, these CaCO3 molecules, once soluble, are able to bind nickel ions and these complexes are small enough to penetrate epidermis. The applicant surprisingly and unexpectedly found that specific ingredients recognized by the CIR (Cosmetic International Review), and bearing carboxylic acid, sulfonic acid or sulfate groups are useful to specifically protect the skin toward irritant or allergenic metals. The applicant indeed found that specific metal capturing agents bearing carboxyl, sulfonyl or sulfate moieties combine the ability to effectively capture metals such as nickel and to remain at the surface of the skin at physiological pH, and are suitable for use in cosmetic or pharmaceutical preparations. A subject of the invention is also a method to avoid contact with metal irritants or allergens using these metal capturing agents. Another subject of the invention is the use of these metal capturing agents to reduce skin exposure to metal irritants or allergens coming from the environment. Another subject of the invention is the use of these metal capturing agents to reduced skin exposure to radioactive isotopes in potentially contaminated environments. Other subject-matters, characteristics, aspects and advantages of the invention will become even more clearly apparent on reading the description, examples and figures which follow. The present invention is directed to a composition to protect skin against contact with metal irritants and allergens that comprises, in a cosmetically acceptable medium, at least one metal capturing agent bearing carboxyl, sulfonyl or sulfate moieties and that does not dissociate at pH 4 to 8. Within the meaning of the present invention, the terms “at least one” means one or more and thus includes single compounds as well as mixtures. The metal capturing agents of the present invention are useful toward skin exposure to cations in general, since the carboxyl, sulfonyl and sulfate groups would capture these cations, without being limited to nickel, cobalt, chromium, zinc or lead. These agents could also limit skin exposure toward other metal cations that could become an asset due increasing exposure through environment. Preferably, the agent bearing carboxyl, sulfonyl or sulfate moieties is chosen among polyacrylic acid polymer and its derivatives, polysaccharide from i) gum of microbial origin, such as xanthan gum or gellan gum ii) gum of algae origin, such as carrageenan, in particular iota carrageenan, kappa carrageenan or alginate, iii) polysaccharide from animal origin, such as chitosan, iv) polysaccharide from vegetal origin, such as cellulose derivative polymer, pectine and its derivatives. Such metal capturing agents are typically found in the aqueous phase of a composition. Among polyacrylic acid polymers, one can find a) synthetic hydrophilic polymers that comprise a free carboxylic acid moieties, such as polymer of acrylic acid known as carbomer, crosslinked homopolymers of acrylic acid, copolymers of acrylic acid, carboxyvinyl polymers, acrylic acid/polyallyl sucrose polymers, polyacrylic compounds and acrylic acid/ethyl acrylate copolymer. b) synthetic hydrophilic polymers that comprise a sulfonic acid moiety, such as homopolymers of acrylamidopropanesulfonic acid, crosslinked homopolymers of acrylamidopropanesulfonic acid, copolymers of acrylamidopropanesulfonic acid The agent bearing carboxyl moieties is a homopolymer or heteropolymer bearing at least one free carboxylic acid moiety per monomer. the agent bearing carboxyl moieties is preferably chosen among carbomer, carboxyvinyl polymers, acrylic acid/polyallyl sucrose polymers, polyacrylic compounds and acrylic acid/ethyl acrylate copolymer and polyacrylamidopropanesulfonic acid. Preference is particularly given, among the carboxyl bearing agents to carbomer or carboxymethyl cellulose. The agent bearing sulfonyl moieties is a homopolymer or heteropolymer bearing at least one free sulfonyl moiety per monomer. Preferably, the agent bearing sulfonyl moieties is chosen among homopolymers of acrylamidopropanesulfonic acid, crosslinked homopolymers of acrylamidopropanesulfonic acid and copolymers of acrylamidopropanesulfonic acid. The agent bearing sulfate moieties is a homopolymer or heteropolymer bearing at least one free sulfate acid moiety per monomer. Preferably, the sulfate bearing agent agent is a natural sulfated polysaccharide. Sulfate moieties has a chemical structures that enable the binding of sodium and calcium ions, a property which is used in industry to stabilize emulsion by gelling it using such polymer. A well-known class of this gelling agent is carrageenans (MacArtain, P., et al., Carbohydrate Polymers, 2003, 53 (4), pp 395-400) known as a food additive in European Union under the E number E407 (or E407a). For these polymers, kappa-carrageenans and iota-carrageenan are able to form gels in presence of cationic ions. More preferably, the polysaccharide present in the compositions of the invention is chosen among carrageenans, and even more preferably among iota carrageenans. The compositions of the invention are able to protect skin against contact with metal irritants or allergens. These metal irritants or allergens may be polyvalent metal cations, and preferably nickel, cobalt or chromium. However, other metals can be captured by these polymers. It can be, as example, Ag+, Au+, Tl+, Hg+, Cs+, Ti2+, Zn2+, Ni2+, Pd2+; Cd2+, Pt2+, Hg2+, T13+, Cr3+, Co3+, Cu+, Cu2+, Cu3+, Pb2+, Pb3+, Fe3+, La3+, In3+, Ga3+, Sr3+, A13+, U3+, U4+. According to the invention, the metal capturing agent is contained in the compositions of the invention in proportions from 0.1% to 40%, and preferably from 0.3% to 30% of the total weight of the composition. The compositions of the invention may contain emollients that can be, without being limitative: a) hydrocarbon based plant oil with a high triglyceride content consisting of fatty acid ester of glycerol. The fatty acid may have various chains lengths, and these chains can also be linear or branched and saturated or unsaturated. This plant oil can be avocado oil, apricot kernel oil, blackcurrant seed oil, borage seed oil, camelina seed oil, castor oil, chaulmoogra oil, corn oil, cottonseed oil, cucumber seed oil, grapeseed oil, hemp seed oil, Inca inchi oil, karite butter, millet oil, musk rose oil, olive oil, passion flower oil, perilla seed oil, rapeseed oil, sunflower oil, sweet almond oil, wheat germ oil. b) synthetic oils or ester of formula R1COOR2 with R1 and R2 representing a linear or a branched fatty acid residues. It can be Behenyl Beeswax, Behenyl Behenate, Behenyl Erucate, Behenyl Isostearate Behenyl Olivate, Behenyl/Isostearyl Beeswax, Butyl Avocadate, Butyl Babassuate, Butyl Isostearate, Butyl Myristate, Butyl Oleate, Butyl Stearate, Butyloctyl Beeswax, Butyloctyl Behenate, Butyloctyl Candelillate, Butyloctyl Cetearate, Butyloctyl Oleate, Butyloctyl Palmitate, C10-40 Isoalkyl Acid Octyldodecanol Esters, C14-30 Alkyl Beeswax, C16-36 Alkyl Stearate, C18-38 Alkyl Beeswax, C18-38 Alkyl C24-54 Acid Ester, C20-40 Alkyl Behenate, C20-40 Alkyl Stearate, C30-50 Alkyl Beeswax, C30-50 Alkyl Stearate, C32-36 Isoalkyl Stearate, C40-60 Alkyl Stearate, C4-5 Isoalkyl Cocoate, Caprylyl Butyrate, Caprylyl Caprylate, Caprylyl Eicosenoate, Cetearyl Behenate, Cetearyl Candelillate, Cetearyl Isononanoate, Cetearyl Nonanoate, Cetearyl Olivate, Cetearyl Palmate, Cetearyl Palmitate, Cetearyl Rice Branate, Cetearyl Stearate, Cetyl Babassuate, Cetyl Behenate, Cetyl Caprate, Cetyl Caprylate, Cetyl Dimethyloctanoate, Cetyl Esters, Cetyl Isononanoate, Cetyl Laurate, Cetyl Myristate, Cetyl Myristoleate, Cetyl Oleate, Cetyl Palmitate, Cetyl Ricinoleate, Cetyl Stearate, Cetyl Tallowate, Chimyl Isostearate, Chimyl Stearate, Coco-Caprylate, Coco-Caprylate/Caprate, Coco-Rapeseedate, Decyl Castorate, Decyl Cocoate, Decyl Isostearate, Decyl Jojobate, Decyl Laurate, Decyl Myristate, Decyl Oleate, Decyl Olivate, Decyl Palmitate, Decyltetradecyl Cetearate, Erucyl Arachidate, Erucyl Erucate, Erucyl Oleate, Ethylhexyl Adipate/Palmitate/Stearate, Ethylhexyl C10-40 Isoalkyl Acidate, Ethylhexyl Cocoate, Ethylhexyl Hydroxystearate, Ethylhexyl Isononanoate, Ethylhexyl Isopalmitate, Ethylhexyl Isostearate, Ethylhexyl Laurate, Ethylhexyl Myristate, Ethylhexyl Neopentanoate, Ethylhexyl Oleate, Ethylhexyl Olivate, Ethylhexyl Palmitate, Ethylhexyl Pelargonate, Ethylhexyl Stearate, Heptyl Undecylenate, Heptylundecyl Hydroxystearate, Hexyl Isostearate, Hexyl Laurate, Hexyldecyl Hexyldecanoate, Hexyldecyl Isostearate, Hexyldecyl Laurate, Hexyldecyl Oleate, Hexyldecyl Palmitate, Hexyldecyl Stearate, Hexyldodecyl/Octyldecyl Hydroxystearate, Hydrogenated Castor Oil Behenyl Esters, Hydrogenated Castor Oil Cetyl Esters, Hydrogenated Castor Oil Stearyl Esters, Hydrogenated Ethylhexyl Olivate, Hydrogenated Ethylhexyl Sesamate, Hydrogenated Isocetyl Olivate, Hydrogenated Isopropyl Jojobate, Hydroxycetyl Isostearate, Hydroxyoctacosanyl Hydroxystearate, Isoamyl Laurate, Isobutyl Myristate, Isobutyl Palmitate, Isobutyl Perlargonate, Isobutyl Stearate, Isobutyl Tallowate, Isocetyl Behenate, Isocetyl Isodecanoate, Isocetyl Isostearate, Isocetyl Laurate, Isocetyl Myri state, Isocetyl Palmitate, Isocetyl Stearate, Isodecyl Cocoate, Isodecyl Hydroxystearate, Isodecyl Isononanoate, Isodecyl Laurate, Isodecyl Myristate, Isodecyl Neopentanoate, Isodecyl Oleate, Isodecyl Palmitate, Isodecyl Stearate, Isohexyl Caprate, Isohexyl Laurate, Isohexyl Neopentanoate, Isohexyl Palmitate, Isolauryl Behenate, Isononyl Isononanoate, Isooctyl Caprylate/Caprate, Isooctyl Tallate, Isopropyl Arachidate, Isopropyl Avocadate, Isopropyl Babassuate, Isopropyl Behenate, Isopropyl Hydroxystearate, Isopropyl Isostearate, Isopropyl Jojobate, Isopropyl Laurate, Isopropyl Linoleate, Isopropyl Myristate, Isopropyl Oleate, Isopropyl Palmitate, Isopropyl Ricinoleate, Isopropyl Stearate, Isopropyl Tallowate, Isostearyl Avocadate, Isostearyl Behenate, Isostearyl Erucate, Isostearyl Hydroxystearate, Isostearyl Isononanoate, Isostearyl Isostearate, Isostearyl Laurate, Isostearyl Linoleate, Isostearyl Myristate, Isostearyl Neopentanoate, Isostearyl Palmitate, Isotridecyl Isononanoate, Isotridecyl Laurate, Isotridecyl Myristate, Isotridecyl Stearate, Lauryl Behenate, Lauryl Cocoate, Lauryl Isostearate, Lauryl Laurate, Lauryl Myristate, Lauryl Oleate, Lauryl Palmitate, Lauryl Stearate, Lignoceryl Erucate, Myristyl Isostearate, Myristyl Laurate, Myristyl Myristate, Myristyl Neopentanoate, Myristyl Stearate, Octyldecyl Oleate, Octyldodecyl Avocadoate, Octyldodecyl Beeswax, Octyldodecyl Behenate, Octyldodecyl Cocoate, Octyldodecyl Erucate, Octyldodecyl Hydroxystearate, Octyldodecyl Isostearate, Octyldodecyl Meadowfoamate, Octyldodecyl Myristate, Octyldodecyl Neodecanoate, Octyldodecyl Neopentanoate, Octyldodecyl Octyldodecanoate, Octyldodecyl Oleate, Octyldodecyl Olivate, Octyldodecyl Ricinoleate, Octyldodecyl Safflowerate, Octyldodecyl Stearate, Oleyl Arachidate, Oleyl Erucate, Oleyl Linoleate, Oleyl Myristate, Oleyl Oleate, Oleyl Stearate, Propylheptyl Caprylate, Stearyl Beeswax, Stearyl Behenate, Stearyl Caprylate, Stearyl Erucate, Stearyl Heptanoate, Stearyl Linoleate, Stearyl Olivate, Stearyl Palmitate, Stearyl Stearate, Tetradecyleicosyl Stearate, Tetradecyloctadecyl Behenate, Tetradecyloctadecyl Hexyldecanoate, Tetradecyloctadecyl Myristate, Tetradecyloctadecyl Stearate, Tetradecylpropionates, Tridecyl Behenate, Tridecyl Cocoate, Tridecyl Erucate, Tridecyl Isononanoate, Tridecyl Laurate, Tridecyl Myristate, Tridecyl Neopentanoate, Tridecyl Stearate. The emollient part is of from 3% to 60%, preferably from 10% to 30%. Other ingredients can be added. The ingredient can be a non-ionic_surfactant like oxyethylated amphiphile molecules from formula R3-(O—CH2-CH2)n-OH where R3 represents a linear saturated or unsaturated alkyl chain comprising 8 to 30 carbon atoms and n is comprised between 1 and 50. Alternatively, the non-ionic surfactant can be a fatty alcohol from formula R4-OH where R4 represents a linear or branched, saturated or unsaturated alkyl chain comprising 8 to 30 carbon atoms. The non-ionic surfactant can also comprise polyoxyethylene of sorbitan esters or a poloxamer. When present, the surfactant part is from 1 to 20%, preferably from 3 to 7%. In one embodiment, mineral particles can be added to the composition of the invention. The possible mineral particles are nanoparticles or microparticles which present properties of binding metal irritant. Preferably, they are selected from the group comprising zeolite, phyllosilicates, especially kaolin, potassium alum, hydroxyapatite, calcium carbonate, calcium phosphate, ammonium calcium silicate, microporous aluminosilicate, sodium alumniosilicate, calcium silicate, sodium calcium aluminosilicate, magnesium carbonates, magnesium silicate, tricalcium silicate, potassium bisulfite, potassium metabisulfite, sodium bisulfite, sodium metabisulfite, sodium sulfite, ferric orthophosphate, ferric phosphate, ferric pyrophosphate, ferric sodium pyrophosphate, magnesium sulfate, magnesium phosphate, manganese sulfate, manganese oxide, manganese carbonate, aluminum potassium sulfate, aluminum sodium sulfate, sodium aluminum phosphate, sodium bicarbonate, ammonium carbonate, ammonium sulfate, ammonium phosphate. More preferably, they are selected from the group comprising kaolin, hydroxyapatite, potassium alum, zeolite and calcium carbonate. When the compositions of the invention contain mineral particles, the amount incorporated in the composition is of from 5% to 40%, preferably between 10% to 25%. The composition according to the invention is preferably aqueous and then comprises water at a concentration preferably ranging from 5% to 60% by weight, with respect to the total weight of the composition. In a preferred embodiment of the present invention, the protecting composition of the invention contains 0.1 to 10% of polymeric metal capturing agent and 5% to 30% of emollient. In a more preferred embodiment, the protecting composition of the invention contains 0.1 to 10% of polymeric metal capturing agent, 5% to 30% of emollient and 3% to 40% of metal capturing mineral particles. Occlusive ingredient such as silicone may be added to the composition of the invention to act as a barrier layer in addition to the capturing polymeric agent. It may be desirable to employ humecting ingredients to improve the hydrating properties of the cosmetic products. Humecting ingredients can be glycerin, urea, hyaluronic acid and its salts, or pantolactone. According to the invention, the composition of the present invention may also include other ingredients such as preservatives, actives ingredients used to treat skin or hair, sunscreens, dye, pigments and other co-solvants. Mention may be made to synthetic ether of glycol, that may be used as co-solvants. A person skilled in the art will take care to choose these optional additives and their amounts so that they do not harm the properties of the compositions of the present invention. For a cosmetic or pharmaceutical product to be acceptable, it has to sustain stability tests. As defined herein, stability is tested by placing the composition in an oven for 4 to 8 weeks at 40-45° C. and at room temperature (typically between 20° C. and 25° C.) over the same period of time. In this test, the sample is inspected regularly over the experiment time to determine the appearance of abnormalities such as oxidation (through change of color), phase segregation or change of pH. A composition is considered stable if over this period of time, no abnormality has been registered that could tamper the efficiency or degrade the cosmeticity of the product. The compositions of the invention have been tested, are stable and fulfill the preceding requirements. The composition of the present invention may be used for any application in which it is desirable to employ a product that offers protective properties toward metals. The compositions of the invention, once topically applied on the skin, are used in a cosmetic method for reducing or preventing contact dermatitis, or for reducing allergies to metals. Alternatively, the composition of the invention is sprayed or coated on clothes or protective personal equipment or object in contact with the skin. The compositions of the invention are also useful as a medicament. Preferably, they can be used to reduce or prevent contact dermatitis as well as to prevent allergies to metals. Moreover, the compositions of the invention are used to reduce undesired effects on skin following to contact with irritating metals. Another application for these products is their development to protect people toward radioactive elements such as uranium, which people may be exposed in potentially contaminated environments. Some metals such as uranium present radioactive positively charged isotopes that could be captured by the polymers described within this invention. These products could be used as skincare, or deposited on top of clothes or protective gears, or personal protective equipment, or any item containing the metal in the form of a coating layer. The compositions of the invention are therefore useful to limit risks induced by exposure to radioactive material. Preferably, the radioactive element is uranium. The compositions of the invention are used in a method for reducing risks of undesired effects on skin of contact with metals, whether these contacts come from objects or environmental conditions. They are also useful in a method for reducing risks of undesired effects on health due to contact with radioactive isotopes. Said compositions can be used for prevention or treatment. The compositions according to the invention are applied on the skin or cutaneous surface of an individual, on the metal-containing object, on clothes or other material to be protected from metal exposition. Depending on the method of administration, the compositions according to the invention can be in all the forms usually employed in cosmetics or in medications. Said compositions can in particular be formulated as ointments, creams, milks, gels, lotions, sprays, sticks, powders or liquids. The following examples illustrate the invention without limiting it in any way. a) Principle of the Test An in vitro test has been developed. The DMG (dimethylglycoxime) is used to develop a color test revealing the presence of nickel ions, whatever in a solution, a pellet, a solid support or on human skin. This test is developed to estimate the trapping abilities of the cream when exposed to nickel. Briefly, a solution of nickel is contacted with the composition to be tested. After homogenization and incubation at room temperature, the solution is centrifuged, and the hydrated polymer is collected in the pellets while the polymer free aqueous phase is collected in the supernatant. To test the presence of free nickel ions, 10 μL of the supernatant is collected and deposited on a blot paper. The presence of free nickel is revealed by swabbing a DMG solution with a cotton wood. In presence of nickel, DMG turns pink. b) Nickel Capture with Carboxyl Moieties 500 μL of a solution of Carbomer Carbopol Ultrez 10 polymer (Lubrizol), at 4% w/w in water into which 50 μL of nickel solution in water (High Purity Standards) has been added, has been incubated for 1 hour at room temperature. The mixture was then centrifuged to separate polymer from the supernatant. 10 μL of supernatant has been deposited on a filter paper and DMG (Chemo nickel Test—Chemotechnique Diagnostics) has been deposited with a swab on this spot. 6 concentrations of nickel have been tested from a stock nickel solution concentration of 1 mg/mL: 500 μg/mL, 250 μg/mL, 125 μg/mL, 62.5 μg/mL, 31.3 μg/mL, 15.6 μg/mL. To determine the efficiency of the capture, a blank has been made with solutions of nickel in water at the same concentrations. The experiment was performed in three replicates (A, B, C). The results are shown in FIG. 1 for carbomer and FIG. 2 for the blank. The intensity of the color has been determined using ImageJ software (National Institute of Health, USA) as a grey scale. The results are summarized in table 1. TABLE 1grey values determined with ImageJ software on the spots revealed by DMG.[Ni2+] μg/mL15.6531.2562.5125250500Carbomer 4%00014 (+/−6) 22.7 (+/−8.6)32.3 (+/−2.9)H2O09.6 (+/−0.6)22.3 (+/−2.1)28 (+/−8.2)41.3 (+/−2.1) 50 (+/−4.4) For all concentrations tested, the grey value intensity of the spot after DMG is lower when the supernatant is tested, compared to aqueous solutions. It means that the carbomer tested is able to capture nickel ions in solution. c) Nickel Capture with Sulfonyl Moieties Two compositions were tested toward nickel capture. Detailed description of the compositions is reported in Table 2 below. IngredientsComposition AComposition BCastor oil (Olvea)20%20%Isononylisononanoate (SEPPIC)25%25%Emulgade 1000 Ni (BASF) 5% 5%Poly (2-acryloamideo-2-methyl-1—7.5% propanesulfonic acid)(Sigma Aldrich)Waterqsp 100%qsp 100% Briefly, isononyl Isononanoate, castor oil and emulgade 1000 Ni were mixed at 70° C., then added to water at 70° C. (Composition A) or to the water containing the polymer (Composition B) at 70° C. and mixed while let to cool down. 50 μL nickel at 0,125 g/L was added to 500 μL of the compositions A or B, and the mixtures were vortexed and then allowed to rest for 30 minutes before being centrifugated at 13000 rpm for 30 minutes. 10 μL of the supernatant and 10 μL of the pellet for each sample were deposited on a blotter paper sheet and DMG was applied on the spots. The appearance of a pink color reveals the presence of nickel ions in the sample. Experiments were made twice, and two tests (a and b) per sample were made. The results of the detection of nickel ions with DMG in the pellet or supernatant of composition A and B are summarized in Table 3 below and also in FIG. 3. Detection of nickelSample(% surface coverage pink colored)Formula A pellet34% (±5%)Formula A supernatant25% (±5%)Formula B Pellet20% (±6%)Formula B supernatant0% Indeed, nickel is detected both in the supernatant and the pellet of a composition containing no sulfonyl moieties bearing polymer, meaning there was no preferential binding of this element in the composition A. On the other hand, the nickel was only detected in the pellet of the composition B containing Poly (2-acryloamideo-2-methyl-1 propanesulfonic acid) (sulfonyl moieties bearing polymer). Nickel is bound to the polymer. The size of nickel/polymer complex is large enough to avoid its penetration into skin, preventing the skin absorption of the nickel ions capture by the polymer. d) Nickel Capture with Sulfate Moieties Two compositions were tested toward nickel capture. Detailed description of the compositions is reported in Table 4. IngredientsComposition CComposition DCastor oil (Olvea)20%20%Isononylisononanoate25%25%(SEPPIC)Emulgade 1000 Ni (BASF)0.5% 0.5% iota-carrageenan (Aromazone)—10.00% Waterqsp 100%qsp 100% Isononyl Isononanoate, castor oil and emulgade 1000 Ni were mixed at 70° C., then added to water at 70° C. (Composition C) or to the water containing the iota-carrageenan polymer (Composition D) at 70° C. and mixed while let to cool down. 50 μL nickel at 0,125 g/L was added to 500 μL of the compositions A or B. The mixtures were vortexed and then allowed to rest for 30 minutes before being centrifuged at 13000 rpm for 30 minutes. 10 μL of the supernatant and 10 μL of the pellet for each sample were deposited on a blotter paper sheet and DMG was applied on the spots. The appearance of a pink color reveals the presence of nickel ions in the sample. Experiments were made twice, and two tests (a and b) per sample were made. Table 5 and the results of FIG. 4 report the detection of nickel ions with DMG in the pellet or supernatant of compositions C and D. Three tests were made by sample. Detection of nickelSample(% surface coverage pink colored)Formula C pellet11% (±3%)Formula C supernatant 5% (±2%)Formula D Pellet17% (±2%)Formula D supernatant0% Nickel is detected both in the supernatant and the pellet of a composition containing no sulfate moieties bearing polymer (iota-carrageenan). On the other hand, the nickel was detected only in the pellet of the composition D. Nickel is bound to the polymer. The size of nickel/polymer complex is large enough to avoid its penetration into skin, preventing the skin absorption of the nickel ions capture by the polymer. A protective composition as described in Table 6 below is made by adding all the ingredients of phase A in a beaker heated in water bath at 70° C. Then, aqua, glycerin, hexanediol and chlorphenesin are mixed and heated at 70° C. under stirring. Once all the ingredients are dissolved and the mixture is homogeneous, the carbomer is added under light stirring in order to let it hydrate. Once hydration is completed, Phase A is added in Phase B under strong agitation and the preparation is let slowly cooling down at room temperature. Then, a solution of NaOH at 0.05 M is used to buffer the composition at pH 6. The protective cream is to be applied at a concentration of 4 mg/cm2. TABLE 6detailed composition of a nickel-protective cream.Ingredients% IngredientsPhase ACastor Oil10.00% Emulgade 1000 Ni (BASF)5.50%(split in 2)LANOL 9915.00% Tocopheryl Acetate0.10%Phase BAquaQsp 100% Chlorphenesin (Azelis)0.30%Carbopol Utrez 104.00%(Lubrizol)Glycerin (Cooper)3.00%EMOLLIENT Microcare1.50%HXD (Thor) Polyacrylic acid polymers known as carbomer have the properties to be acidic in aqueous solutions with the presence of numerous free COOH moieties. The ability of carbomer to bind nickel ion has been tested in an in vitro test according to the following protocol. A carbomer (Carbopol Ultrez 10, Lubrizol) suspension at 0.3% is hydrated in a glycerol/H2O (1/3) solution and tested according to the same protocol as the one described in example 1. To test the efficiency of the combination of both metal capturing agent, the association of carbomer with CaCO3 has been tested, and a comparison has been made with CaCO3 particles alone. The detailed compositions and their final pH are described in table 7. CompositionName(% of total weight)ProtocolpHCompo-Glycerin 20%mix glycerin and water at 50° C.,9.7sition ACaCO3 20%when homogeneous, add CaCO3Water: qsp 100%powder under stirring and letcool down at room temperature.Compo-Glycerin 20%mix glycerin and water at 50° C.,7sition BCaCO3 20%when homogeneous, add CaCO3Water: qsp 100%powder under stirring and letHCl 25% qsp pHcool down at room temperature.stabilizationOnce at RT, add HCl to stabilizethe composition at pH 7Compo-Glycerin 20%mix glycerin and water at 50° C.,5sition CCaCO3 20%when homogeneous, add CaCO3Water: qsp 100%powder under stirring and letHCl 25% qsp pHcool down at RT. Once it is atstabilizationroom temperature, add HCl tostabilize the composition at pH 5Compo-Glycerin 20%mix glycerin and water at 70° C.,3.6sition DH2O 60%when homogeneous, addCabomer 0.3%carbomer under stirring and lethydrate. Once hydrationcomplete, let cool down at roomtemperature.Compo-Glycerin 20%mix glycerin and water at 70° C.,6.9sition ECaCO3 20%when homogeneous, addWater: 60%carbomer under stirring and letcarbomer 0.3%hydrate. When carbomerhydration is completed, addCaCO3 powder under stirringand let cool down at roomtemperature. The grey level of the spots obtained after DMG swabbing has been evaluated using Image J Software. The results are expressed as a function of the control which is a spot of nickel in H2O/glycerol to evaluate the efficiency of the nickel capture of the tested composition. The results are summarized in table 8 below and in FIG. 5. TABLE 8Percentage of capture efficiency of 10 μg of nickelby 500 μL of composition A, B, C, D and E.% of efficiency of capture comparedto nickel in H2O/GlycerinComposition A100%Composition B48% (+/−5%)Composition C30% (+/−7%)Composition D 7% (+/−3%)Composition E100% Carbomer alone is able to capture nickel as demonstrated in example 2. Even at a concentration as low as 0.3% (composition D), the polymer is able to capture 4 to 10% of nickel added to the suspension. When this polymer is mixed with CaCO3 particles, the mixture has a pH compatible with skincare products. The efficiency of the composition E (Carbomer+CaCO3 particles) is higher than the addition of the efficiency of compositions B and D, showing the synergic effect observed for the presence of both carbomer and CaCO3 particles. Indeed, the CaCO3 particles alone are able to capture nickel ions in solution. However, the pH of such aqueous composition is too high to be suitable for a cosmetic or pharmaceutical topical product. Decreasing pH to render it acceptable for skin drastically reduces the efficiency of nickel capture (see the results of compositions A and B). This lower efficiency of the CaCO3 particles alone observed at pH compatible with skin is explained by partial solubilization of CaCO3 in acidic pH. A composition with CaCO3 buffered with HCl—a common pH adjusting agent—together with alginate has been tested according to the following protocol: Alginate polymer powder (1%) is hydrated in a glycerin/H2O solution (1/3). After solubilization of the powder, CaCO3 (20% w/w) particles are incorporated. The pH is corrected at 6.5 using an HCl (25%) solution (composition D). To evaluate the efficiency of this composition, the following compositions have been prepared as controls: Composition A: Glycerin (25%)+H2O (75%) Composition B: Glycerin (20%)+H2O (60%)+CaCO3 (20%) pH 9.7 Composition C: Glycerin (20%)+H2O (60%)+CaCO3 (20%)+HCl pH 5 100 μL of each of these compositions has been coated on top of a polycarbonate membrane with pores diameter of 30 nm (Avanti Polar Lipids) and the coated membranes have been deposited on top of a filter paper. 10 μL of a nickel solution at 68 μL/mL has been deposited on the center of these coated membrane and let to interact for 5 to 10 minutes. After this time, the membranes were removed and a DMG soaked swab has been used to reveal nickel presence on the underlying filter paper. To estimate the efficiency of nickel capture, the percentage of pink surface area colored after swabbing with DMG is reported. Results are summarized in table 9 and in FIG. 6. TABLE 9percentage of surface area that turned pink aftercoating with DMG, once the nickel solution hasbeen deposited on top of a polycarbonate filter.% of surface area whichhas reacted with DMGComposition A35%Composition B 4%Composition C47%Composition D 0% The glycerin/H2O solution (composition A) allowed nickel ions to strongly cross the polycarbonate membrane. With glycerin+H2O+carbonate (composition B or C), the intensity of the spot depends on the pH of the composition (very weak for 9.7, stronger for pH 5). This is coherent with the results of example 3. Finally, no detection of nickel was observed for composition with CaCO3 buffered with HCl, together with alginate (composition D). This demonstrates that, at a pH compatible with skincare products, a composition containing a metal capturing agent that does not cross the skin like alginate is very efficient when combined with CaCO3 particles showing quite a low efficiency at a pH compatible with skincare products. |
|
abstract | The invention relates to the nuclear energy field, including pressurized water reactor containment internal passive heat removal systems. The invention increases heat removal efficiency, flow stability in the circuit, and system reliability. The system has at least one cooling water circulation circuit comprising a heat exchanger inside the containment and including an upper and lower header interconnected by heat-exchange tubes, a riser pipeline and a downtake pipeline connected to the heat exchanger, a cooling water supply tank above the heat exchanger outside the containment and connected to the downtake pipeline, a steam relief valve connected to the riser pipeline and located in the water supply tank and hydraulically connected to the latter. The upper and lower header of the heat exchanger are divided into heat exchange tube sections on the assumption that: L/D≤20, L being the header section length, D being the header bore. |
|
claims | 1. A nuclear reactor system having a pressurized coolant circuit including a pressure vessel, heat exchanger, core makeup tank and connecting piping, the connecting piping including a main coolant piping loop connecting the heat exchanger to the pressure vessel in a closed loop configuration and a makeup water connection connecting the core makeup tank to the pressure vessel, the nuclear reactor system being housed within a containment shell and further comprising;an in-containment water reservoir that is maintained vented to an atmosphere of the containment shell;a depressurization system for automatically depressurizing the pressurized coolant circuit in the event of a design basis accident and connecting the water reservoir to the pressure vessel; anda blocking device is connected to the depressurization system for preventing the depressurization system from activating when coolant within the core makeup tank is above a preselected level. 2. The nuclear reactor system of claim 1 wherein the blocking device fails in a failsafe condition wherein the failure of substantially any component within the blocking device will stop the blocking device from preventing the depressurization system from activating. 3. The nuclear reactor system of claim 1 wherein the preselected level is a level at which the core makeup tank is considered substantially full. 4. The nuclear reactor system of claim 1 wherein the core makeup tank comprises at least two water tanks connected to the pressure vessel and wherein the blocking device prevents the depressurization system from activating when coolant within each of the water tanks is above the preselected level. 5. The nuclear reactor system of claim 4 wherein the blocking device does not prevent the depressurization system from activating when coolant within any of the water tanks is below the preselected level. 6. The nuclear reactor system of claim 4 wherein the blocking device does not prevent the depressurization system from activating when disabled by a remote manual override switch or other interlocking condition. 7. The nuclear reactor system of claim 1 wherein the blocking device is connected to a priority command input of a component interface module controlling a valve of the depressurization system. |
|
description | This application is a continuation of PCT application number PCT/IB2010/052177 filed on 17 May 2010, which claims priority from U.S. provisional application No. 61/179,760 filed on 20 May 2009. Both applications are hereby incorporated by reference in their entireties. 1. Field of the Invention The present invention relates to a method of generating a two-level pattern for lithographic processing by multiple beamlets. The invention further relates to a computer readable medium for performing, when executed by a processor, such a method. The invention further relates to a pattern generator arranged for performing such a method. The invention further relates to a charged particle multi-beamlet system for exposing a target using a plurality of beamlets, in which the system comprises such a pattern generator. Finally, the invention relates to a lithographic system comprising such a pattern generator. 2. Description of the Related Art Systems using a black and white writing strategy, i.e. an “on” and “off” writing strategy, are widely known in the art. They may use, for example, laser beams or charged particle beams, and may feature the use of direct writing in maskless systems. By modulating the beam (or beams in multi-beam systems), individual grid cells in a rasterized virtual grid may be exposed or not exposed to write the desired pattern on to the target. Such beams are characterized by a so-called beam effect in the target surface, which is often described by a point spread function. The point spread function generally has a Gaussian distribution, which describes the extent of the surface area affected by a beam. The beam size is generally defined as the size of the distribution in which 50% of the beam energy is present. Generally, the spot area of the beam at the surface area is much larger than the typical size of the grid cells. A full exposure of a certain grid cell thus also causes an exposure with less intensity in the grid cells adjacent to the exposed cell. So, in case of a charged particle beam, the number of charged particles deposited within an individual grid cell, also referred to as dose, constitutes of the sum of the dose received directly from exposure of the grid cell itself and indirectly from exposure of adjacent cells. By selecting a suitable cut-off level for development of the resist layer being exposed, desired feature dimensions can be obtained. A particular kind of charged particle beam based lithographic system is known from U.S. Pat. No. 6,897,458, assigned to the present owner of the invention, and involves a massive plurality of charged particle beamlets generated in a charged particle beam column for exposing a target. The charged particle beamlets are scanned over the target while being modulated. Additionally, the target may be capable of moving relative to the beams, for example in a direction transverse to the scanning direction of the beams. The modulation of the beamlets is performed on the basis of pattern data provided to the lithographic system. In the particular system described, the modulation is performed by blanking or blocking beamlets to effectively switch the beamlets on and off. Exposing a target using this type of lithography system is achieved by the combination of relative movement of the target and modulation (e.g. timed “on” and “off” switching or blanking) of each charged particle beamlet. A known method to expose a substrate with beamlets is a raster scan method. In order to control the beamlets in such a scanning method, the pattern data is rasterized. The target is positioned on a motor driven stage that is moved in a continuous motion. As the stage is moved, the beam is scanned in a direction substantially perpendicular to the stage motion. By supplying the rasterized pattern data to the system, timed so that the beamlets are modulated in synchronism with the beamlet deflection and stage motion, the pattern represented by the pattern data can be transposed as an exposure pattern onto the surface of the target. The rasterized pattern data corresponds to an exposure pattern on a virtual raster cell grid on the surface of the target. Existing charged particle beam technology is suitable for lithography systems for relatively course patterning of images, for example to achieve critical dimensions (CDs) of 90 nm and higher. However, a growing need exists for improved performance. It is desired to achieve considerably smaller critical dimensions, for example 22 nm, while maintaining sufficient wafer throughput, e.g. from 10 to 60 wafers per hour or higher. In a conventionally rasterized pattern as discussed above feature placement is limited to the grid lines of the raster cell grid. However, due to for example correction rules needed to correct for several resolution-disturbing phenomena like the proximity effect, edges of a feature often do not necessarily fall on a grid line. For this reason, a tendency exists to choose the raster cell grid as small as possible. However, in particular in charged particle beam systems using a plurality of beamlets, a grid size as large as possible is desired in view of data processing constraints. International application WO2007/105939, assigned to the present owner of the invention, addresses the issue of choosing a suitable grid size by introducing the use of “ragged” edges to enable placement of feature edges between grid lines. A further difficulty of patterning with a plurality of beamlets is dose variation between different beamlets. In a charged particle system, the current per beamlet generally varies. In multibeam systems, different parts of a substrate to be patterned are exposed by different beamlets. As a result of beamlet dose variation, patterning errors may occur. A writing strategy as presented in WO2007/105939 is unable to resolve this issue. It is desirable to provide a method of generating a two-level pattern for lithographic processing by multiple beamlets with improved performance in respect of one or more of the issues discussed above. For this reason, the invention provides a method of generating a two-level pattern for lithographic processing by multiple beamlets, the method comprising: providing a pattern in vector format; converting the vector format pattern into a pattern in pixmap format; and forming a two-level pattern by application of error diffusion on the pixmap format pattern. In an embodiment, the pixmap comprises an array of pixel cells. Within the array of pixel cells a multi-level (i.e. more than two levels) value is assigned to each pixel cell. In an embodiment of the invention, providing multi-level values to pixel cells may be based on relative coverage of the vector-format pattern by the respective pixel cell. Alternatively or additionally, providing multi-level values to pixel cells may be based on dose level values of the vector format pattern. In embodiments of the invention, the vector-format pattern may be a two-level pattern, i.e. it may be formed by two-level values. The invention further relates to a computer readable medium for performing, when executed by a processor, embodiments of such a method. The invention further relates to a pattern generator comprising: an input for receiving a pattern in vector format; a processing unit for performing a method of generating a two-level pattern for lithographic processing as described above; and an output for supplying the two-level pattern. The pattern generator may further comprise a memory for storing a pattern in pixmap format, the memory being communicatively coupled to the processing unit. The invention further relates to a charged particle multi-beamlet system for exposing a target using a plurality of beamlets, the system comprising: a beamlet modulation system for modulating the plurality of beamlets so as to form an exposure pattern; a projection system for projecting the modulated beamlets on to the surface of the target; a deflector array for deflecting the plurality of beamlets in a first direction; a substrate support member for supporting the target to be exposed; a control unit arranged to coordinate relative movement between the substrate support member and the plurality of beamlets in a second direction and movement of the group of beamlets in the first direction such that the target can be exposed in accordance with an array of pixel cells; wherein the charged-particle multi-beamlet system further comprises a beamlet pattern generator as described above. In an embodiment, the projection system comprises an array of projection lens systems. In a further embodiment, the plurality of beamlets is arranged in groups of beamlets, and each projection lens system corresponds with a group of beamlets. Finally, the invention further relates to a lithographic system comprising: a preprocessing unit; a charged particle multi-beamlet system for exposing a target using a plurality of beamlets in accordance with a two-level pattern; wherein the preprocessing unit comprises a beamlet pattern generator as described above. The following is a description of various embodiments of the invention, given by way of example only and with reference to the drawings. FIG. 1 shows a simplified schematic drawing of an embodiment of a charged particle multi-beamlet lithography system based upon an electron beam optical system without a common cross-over of all the electron beamlets. Such lithography systems are described for example in U.S. Pat. Nos. 6,897,458 and 6,958,804 and 7,084,414 and 7,129,502 which are all hereby incorporated by reference in their entirety, assigned to the owner of the present invention. In the embodiment shown in FIG. 1, the lithography system comprises an electron source 1 for producing a homogeneous, expanding electron beam 20. Beam energy is preferably maintained relatively low in the range of about 1 to 10 keV. To achieve this, the acceleration voltage is preferably low, the electron source preferably kept at between about −1 to −10 kV with respect to the target at ground potential, although other settings may also be used. The electron beam 20 from the electron source 1 passes a double octopole 2 and subsequently a collimator lens 3 for collimating the electron beam 20. Subsequently, the electron beam 20 impinges on an aperture array 4, which blocks part of the beam and allows a plurality of beamlets 21 to pass through the aperture array 4. The aperture array preferably comprises a plate having through holes. Thus, a plurality of parallel electron beamlets 21 is produced. The system generates a large number of beamlets 21, preferably about 10,000 to 1,000,000 beamlets, although it is of course possible to use more or less beamlets. Note that other known methods may also be used to generate collimated beamlets. The plurality of electron beamlets 21 pass through a condenser lens array 5 which focuses each of the electron beamlets 21 in the plane of a beamlet blanker array 6. This beamlet blanker array 6 preferably comprises a plurality of blankers which are each capable of deflecting one or more of the electron beamlets 21. Subsequently, the electron beamlets 21 enter an end module 7. The end module 7 is preferably constructed as an insertable, replaceable unit which comprises various components. In this embodiment, the end module 7 comprises a beam stop array 8, a beam deflector array 9, and a projection lens arrangement 10, although not all of these need be included in the end module 7 and they may be arranged differently. The end module 7 will, amongst other functions, provide a demagnification of about 25 to 500 times, preferably in the range 50 to 200 times. A slightly lesser demagnification is required in systems generating patterned subbeams, which will be discussed with reference to FIG. 3. The end module 7 preferably deflects the beamlets as described below. After leaving the end module 7, the beamlets 21 impinge on a surface of a target 11 positioned at a target plane. For lithography applications, the target usually comprises a wafer provided with a charged-particle sensitive layer or resist layer. In the end module 7, the electron beamlets 21 first pass beam stop array 8. This beam stop array 8 largely determines the opening angle of the beamlets. In this embodiment, the beam stop array 8 comprises an array of apertures for allowing beamlets to pass through. The beam stop array 8, in its basic form, comprises a substrate provided with through holes, typically round holes although other shapes may also be used. In one embodiment, the substrate of the beam stop array 8 is formed from a silicon wafer with a regularly spaced array of through holes, and may be coated with a surface layer of a metal to prevent surface charging. In one embodiment, the metal is of a type which does not form a native-oxide skin layer, such as CrMo. In one embodiment, the passages of the beam stop array 8 are aligned with the elements of the beamlet blanker array 6. The beamlet blanker array 6 and beam stop array 8 operate together to block or let pass the beamlets 21. If beamlet blanker array 6 deflects a beamlet, it will not pass through the corresponding aperture in beam stop array 8, but instead will be blocked by the substrate of beam stop array 8. But if beamlet blanker array 6 does not deflect a beamlet, then it will pass through the corresponding aperture in beam stop array 8 and will then be projected as a spot on the surface of target 11. In this way the individual beamlets 21 may be effectively switched on and off. Next, the beamlets 21 pass through a beam deflector array 9 which provides for deflection of each beamlet in the X and/or Y direction, substantially perpendicular to the direction of the undeflected beamlets 21. Next, the beamlets 21 pass through projection lens arrangement 10 and are projected onto a target 11, typically a wafer, in a target plane. The diameter of the apertures in beam stop array 8 may limit the cross section of a beamlet, such that only a central part of the beamlet is allowed to pass through for projection onto target 11. This central part of a beamlet has a relatively uniform charge density. Such cut-off of a circumferential section of a beamlet by the beam stop array 8 also largely determines the opening angle of a beamlet in the end module 7 of the system, as well as the amount of current at the target 11. In one embodiment, the apertures in beam stop array 8 are round, resulting in beamlets with a generally uniform opening angle. FIG. 2 shows an embodiment of the end module 7 in more detail, showing the beam stop array 8, the deflection array 9, and the projection lens arrangement 10, projecting an electron beamlet onto a target 11. The beamlets 21 are projected onto target 11, preferably resulting in a geometric spot size of about 10 to 30 nanometers in diameter, and more preferably about 20 nanometers. The projection lens arrangement 10 in such a design preferably provides a demagnification of about 100 to 500 times. In this embodiment, as shown in FIG. 2, a central part of a beamlet 21 first passes through beam stop array 8 (assuming it has not been deflected by beamlet blanker array 6). Then, the beamlet 21 passes through a deflector or set of deflectors arranged in a sequence forming a deflection system, of beam deflector array 9. The beamlet 21 subsequently passes through an electro-optical system of projection lens arrangement 10 and finally impinges on a target 11 in the target plane. The projection lens arrangement 10, in the embodiment shown in FIG. 2, has three plates 12, 13 and 14 arranged in sequence, used to form an array of electrostatic lenses. The plates 12, 13, and 14 preferably comprise plates or substrates with apertures formed in them. The apertures are preferably formed as round holes though the substrate, although other shapes can also be used. In one embodiment, the substrates are formed of silicon or other semiconductor processed using process steps well-known in the semiconductor chip industry. The apertures can be conveniently formed in the substrates using lithography and etching techniques known in the semiconductor manufacturing industry, for example. The lithography and etching techniques used are preferably controlled sufficiently precisely to ensure uniformity in the position, size, and shape of the apertures. This uniformity permits the elimination of the requirement to individually control the focus and path of each beamlet. Uniformity in the positioning of the apertures, i.e. a uniform distance (pitch) between the apertures and uniform arrangement of the apertures over the surface of the substrate, permits the construction of a system with densely packed beamlets which generate a uniform grid pattern on the target. Furthermore, in systems where multiple plates are used, the corresponding apertures in each plate are aligned. Misalignment in the apertures between plates may cause a difference in focal length along different axes. Uniformity in the size of the apertures enables uniformity in the electrostatic projection lenses formed at the locations of the apertures. Deviation in the size of the lenses will result in deviation in the focusing, so that some beamlets will be focused on the target plane and others will not. Uniformity in the shape of the apertures is also important. Where round holes are used, uniformity in the roundness of the holes results in the focal length of the resulting lens being the same in both axes. The substrates are preferably coated in an electrically conductive coating to form electrodes. The conductive coating preferably forms a single electrode on each substrate covering both surfaces of the plate around the apertures and inside the holes. A metal with a conductive native oxide is preferably used for the electrode, such as molybdenum, deposited onto the plate using techniques well known in the semiconductor manufacturing industry, for example. FIG. 2 shows the plates 12, 13, and 14 having electric voltages V1, V2 and V3 respectively applied to their electrodes. The voltage differences between the electrodes of plates 12 and 13, and between plates 13 and 14, create electrostatic lenses at the location of each aperture in the plates. This generates a “vertical” set of electrostatic lenses at each position in the array of apertures, mutually aligned, creating an array of projection lens systems. Each projection lens system comprises the set of electrostatic lenses formed at corresponding points of the arrays of apertures of each plate. Each set of electrostatic lenses forming a projection lens system can be considered as a single effective projection lens, which focuses and demagnifies one or more beamlets, and has an effective focal length and an effective demagnification. Each electrode is controlled by a single control voltage for the complete array. Thus, in the embodiment shown with three electrodes there will be only three voltages for all the thousands of lenses. In systems where only a single plate is used, a single voltage may be used in conjunction with a ground plane, such that electrostatic lenses are formed at the location of each aperture in the plate. The characteristics of the electrostatic lenses are controlled by the three control voltages, so that the amount of focusing and demagnification of all of the beamlets can be controlled by controlling these three voltages. In this way, a single common control signal can be used to control a whole array of electrostatic lenses for demagnifying and focusing a very large number of electron beamlets. A common control signal may be provided for each plate or as a voltage difference between two or more plates. The number of plates used in different projection lens arrangements may vary, and the number of common control signals may also vary. FIG. 2 also illustrates deflection of a beamlet 21 by deflection array 9 in the Y-direction, illustrated in FIG. 2 as a deflection of the beamlet from left to right. In the embodiment of FIG. 2, an aperture in deflection array 9 is shown for one or more beamlets to pass through, and electrodes are provided on opposite sides of the aperture, the electrodes provided with a voltage +V and −V. Providing a potential difference over the electrodes causes a deflection of the beamlet or beamlets passing though the aperture. Dynamically changing the voltages (or the sign of the voltages) will allow the beamlet(s) to be swept in a scanning fashion, here in the Y-direction. In the same way as described for deflection in the Y-direction, deflection in the X-direction may also be performed back and/or forth (in FIG. 2 the X-direction is in a direction into and out of the paper). In the embodiment described, one deflection direction may be used for scanning the beamlets over the surface of a substrate while the substrate is translated in another direction using a scanning module or scanning stage. The direction of translation is preferably transverse to the Y-direction and coinciding with the X-direction. More details with respect to the end module 7 as schematically shown in FIG. 2 are described in U.S. application Ser. No. 12/393,050, which is hereby incorporated by reference in its entirety, assigned to the owner of the present invention. The total current of the beamlets required to achieve a particular throughput (i.e. a particular number of wafers exposed per hour) depends on the required dose, the area of the wafer, and the overhead time (e.g. the time to move a new wafer into position for exposure). The required dose in these shot noise limited systems depends on the required feature size and uniformity, and beam energy, among other factors. To obtain a certain feature size (critical dimension or CD) in resist using electron beam lithography, a certain resolution is required. This resolution is determined by three contributions: beam size, the scattering of electrons in the resist, and secondary electrons mean free path combined with acid diffusion. These three contributions add up in a quadratic relation to determine the total spot size. Of these three contributions the beam size and the scattering depend on the acceleration voltage. To resolve a feature in the resist the total spot size should be of the same order of magnitude as the desired feature size (CD). Not only the CD but also the CD uniformity is important for practical applications, and this latter requirement will determine the actual required spot size. For electron beam systems, the maximum single beam current is determined by the spot size. For small spot size the current is also very small. To obtain a good CD uniformity, the required spot size will limit the single beam current to much less than the current required to obtain a high throughput. Thus a large number of beamlets is required (typically more than 10,000 for a throughput of 10 wafers per hour). For an electron beam system, the total current through one lens is limited by Coulomb interactions between electrons, so that a limited number of beamlets can be sent through one lens and/or one cross-over point. This consequently means that the number of lenses in a high throughput system also needs to be large. The requirement for a considerable increase in the number of beamlets in the system creates a practical problem due to the of the limited physical dimensions of the projection optics of a multi-beamlet lithography system. The projection optics in such systems are typically limited in size to accommodate, for example the fields of the target to be exposed by the system. There is a limit to the number of lenses that may be physically realized within a relatively small area that the projection optics, i.e. the end projection module may occupy in practical designs. At the reduced critical dimensions to be achieved, the number of lenses that can be constructed within these dimensions using known techniques is considerably smaller than the number of beamlets required to achieve the desired wafer throughput. A solution that still avoids a common cross-over of the beamlets can be achieved by adding array manipulators to the system, for example a group deflector array or a condenser lens array for directing a plurality of beamlets towards a single projection lens system for projecting onto the target. The solution allows the use of technology corresponding to that applied in the concept of FIG. 1 and minimizes aberration in the system while allowing a disproportionate increase in the number of beamlets in the system. The solution of using multiple beamlets per projection lens was found after recognition of the fact that with the deflecting action of deflector array 9 in the end module 7, a virtual point of origin of the deflected beamlet is created, so that a virtual beamlet was imagined. This notion led to the idea that such virtual beamlet could as well be replaced by a real beamlet, or a plurality of real beamlets. In practice the application of multiple real beamlets through a single projection lens system appeared to be possible without disturbing the amount of aberration, especially where the total beamlets of the system were distributed over a multiplicity of projection lens systems. Because part or all of the plurality of beamlets directed through to a each projection lens system may be blanked at any point in time during operation, a system as introduced above will be referred to as a patterned subbeam system. A patterned subbeam system may be regarded as a multiplicity of miniaturized imaging systems arranged side by side. FIG. 3 illustrates an embodiment of a patterned subbeam system. In this embodiment, the patterned subbeam system comprises an aperture array 4A for creating sub-beams 20A and an aperture array 4B for creating beamlets 21. A condenser lens array 5 (or a set of condenser lens arrays) is included behind the sub-beam creating aperture array 4A, for focusing the sub-beams 20A towards a corresponding opening in the beam stop array 8 of the end module 7. The beamlet creating aperture array 4B is preferably included in combination with a beamlet blanker array 6, i.e. arranged close together with array 4B before beamlet blanker array 6 or the other way around. Aperture arrays 4A and 4B may be integrated in a single structure for producing groups of beamlets 21. The condenser lens array 5 is then preferably located downstream the single structure. Such design advantageously provides a simple and economic means for realizing a multiple beamlet per projection lens system. Further details and advantages of embodiments of a patterned subbeam system are described in international application PCT/EP2009/054467, which is hereby incorporated by reference in its entirety, assigned to the owner of the present invention. Exposing a target using a lithography system as shown in FIG. 1 or FIG. 3 may be achieved by a combination of relative movement of the target with respect to other elements within the system, e.g. aperture array 4, beamlet blanker array 6, and beamlet stop array 8, and modulation (e.g. timed “on” and “off” switching or blanking) of each charged particle beamlet. A known manner of exposing a target with beamlets is exposure by means of a so-called raster scan method. In such method, in order to accurately expose the target with an exposure pattern, the pattern data is converted into a pixmap format. Throughout the description, the term “pixmap” will be used to refer to a spatially mapped array of pixel cells. A pixel cell, throughout the description sometimes referred to as grid cell, relates to the smallest unit of information in the pattern to be exposed. Pixel cells are generally arranged in a two-dimensional grid, and are often represented using dots, squares, or rectangles. Within this specification, pixel cells will be represented by squares. Then, the target is positioned on a motor driven stage that is moved in a continuous motion in a first direction. As the stage moves in the first direction, the beamlets are scanned in a second direction, the second direction being substantially perpendicular to the first direction of stage motion. In the raster scan method, the exposure pattern is converted into a format comprising an array of cells, also referred to as “grid”. By supplying the converted pattern in the form of pattern data to the lithography system in such a way that beamlet modulation occurs in synchronism with the beamlet deflection and stage motion, the exposure pattern can be transposed onto the target. FIGS. 4A, 4B schematically illustrate the concept of forming a multi-level pattern. In FIG. 4A, a line pattern is shown featuring a line with line width W. A grid with addressable pixel cells is overlaid on the line pattern. The pattern is a two-level pattern. The term “level” relates to the dose (e.g. number of charged particles like electrons) provided by the beamlets exposing a specified area as compared to the maximum dose that could be provided in that area. The two-level pattern shown in FIG. 4A corresponds to a so-called black-and-white pattern. The “black” dose level corresponds to no dose. The “white” dose level corresponds to a full dose. In this example, the black level is denoted by 0, and the white level is denoted by 100. Note that in digital applications, 100% generally corresponds to the maximum value that can be set with the number of bits available. For example, an 8-bit application allows a maximum value of 255. It is not essential that the two-level pattern has levels corresponding to no dose and full dose. The two dose levels may be set to any value between 0% and 100% of the maximum dose that can be reliably provided by beamlets in a charged particle multi-beamlet system. For example, it may be possible to use a two-level pattern in which the low dose level corresponds to a dose level that is 20% of the maximum dose level, while the high dose level corresponds to a dose level that is 90% of the maximum dose level. However, it is advantageous that the two different dose levels are not very close to each other. It can be readily seen in FIG. 4A that conversion of the format of the pattern towards a pixmap format by applying the grid does not necessarily result in a full correspondence between a line edge and a grid cell boundary. Patterning the line pattern by providing either a low dose or a high dose per pixel cell (black-and-white patterning) would result in a line shift Δ. In view of the very demanding requirements in lithography with respect to feature placement, such shift is highly undesirable. Furthermore, the line width W does not necessarily correspond to a multiple of a pixel cell width. As a result, if a two-level exposure would be performed in accordance with the applied grid, the exposed line width could be different from line width W. Feature size is a driving force in lithographic processing. Limitations of feature sizes to multiples of pixel cell dimensions would be highly undesirable as well. The inventors realized that these problems could be avoided by first forming a multi-level pattern as schematically shown in FIG. 4B. In this context the term “multi-level” means having more than two possible dose levels, e.g. gray scale rather than black and white. Formation of the multi-level pattern includes assigning a discrete multi-level value to each pixel cell in correspondence with its relative coverage of the pattern. In the examples shown throughout the description the array of pixel cells, or grid, used to form a multi-level pattern is identical to the grid used to form the two-level pattern. It must be understood that this is merely to explain the invention and is not intended to be limiting. For example, grid cells of the multi-level pattern may comprise 4 (2×2) pixel cells of the two-level pattern, or vice versa. In the example shown in FIG. 4A, the left edge of the line pattern falls part way across a row of pixel cells so that the line pattern occupies 33% (⅓) of each pixel cell of that row, and the right edge of the line pattern falls part way across a row of pixel cells so that the line pattern occupies 67% (⅔) of each pixel cell of that row. A corresponding multi-level pattern is shown in FIG. 4B. The pixel cells falling totally within the line pattern are exposed with dose value 100. The value, hereinafter referred to as gray value, assigned to each pixel cell covering the left line edge therefore equals 0.33×100=33. Similarly, the pixel cells at the right edge of the line pattern are assigned 0.67×100=67 as gray value. Selecting the size of pixel cells relates, among others, to the amount of data storage capacity available, the desired speed of processing, the desired dose control, and the desired accuracy of feature placement. A suitable number of levels in the multi-level pattern may be determined by using the following formula: round ( spot_area pixelcell_area ) ( 1 ) wherein pixelcell_area corresponds to the area of a pixel cell and spot area corresponds to the area occupied by a beamlet spot used to project a pattern onto a target. Round refers to rounding the obtained value to the nearest integer. This number of levels ensures that a maximum number of gray levels is used in assignment of the multi-level pattern with limited calculation capacity. More levels not necessarily leads to better performance, while they will demand more calculation capacity. In practice, the number of levels preferably corresponds to the first bit size that exceeds the number obtained by using formula (1). For example, if the lower integer determined by using formula (1) equals 100, the number of available gray levels will generally be set to 128 (7 bits). In order to obtain a rasterized pattern that can be written by means of a multi-beamlet lithography system configured for exposure by black-and-white patterning, e.g. a lithography system as schematically shown in FIG. 1 or FIG. 3, embodiments of the invention comprise the forming of a two-level pattern by application of error diffusion on the multi-level pattern. Digital halftoning or dithering is a technique used to render a grayscale image on a two-level display. Error diffusion is a type of dithering that involves reducing the number of quantization levels by distributing quantization residual in a grid cell to one or more neighboring grid cells which have not yet been processed. In embodiments of the present invention, error diffusion is used to form a two-level pattern. Starting with the multi-level pattern, the gray value of each pixel cell is evaluated. If the gray value of the pixel cell under evaluation is higher than a certain threshold value, typically 50% of the full dose value, then the pixel cell is defined as a “white” pixel cell, i.e. a pixel cell to be exposed with the high dose value (100 in examples throughout this specification). If the gray value of the pixel cell under evaluation is lower than the threshold value, the pixel cell is defined as a “black” grid cell, i.e. a pixel cell to be exposed with the low dose value (0 in examples throughout this specification). The difference between the value corresponding to the assigned level (high/low) and the actual value within the pixel cell under evaluation, hereafter referred to as the quantization residual of the evaluated pixel cell, is then shifted to one or more neighboring pixel cells in accordance with a predetermined kernel. The pixel cells are evaluated along a certain trajectory. FIGS. 5A, 5B schematically illustrate two different trajectories for evaluation of pixel cells in a multi-level pattern by means of error diffusion. FIG. 5A schematically shows a trajectory which will be denoted as a “raster”-trajectory. In a raster-trajectory, grid cells in a single row are evaluated sequentially in a single direction. As a result, parallel evaluation can be implemented rather easily. Evaluation of pixel cells while following a raster-trajectory may thus save time. FIG. 5B schematically shows a trajectory which will be denoted as a “serpentine”-trajectory. In a serpentine-trajectory the sequence of pixel cells to be evaluated alternates in direction for each row. FIGS. 6A, 6B schematically illustrate a result of applying a one-dimensional evaluation of a multi-level pattern according to an embodiment of the invention. In one-dimensional (1D) evaluation by error diffusion, the quantization residual solely shifts to the next cell to be evaluated. FIG. 6A schematically illustrates a rasterized multi-level line pattern that is similar to the pattern shown in FIG. 4B. In this case, the high dose level of the originating two-level pattern (not shown) equals 80 instead of 100. The pixel cells covering the left edge of the line pattern are filled for about one third with the pattern. The right edge of the line pattern is part of a column of pixel cells that is occupied for about two thirds with the line pattern. Thus, following the method discussed earlier with reference to FIGS. 4A, 4B, the gray value for the column of pixel cells covering the left edge of the line structure equals ⅓×80=27. Similarly, the gray value for grid cells covering the right edge of the line structure equals ⅔×80=53. FIG. 6B schematically illustrates a two-level pattern resulting from pixel cell evaluation of the multi-level pattern of FIG. 6A along a plurality of adjacent serpentine trajectories, each serpentine trajectory evaluating 5 rows of pixel cells forming an evaluation block. The division of the pattern into evaluation blocks limits the occurrence of periodicity caused by the process of 1D error diffusion. The number of evaluation lines Nrep for a suitable evaluation block size may be determined with the following equation: N rep = round ( R P A × spot_area pixelcell_area ) ( 2 ) where RPA is the relative placement accuracy required for the pattern to be evaluated. The relative placement accuracy is a design parameter related to the desired placement accuracy of a feature in the pattern data in comparison to the size of the pixel cell. For example, if the placement requirement is 1 nm and the pixel cell size is 4 nm, RPA equals ¼=0.25. The evaluation of the pixel cells in FIG. 6A to obtain the two-level pattern of FIG. 6B uses one-dimensional error diffusion. As discussed earlier, in one-dimensional error diffusion, diffusion of the quantization residual takes place along the evaluation trajectory through the pattern. That is, the quantization residual of a pixel cell under evaluation is added to the next pixel cell to be evaluated before evaluation of that next pixel cell takes place. In the embodiment schematically shown in FIGS. 6A, 6B, a threshold value of 50 has been used in the error diffusion process, 50 representing half the maximum dose level. Now consider the top row in FIG. 6A starting from the left. The first two cells have a gray value of 0. In the algorithm described above these cells will be recognized as black cells. Additionally, because there is no difference between the actual value and the assigned value, no residual is added to the next cell under evaluation. This is different for the third cell. The third cell has a gray value of 27. This value is below the threshold value of 50. Thus, as schematically illustrated in FIG. 6B, this grid cell is considered to be a black cell, i.e. a cell with value 0. Therefore, the residual of the third grid cell is 27−0=27. In the next cell under evaluation, being the fourth cell in the top row taken from the left hand side, the residual is added to the gray value present therein. The result of this addition is that the value in the fourth grid cell for evaluation purposes now equals 80 (=original gray value)+27 (=residual from third cell)=107. This value exceeds the threshold value of 50. Thus, the fourth cell is registered as a white cell. The residual of this cell, 107−100=7, is again transferred to the next cell under evaluation and added to the gray value therein. This evaluation process continues along the serpentine trajectory until the end of the fifth row. The evaluation process described above is similarly performed for the other evaluation blocks with Nrep lines, i.e. the sixth row until the tenth row, the eleventh row until the fifteenth row, etc. FIGS. 7A, 7B schematically illustrate a result of applying one-dimensional evaluation of a multi-level pattern according to another embodiment of the present invention. In this embodiment, the 1D-error diffusion that is applied uses different settings for separate beamlets. Using such a technique allows for beamlet-to-beamlet adjustments in dose control within a multi-beamlet lithographic apparatus. In FIGS. 7A, 7B, it is assumed for explanatory purposes that pixel cells in blocks I-IV are patterned by four separate beamlets, each beamlet being responsible for the patterning of one block. In reality, among others due to the beamlet spot size typically being much larger than the cell size, exposure of individual pixel cells is performed by a number of beamlets. The performance of the beamlet responsible for block I and the beamlet responsible for block IV operate within specifications. However, the intensity of the beamlet for patterning block II is too low, while the intensity of the beamlet for patterning block III is higher than desired. Due to these offsets in beamlet intensity, processing the pattern as shown in FIG. 6B would lead to patterning inaccuracy. Determining whether a beamlet performs within specifications or not may be determined by using beamlet current measurements. Methods for beamlet measurement are described, for example, in U.S. patent application 61/122,591, which is incorporated by reference herein in its entirety. In embodiments of the invention, the settings for error diffusion may be defined per individual beamlet. In section II, the settings may be compensated for the low beamlet intensity. In section III, the settings may be adapted to accommodate the beamlet intensity being higher than desired. Compensation may be performed by amendment of an error diffusion parameter. Examples of error diffusion parameters that can be amended include an error diffusion threshold value used to form the two-level pattern, or the absolute settings of the high dose value and/or the low dose value used in the two-level pattern. In FIGS. 7A, 7B, compensation has been performed by adapting the error diffusion threshold value. Instead of using a threshold of 50, which is used in blocks I and IV, a threshold of 40 is used for the pixel cells of block II, while a threshold of 60 is used for the pixel cells in block III. As can be readily seen in FIG. 7B, the two-level pattern in blocks II and III differ from the pattern in blocks I and IV (which is similar to the pattern for such blocks shown in FIG. 6B). Amendment of the high dose value, i.e. the white level value, could lead to similar results as shown in FIG. 7B. In this case, the white level value used in the error diffusion calculations could be lowered to 80 in case of patterning of block II, while it would be raised to 120 in case of determining the rasterized two-level pattern to be offered to block III. In the examples described above with reference to FIGS. 6A, 6B, 7A, and 7B, one dimensional (1D) error diffusion is applied. It has been found that the use of 1D-error diffusion already provides significant improvement with respect to pattern placement and dose control, in particular in a multi-beamlet lithographic apparatus. However, the performance of 1D-error diffusion is highly dependent on the evaluation trajectory, and the size of the evaluation blocks. The use of 2D-error diffusion is advantageous in that respect because the error diffusion is far less dependent on the evaluation trajectory. Additionally, for many applications, 2D-error diffusion provides an even better performance with respect to pattern placement and dose control. 2D-error diffusion may be performed with different types of kernels as will be explained with reference to FIGS. 8A, 8B. FIG. 8A schematically illustrates the concept of a type of 2D-error diffusion that may be used in embodiments of the present invention. In the 3×3-matrix under evaluation shown in FIG. 8A, the top row has already been evaluated. The same holds for the left pixel cell in the center row. The center pixel cell, in FIG. 8A denoted as “X”, is the cell that is under evaluation. In the type of 2D-error diffusion of FIG. 8A, ⅔ of the quantization residual is shifted to the next cell to be evaluated, i.e. the right pixel cell in the center row. Additionally, ⅓ of the quantization residual is shifted to the neighboring cell in the next row, i.e. the center cell in the bottom row. Neighboring pixel cells sharing a corner with the cell under evaluation, i.e. the left and right cell in the bottom row, do not receive any portion of the quantization residual. FIG. 8B schematically illustrates the concept of another type of 2D-error diffusion that may be used in embodiments of the present invention. This type of 2D-error diffusion uses the so-called Floyd-Steinberg kernel. The Floyd-Steinberg kernel transfers 7/16 of the quantization residual to the next pixel cell under evaluation. Additionally, 5/16 of the quantization residual is forwarded to the neighboring cell in the next row. Contrary to the type of 2D-error diffusion illustrated in FIG. 8A, the neighboring cell sharing a corner with the cell under evaluation, also receives a portion of the quantization residual. A portion of 3/16 of the quantization residual is transferred to the neighboring cell diagonally located in a forward direction from the cell under evaluation, where the forward direction is considered to be the direction of movement between the current cell under evaluation, i.e. pixel cell ‘X’, and the next cell under evaluation. Finally, 1/16 of the quantization residual is transferred to the neighboring cell diagonally located in a backward direction from pixel cell X. The kernels shown in FIGS. 8A, 8B, merely serve to explain embodiments of the invention and are not intended to have limiting effect. A skilled person will understand that it is equally well possible to use different kernels, e.g. a 3×5 kernel as proposed in “A survey of techniques for the display of continuous tone pictures on bi-level displays” by J. F. Jarvis et al. in Computer Graphics and Image Processing, 5(1) p13-14 (1976). In embodiments of the invention, application of error diffusion is further restricted by a no-shift condition. For example, diffusion towards one or more pixel cells may be disallowed if a multi-level value in the one or more pixel cells equals to or lies below a threshold value, hereafter referred to as multi-level threshold value. Such multi-level threshold value may be equal to zero. Alternatively, diffusion towards one or more pixel cells may be disallowed if the one or more pixel cells relate to pixel cells known to be located outside a feature to be patterned. The no-shift condition warrants that a quantization residual will not be spread to pixel cells where it has a very limited chance to be effective. The no-shift condition thus effectively enhances the impact of the error diffusion, in particular in the vicinity of feature edges in a pattern. Hereafter, the prohibition of error diffusion towards one or more neighboring pixel cells as a result of a no-shift condition will be explained with reference to FIGS. 9A, 9B. In the embodiment explained with reference to FIGS. 9A, 9B, the no-shift condition relates to a condition that uses a multi-level threshold value of zero. The no-shift condition is therefore labeled as “no-shift-to-zero” rule. In FIG. 9A, a small portion of a grid is shown. The shown portion covers an edge of a line structure. The edge of the feature corresponds to the grid line separating the center column of pixel cells from the right column of pixel cells. FIG. 9B schematically illustrates the application of 2D-error diffusion using the Floyd-Steinberg kernel on the center pixel cell of the grid shown in FIG. 9A including the use of the no-shift-to-zero rule. In this example, the multi-level threshold value indeed equals zero. Generally, as schematically shown in FIG. 8B, 7/16 of the quantization residual of cell ‘X’ would be transferred to the next cell under evaluation. However, because the right pixel cell in the center row has a value of zero, transfer of a portion of the quantization residual towards this cell is disallowed. Therefore, no value is shifted towards this cell. The same holds for the lower right pixel cell. Instead of 1/16 of the quantization residual, no value is transferred. Thus, half ( 7/16+ 1/16=½) of the residual is prohibited to move if the Floyd-Steinberg kernel is applied. In the embodiment schematically illustrated in FIG. 9B, the quantization residual is proportionally spread over the other two portions. That is, instead of 3/16, ⅜ of the quantization residual is forwarded to the left lower pixel cell. Similarly, instead of 5/16, ⅝ of the quantization residual is transferred to the center lower pixel cell. It must be understood that different spreading algorithms may be used. For example, it may also be possible that no further spread of rejected portions of quantization residual are appended to the residuals to be transferred to “allowable” pixel cells. FIG. 10 schematically illustrates a data path of a multi-beamlet lithographic apparatus 100, for example the apparatus discussed with reference to FIG. 1 or FIG. 3. The data path transforms pattern data, in lithographic applications generally provided in the form of a vector format like GDSII, into on/off signals for individual beamlets. The data path shown in FIG. 10 comprises an offline processing unit 101, a central processing unit 102 and a plurality of further processing units 105. The choice for a location of performing embodiments of the method depends on several factors, e.g. desired flexibility and costs. Embodiments of the method of generating a rasterized two-level pattern for lithographic processing as described above can be performed at different locations. It may be possible to perform the method offline, i.e. as a form of pre-processing in offline processing unit 101. Such pre-processing does not have to be performed within or in close proximity of the lithographic apparatus 100. It is also possible to perform a method inline, i.e. within the lithographic apparatus 100. Performing the method in the central processing unit 102 enables the use of different grid cell sizes. Performing the method “real time” in the further processing units 105 enables relatively quick adaptation of the pattern in view of beamlet-to-beamlet variations. The invention has been described by reference to certain embodiments discussed above. It will be recognized that these embodiments are susceptible to various modifications and alternative forms well known to those of skill in the art without departing from the spirit and scope of the invention. Accordingly, although specific embodiments have been described, these are examples only and are not limiting upon the scope of the invention, which is defined in the accompanying claims. |
|
claims | 1. A capture system, comprising:a media area located below-grade and near a primary containment structure of a nuclear reactor;granular media within the media area;discharge piping configured to fluidly connect the media area to the primary containment structure, a distal end of the discharge piping being located in the media area; anda gas outlet pipe coupled to the media area,wherein the granular media has a granular size, the granular size of the granular media decreasing between the distal end of the discharge piping and the gas outlet pipe. 2. The capture system of claim 1, wherein the granular media includes at least one of rock, sand, resin, silica, beads, stones and activated alumina. 3. The capture system of claim 1, wherein walls of the media area include at least one of natural geological media, a rubber liner, plastic, sand, concrete, rebar reinforced concrete, and steel. 4. The capture system of claim 3, further comprising:baffles connected to the walls of the media area. 5. The capture system of claim 4, wherein the baffles include at least one of transverse and longitudinal baffles. 6. The capture system of claim 5, wherein the longitudinal baffles are sloped relative to a plane that is about perpendicular to the direction of gravity. 7. The capture system of claim 1, wherein a bottom surface of the media area is sloped relative to a plane that is about perpendicular to the direction of gravity. 8. The capture system of claim 1, further comprising:a valve in the discharge piping. 9. The capture system of claim 1, further comprising:a liquid outlet pipe fluidly coupled to a bottom portion of the media area, the liquid outlet pipe extending below the media area. 10. The capture system of claim 1, wherein,the gas outlet pipe is fluidly coupled to a top portion of the media area,the gas outlet pipe extends above-grade. 11. The capture system of claim 10, further comprising:a venting system, located above grade and connected to a distal end of the gas outlet pipe. 12. The capture system of claim 11, wherein the venting system includes at least one of a safety relief valve, a rupture disc and a bursting disc. 13. The capture system of claim 12, wherein the venting system further includes a vent valve. 14. The capture system of claim 10, further comprising:a gas space area located in the media area, the gas outlet pipe being fluidly connected to the gas space area. 15. The capture system of claim 10, further comprising:a lower discharge pipe in the media area and connected to the distal end of the discharge pipe;an upper gas outlet pipe in the media area and connected to the gas outlet pipe, the upper gas outlet pipe being located along the top portion of the media area;a flow path defined by the media area, the flow path existing between the lower discharge pipe and the upper gas outlet pipe and configured to convey radioactive material between the lower discharge pipe and the upper gas outlet pipe. 16. The capture system of claim 15, further comprising:the radioactive material, wherein the radioactive material includes at least one of gas, liquid and particulate material. 17. The capture system of claim 15, wherein the granular size of the granular media decreases along the flow path from the lower discharge pipe to the upper gas outlet pipe. 18. The capture system of claim 15, further comprising:holes being defined in lower surfaces of the upper gas outlet pipe and lower and upper surfaces of the lower discharge pipe,the upper gas outlet pipe running horizontally along the top portion of the media area,the lower discharge pipe running horizontally along a bottom portion of the media area. 19. The capture system of claim 5, wherein the baffles define baffle cells, the capture system further comprising:drainage connections along a bottom surface of baffle cells located at a lower elevation of the media area. 20. The capture system of claim 9, further comprising:a sloped drain located below the media area and fluidly coupled to the liquid outlet pipe; anda drum located below the sloped drain and fluidly coupled to the sloped drain. 21. The capture system of claim 10, wherein the media area includes two or more metal tanks, the capture system further comprising:an inlet connection on each metal tanks;first manifold piping connecting the inlet connections to the discharge piping; andan outlet connection on each metal tank, the outlet connections being connected to the gas outlet pipe; andsecond manifold piping connecting the outlet connections to the gas outlet pipe. 22. The capture system of claim 21, further comprising:hydrogen recombiners located near a top portion of each of the metal tanks. 23. The capture system of claim 1, further comprising:a hydrogen mitigation device in the discharge piping and located above grade, the hydrogen mitigation device including at least one of an igniter and a passive autolytic recombiner. 24. The capture system of claim 1, further comprising:a treatment system located above grade and positioned above the media area, the treatment system being a pump-and-treat system. 25. The capture system of claim 24, further comprising:a treatment media within the treatment system, the treatment media including at least one of activated alumina and humate. 26. A method of capturing radioactive material with the capture system of claim 1, comprising: transferring radioactive material from the primary containment structure to the media area. 27. The capture system of claim 3, further comprising:baffles connected to the walls of the media area and being configured to define a tortuous flow path through the media area, the capture system being configured to convey radioactive material from the primary containment structure through the tortuous flow path within the media area. 28. The capture system of claim 27, wherein the baffles include at least one of transverse and longitudinal baffles. 29. The capture system of claim 27, further comprising:the radioactive material, wherein the radioactive material includes at least one of gas, liquid and particulate material. 30. The capture system of claim 27, wherein the granular size of the granular media decreases along the tortuous path. 31. The capture system of claim 27, wherein the baffles are configured to define the tortuous path such that at least a portion of the radioactive material travels in a first vector direction and a second vector direction through the tortuous path, the first vector direction being about 180 degrees from the second vector direction. 32. The capture system of claim 27, wherein the baffles are configured to define the tortuous path such that at least a portion of the radioactive material is re-directed at least once while being conveyed through the tortuous path, a re-direction angle of the re-directed radioactive material being about 180 degrees. 33. The capture system of claim 27, wherein the baffles are configured to define the tortuous path such that at least a portion of the radioactive material is re-directed a plurality of times while being conveyed through the tortuous path, a re-direction angle of each of the turns of the re-directed radioactive material being about 180 degrees. |
|
abstract | A power module includes a reactor vessel containing a coolant and a reactor core located near a bottom end of the reactor vessel. A riser section is located above the reactor core, wherein the coolant circulates past the reactor core and up through the riser section. In one embodiment, a coolant deflector shield includes flow-optimized surfaces, wherein the flow-optimized surfaces direct the coolant towards the bottom end of the reactor vessel. In another embodiment, the reactor housing includes an inward facing portion that varies a flow pressure of the coolant and promotes a circulation of the coolant past a baffle assembly and towards the bottom end of the reactor vessel. |
|
summary | ||
047028793 | claims | 1. A nuclear reactor comprising: a substantially cylindrical flow liner having an open top, a cylindrical wall section, and a bottom wall, said cylindrical wall section forming a lower reactor internals chamber; an upright cylindrical barrel within said cylindrical wall section having a bottom support plate spaced from said bottom wall, forming a downcomer annular passage between the cylindrical wall section and said barrel, and an upright riser chamber within said barrel; a lower internals portion in the cylindrical flow liner containing a nuclear core having at least one fuel assembly, comprising a plurality of elongated fuel rods containing nuclear fuel to provide a fission-type chain reaction, and a plurality of elongated control rod assemblies contained within elongated thimbles disposed between said fuel rods, located in the riser chamber of said barrel; at least one hot primary coolant discharge means in said flow liner intermediate said lower internals portion and said open top; at least one cooled primary coolant return means in said flow liner intermediate said lower internals portion and said open top; means for circulating primary coolant, at an elevated pressure upwardly through said nuclear core and riser chamber for heating thereof and discharge therefrom, and cooled primary coolant downwardly through said downcomer; a pressure vessel having an upper removable top, an intermediate cylindrical wall section and a lower wall section, enclosing said flow liner and lower internals portion, the lower wall thereof spaced from said flow liner to form a second annular chamber therebetween, and the intermediate cylindrical wall section thereof having at least one outlet nozzle communicating with said at least one discharge means in the flow liner, and at least one inlet nozzle communicating with said at least one return means in the flow liner; a supply of supplementary liquid coolant contained in said second annular chamber; means communicating between said second annular chamber and said riser chamber for supplying supplementary liquid coolant directly to the nuclear core within said riser chamber; means for cooling the major portion of said supplementary liquid coolant within said second annular chamber to a first elevated temperature; and means for maintaining a localized minor portion of said supplementary liquid coolant at a second elevated temperature in excess of said first elevated temperature, and approximate that of coolant in said cooled primary coolant return means; whereby upon a decrease in the pressure of the primary coolant in said riser below a predetermined pressure, volatilization of said localized minor portion of supplemental liquid coolant is effected and the pressure increase resulting therefrom in said second annular chamber will feed remaining supplemental coolant through said means communicating between said second annular chamber to said nuclear core in said riser chamber. (a) a nuclear reactor having; a substantially cylindrical flow liner having an open top, a cylindrical wall section, and a bottom wall, said cylindrical wall section forming a lower reactor internals chamber; an upright cylindrical barrel within said cylindrical wall section having a bottom support plate spaced from said bottom wall, forming a downcomer annular passage between the cylindrical wall section and said barrel and an upright riser chamber within said barrel; a lower internals portion in the cylindrical flow liner containing a nuclear core having at least one fuel assembly, comprising a plurality of elongated fuel rods containing nuclear fuel to provide a fission-type chain reaction, and a plurality of elongated control rod assemblies contained within elongated thimbles disposed between said fuel rods, located in the riser chamber of said barrel; at least one hot primary coolant discharge means in said flow liner intermediate said lower internals portion and said open top; at least one cooled primary coolant return means in said flow liner intermediate said lower internals portion and said open top; means for circulating primary coolant, at an elevated pressure upwardly through said nuclear core and riser chamber for heating thereof and discharge therefrom, and cooled primary coolant downwardly through said downcomer; a pressure vessel having an upper removable top, an intermediate cylindrical wall section and a lower wall section, enclosing said flow liner and lower internals portion, the lower wall thereof spaced from said flow liner to form a second annular chamber therebetween, and the intermediate cylindrical wall section thereof having at least one outlet nozzle communicating with said at least one discharge means in the flow liner, and at least one inlet nozzle communicating with said at least one return means in the flow liner; a supply of supplementary liquid coolant contained in said second annular chamber; means communicating between said second annular chamber and said riser chamber for supplying supplementary liquid coolant directly to the nuclear core within said riser chamber; means for cooling the major portion of said supplementary liquid coolant within said second annular chamber to a first elevated temperature; and means for maintaining a localized minor portion of said supplementary liquid coolant at a second elevated temperature in excess of said first elevated temperature, and approximate that of coolant in said cooled primary coolant return means; whereby upon a decrease in the pressure of the primary coolant in said riser below a predetermined pressure, volatilization of said localized minor portion of supplemental liquid coolant is effected and the pressure increase resulting therefrom in said second annular chamber will feed remaining supplemental coolant through said means communicating between said second annular chamber to said nuclear core in said riser chamber; (b) means for circulating water solely by natural convection from said hot leg to said cold leg with circumvention of said steam generator including: means for heat exchange, for cooling water flowing from said hot leg to said cold leg; and valve means for allowing flow of water from said hot leg to said cold leg; and (c) further means for introducing stored coolant, under a superatmospheric pressure of gas, into the cylindrical vessel of said nuclear reactor. 2. A nuclear reactor as defined in claim 1 wherein said means communicating between said second annular chamber and said riser chamber comprises at least one opening through said flow liner bottom wall and an axially aligned opening through said bottom support plate of the upright cylindrical barrel; hollow tubular members providing flow communication between the axially aligned openings in said bottom wall and said bottom support plate; and elongated thimble connected to said bottom support plate extending upwardly into said riser chamber in the region of each said fuel assembly, and closed at the top thereof, said elongated thimble having a plurality of spaced apertures therealong. 3. A nuclear reactor as defined in claim 2 wherein said means for cooling the major portion of said supplementary coolant within said second annular chamber comprises insulating means on said cylindrical wall section and bottom of said flow liner enclosed within said pressure vessel lower wall section. 4. A nuclear reactor as defined in claim 3 wherein said insulating means comprises spaced planar sheets which form said cylindrical wall section, and a supply of liquid enclosed within said spaced wall sections. 5. A nuclear reactor as defined in claim 4 wherein said supply of liquid comprises water and said spaced wall sections are formed from stainless steel. 6. A nuclear reactor as defined in claim 3 wherein said insulating means comprises a coating of insulation material on said cylindrical wall section and said bottom. 7. A nuclear reactor as defined in claim 3 wherein further said means for cooling the major portion of said supplementary coolant within said second annular chamber is provided comprising means for containing a pool of liquid coolant about the exterior surface of said pressure vessel lower wall section and bottom, to a level spaced from the top end of said second annular chamber to expose an upper region of said pressure vessel lower wall. 8. A nuclear reactor as defined in claim 7 wherein said means for maintaining a localized minor portion of said supplementary coolant at the second elevated temperature comprises a heat retentive coating on said exposed upper region of the pressure vessel lower wall. 9. A nuclear reactor as defined in claim 1 wherein said pressure vessel lower wall is spherical in shape. 10. A nuclear reactor as defined in claim 1 wherein said pressure vessel lower wall is cylindrical in shape. 11. A passive safety system for a pressurized water nuclear reactor wherein the reactor-vessel is contained in a shield building, with a heat-conducting containment shell situated in the shield building containing said reactor, at least one steam generator, a primary coolant circuit for the reactor having a hot leg and a cold leg, and with a pressurizer connected to said circuit for maintaining primary coolant therein at a predetermined pressure, comprising: 12. A passive safety system as defined in claim 11 wherein said means for heat exchange includes a water storage tank within said containment shell adapted to contain a supply of water sufficient to flood the containment shell to a level above the hot leg, cold leg and reactor core, and a heat exchanger contained in said storage tank. 13. A passive safety system as defined in claim 12 wherein said heat exchanger has a horizontal intake manifold, an outlet manifold, and an interconnecting plurality of heat exchange tubes, first means connecting the inlet manifold with said hot leg and second means connecting the outlet manifold with said cold leg, and valve means are provided in said second means to control the flow of liquid therethrough. 14. A passive safety system as defined in claim 13 wherein a depressurizer line is provided between said pressurizer and said storage tank. |
062263439 | description | BEST MODE FOR CARRYING OUT THE INVENTION FIG. 3 illustrates a first preferred embodiment of the invention. In this embodiment, a water rod 40 according to the invention has one or both of its ends machined to include threads 42. The threaded end of the water rod 40 is configured to be received in a threaded aperture 44 in a tie plate 46 of the fuel assembly. The threads 42 may be formed at the ends of the water rod 40 in any known manner. FIG. 4 illustrates a second embodiment of the water rod 40 according to the invention. In this embodiment, one or both ends is swaged to a narrower diameter than the remainder of the water rod 40. The swaged end or ends are then machined with threads for attachment to the tie plate 46. In a third embodiment of the invention, referring to FIG. 5, one of the water rod ends 48 is flared such that its diameter is greater than that of the tie plate aperture 44. In this embodiment, the water rod 40 must be inserted from either below the lower tie plate or above the upper tie plate. Also in this embodiment, the water rod may be keyed in a known manner to prevent the water rod from rotating. In a fourth embodiment of the invention, referring to FIG. 6, one or both of the ends of the water rod 40 may be configured with a bayonet fitting 50, including a slit 50a formed in the water rod 40 and a corresponding pin 50b in the tie plate aperture 44. Of course, the water rod 40 may be fitted with the pin 50b, and the tie plate may be configured to receive the pin in a corresponding slot 50a. In accordance with another aspect of the invention, referring to FIG. 7, an end insert 52 may be inserted into the water rod. The end insert 52 may be threaded into the water rod 40, press fit and secured by friction, or welded into the water rod 40. The end insert 52 may be configured to completely block flow of coolant into the water rod 40 or may be provided with flow holes 54 to regulate coolant flow and/or prevent significant debris from entering through the water rod. The end insert 52 may further serve as a filter to prevent a greater amount of debris from entering through the water rod. FIG. 8 illustrates an alternative to the additional aspect of the invention described in connection with FIG. 7. In particular, FIG. 8 illustrates an end cap 56 that is press fit, welded or threaded over the end of the water rod 40. Similar to the end insert 52, the end cap 56 may be closed to completely prevent coolant flow through the water rod 40, or the end cap 56 may include flow holes 54 and/or serve as a filter for debris. While the invention has been described in connection with what is presently considered to be the most practical and preferred embodiments, it is to be understood that the invention is not to be limited to the disclosed embodiments, but on the contrary, is intended to cover various modifications and equivalent arrangements included within the spirit and scope of the appended claims. |
042812526 | description | DETAILED DESCRIPTION OF THE DRAWINGS FIGS. 1A, 1B and 1C illustrate a prior art system with the radioactive source displaced at various positions. The following description of the illustrated coupling apparatus should be read with reference to FIGS. 2-7, inclusive, of the accompanying drawings, initial reference being made to FIGS. 3-7, inclusive. The fixed component is comprised essentially of a mounting plate 20, a base block 22 with first and second slideways 24, 26, respectively, formed in it, first and second interlocking members 28, 30, respectively, a latch pin 32, a cover block 34 in which the tubular aperture 36 is formed and, optionally, a key-lock 38 fitted in the cover block. The separable component is comprised essentially of a tubular body 40 intended to fit telescopically into the tubular aperture 36 and a tubular slide member 42 within the tubular body, this component being illustrated in detail in FIG. 3. The fixed component is assembled on the mounting plate 20, and in use the plate 20 is attached to a storage unit such as unit 2 in FIG. 1. Such attachment is illustrated in the above-referenced United States Patents. The base block 22 has a main bore 44 through it which aligns with the passage 4, or equivalent, when the fixed component is attached to a storage unit. The main bore extends from the bottom wall 46 of the first slideway 24. Alongside the main bore 24 in this bottom wall is a hole 46 extending only part way into the base block, for receiving a compressible spring 48 and the round base portion 32.1 of the latch pin 32. The forward portion 32.2 of the latch pin is flattened, as is best illustrated in FIG. 7. The first interlocking member 28 is a first rectangular slide block which fits slidably in the first slideway 24 so that it can be moved transversely to the main bore 44. A stop pin 50 is fitted into one end of the first slide block 28 and extends back toward the mounting plate 20. A notch 52 is provided in one long side of this slide block, for an interlock function to be described below. A slot 54 through the slide block 28 terminates at one end in a round slide bore 56 which is located to register with the main bore 44 in the base block when the slide block is moved to one of its operating positions; in that position the stop pin 50 meets a wall part 58 of the base block 22. A shallow depression 60 in the rear wall 62 of the slide block 28 receives the forward end of the round base portion 32.1 of the latch pin when the slide block is moved in the slideway 24 to a second of its operating positions. The shallow depression 60 is indicated in dotted lines in FIG. 4, and in section in FIG. 6. The flattened forward portion 32.2 of the latch pin extends forward through the slot 54, into the second interlocking member 30, as will be more fully described below. When the first slide block 28 is latched by engaging the latch pin 32 in the depression 60, the slide bore 56 is out of register with the main bore 44 in the base block 22, and the slot 54 overlies the main bore 44, as appears in FIG. 2 and in FIG. 9, to be described. A further hole 64 through the slide block 28 in the end region remote from the stop pin 50 aligns with the bolt 66 of the lock 38 when the first slide block 28 is latched, enabling a user to lock the first slide block in the latched position, and thereby to prevent unauthorized use of the system incorporating this coupling apparatus. The second interlocking member 30 is a second rectangular slide block which fits slidably in the second slideway 26 overlying the first slide block 28, and is movable transversely to the main bore 44 in the base block 22. Conveniently, the second slide block 30 moves in a path transverse also to the path in which the first slide block 28 moves, thus providing that each slide block is available for manipulation in a unique path, minimizing the potential for confusion in operating the apparatus, as appears in FIG. 2. A pair of springs 68, 68 are received at one end of each in holes 70, 70, respectively, in one end of the second slide block, and are retained at the other end of each under an overlying shelf 72 extending from the cover block 34 back toward the base block 22. These springs urge the second slide block 30 downwardly, as seen in FIG. 4. A passage hole 74 having a diameter similar to that of the tubular aperture 36 is provided through the second slide block 30, and a second hole 76 through the same block is provided adjacent to the passage hole 74, so that the areas encompassed within these respective holes partly overlap. The second hole 76 is smaller in diameter than the passage hole, as seen from the face 78 of the second slide block confronting the cover block 34. The second hole is, however, counter-sunk in the opposite face 80 so that as seen from the opposite face the second hole 76 has essentially the same diameter as the passage hole 74, providing an arc-shaped flange 82 in the second hole, along the inner boundary remote from the passage hole 74 and extending toward the passage hole. A first stop pin 88 in the second slide block extends from a location below the passage hole back toward the first slide block 28, in a position from which it can enter the notch 52 when the first slide block is in the latched position. A second stop pin 90 in the second slide block extends from a location above the second hole 76 back over the top long edge (as seen in FIG. 2) of the first slide block 28. In the uncoupled state, with the separable component 40 absent, as seen in FIG. 2, the springs 68 urge the second slide block 30 downward to a position in which the second stop pin 90 comes to rest on the first slide block; in that position the arcuate flange 82 is visible through the tubular aperture 36, as appears in FIG. 2. The tubular body 40 of the separable component has an annular groove 92 near its remote extremity 94, as is shown also in FIG. 3. An inwardly-directed flange 96 terminates the inner extremity 98, providing a short passage of lesser diameter than the passage through the major part of the tubular body 40. The tubular slide member 42 has an enlarged portion 102 (FIG. 3) at its inner end, the outer diameter of which is slightly smaller than the inner diameter of the major portion of the tubular body 40, but larger than the inner diameter of the flange 96, so that the slide member 42 can pass through the outer extremity 94 and slide within the tubular body 40, but cannot pass out of the tubular body 40 through the inner extremity 98. The inner extremity 104 of the slide member 42 is externally threaded for use in attaching a guide tube, such as the guide tube 6A in FIG. 1. When a guide tube is connected, the nipple 6N prevents withdrawal of the slide member 42 through the remote extremity 94 of the tubular body 40. A drive cable 5 can pass through the tubular slide member 42, terminating in a male part 9M of a typical cable connector. This connector part has a tapered flange 106 the diameter of which is larger than the diameter of the inner passageway through the tubular slide member 42, so that the connector part 9M cannot be withdrawn through the inner passageway. The male connector part 9M can, however, be extended out of the separable component 40, 42, as far as may be convenient for joining the cable connector 9. Referring now to FIG. 8, a portion at the end of a leader 11 is shown which includes the female part 9F of the cable connector 9. A mode of joining the cable connector 9 is illustrated in dashed-line, but since the cable connector, per se, forms no part of the present invention, it will not be further described. The invention can be practiced with any suitable cable connector; U.S. Pat. No. 3,237,977 shows another connector that can be used. A distance along the leader 11 from the connector 9, a store-lock portion 108 of reduced diameter is provided for engagement in the slot 54 when the first slide block 28 is in the latched position. The entire leader part illustrated in FIG. 8, from the female coupler part 9F to the store-lock portion 108 can fit through the bore 56 in the first slide block 28, but only the store-lock portion 108 can fit in the slot 54. The distance from the store-lock portion 108 to the female connector part 9F is such that when the store-lock portion 108 is engaged in the slot 54, the female connector part 9F will stick out of the tubular passage 36, and will be held firmly fixed in position, so that the male connector part 9M can be connected to it, as is shown in FIG. 9. In that figure the first slide block is in its latched position described above, with the slide bore 56 out of register with the main bore 44, and the lock bolt 66 is shown engaged in the lock hole 64. The length of leader 11 is such that when the store-lock portion 108 is locked in the slot 54 a capsule of radio-active material 1 attached to the leader (not shown in FIG. 9) will be located in a safe storage position in the storage unit 2, as is illustrated in FIG. 1 at A. Referring now to FIGS. 2 and 10, if the second slide block 30 is pushed to the left as seen in FIG. 2, the first stop pin 88 enters notch 52 in the first slide block 28, the arcuate flange 82 is moved out of the tubular aperture 36 and the separable component tubular body 40 can be passed through the tubular aperture and into the passage hole 74, where the inner extremity 94 comes into contact with the confronting extremity of the flattened forward portion 32.2 of the latch pin 32 and pushes the round base portion 32.1 out of the shallow depression 60 in the rear wall 62 of the first slide block 28. Upon release of pushing force on the second slide block 30 the springs 68 return it to the position shown in FIGS. 2 and 10, with the arcuate flange 82 engaged in the annual groove 92 in the tubular body 40, thereby retaining the tubular body 40 locked to the fixed component of the coupling apparatus. Referring now to FIG. 11, which shows the coupling apparatus operated as illustrated in FIG. 10, the latch pin 32 is now disengaged from the first slide block 28, which is now both unlocked and unlatched, and has been moved to the operating position placing the slide bore 56 in register with the main bore 44. The stop pin 50 has moved to the wall part 58 of the base block 22. In this operating position of the first slide block 28, the notch 52 is out of register with the first stop pin 88 on the second slide block 30, and the first slide block 28 is effective to prevent the second slide block 30 from releasing the tubular body 40 as long as the slide bore 56 is in register with the main bore 44. The cable 5 can now be moved through the coupling apparatus and the system can be operated as is illustrated in FIG. 1 at B and C. When the cable 5 (not shown in FIG. 11) is in the slide bore 56, the first slide block 28 cannot be moved toward the latched position, because the diameter of the cable is larger than the width of the slot 54. The reverse operation is equally simple and reliable. The cable 5 is operated to return the radioactive material 1 to the storage position, and simultaneously to locate the store-lock portion 108 of the leader 11 in the slide bore 56. The first slide block 28 is then manipulated, from the operating position shown in FIG. 11 (downward in the figure) to the latched position shown in FIG. 9, locking the leader 11 in position in the storage unit 2. The notch 52 is now in register with the first stop pin 50 of the second slide block 30, which is now manipulated (to the left in FIG. 2) to release the separable component tubular body 40 from the arcuate flange 82. The separable component parts 40, 42 can now be moved away from the fixed component assembly, exposing the cable connector 9 and enabling the male portion 9M to be separated from the female portion 9F. The stop pin 32 engages the depression 60 to latch the first slide block 28 in the stored position, and the key lock 38 (if present) can now be operated to engage the bolt 66 in the lock hole 64, so as to prevent unauthorized use of the system. When the cable connector 9 is being joined, as shown for example in FIG. 8, it is desirable that the tubular body 40 be drawn back over the inner tubular body 42, toward the nipple 6N, so as to expose the male connector part 9M. When after the cable connector has been joined the outer tubular body 40 is locked to the fixed component of the coupler, as shown in FIG. 10, the outer body 40 is slid over the inner member 42 into the tubular aperture 36, so that the inner member 42 sticks out of the outer body 42. If during use of the system the inner member 42 is allowed to move into the outer member 40, when after use it is desired to return the radioactive material 1 to to the stored position (FIG. 1 at A) the cable connector 9 will meet the enlarged portion 102 of the inner slide member 42 at a location so close to the first slide block 28 that the store-lock portion 108 of the leader 11 will not be located in the round slide bore 56. It would then be necessary to withdraw the inner member 42 from the outer body 40 in order to seat the radiographic material 1 safely in the stored position and disconnect the coupler and cable connector components. To minimize such a possibility, the separable component is preferably fitted with a third slide block 130 which cooperates with a collar 132 on the inner tubular member 42 in a manner similar to the second slide block 30 and the annular groove 92, as is illustrated in FIGS. 3, 12A and 12B. A housing 134, 136 affixed to the outer tubular body 40, shown schematically in FIG. 3, provides a guideway 135 for the third slide block 130, in which the third slide block can be moved transverse to the tubular axis. Like the second slide block 30, the third slide block 130 has a pair of overlapping bores 138, 140 through it, the larger bore 138 being large enough to pass the collar 132, and the smaller bore 140 being too small to pass the collar 132. A pair of springs 142 urge the third slide block 130 downward (in the drawings) so that the smaller bore 140 is urged to be normally in the passage within the outer tubular body 40, as shown in FIG. 12B. The third slide block 130 is pushed upward, as shown in FIG. 12A, to enable the collar 132 to be moved to the flange 96 at the inner extremity 98 of the outer body 40. Upon release the third slide block then moves its smaller bore 140 into place behind the collar 132, latching the inner tubular member 42 into the fully-extended position. In the case where a connection is to be made, this latch is released and the relative positions of the tubular parts 40, 42 that is shown in FIG. 3 is established. The following description of the illustrated coupling apparatus should be read with reference to FIGS. 13-21, inclusive, of the accompanying drawings. The fixed component is comprised essentially of a mounting plate 120, a base block 122 with a first slideway 124 formed in it, a first interlocking member 128, a latch pin 132, a cam ring 126, a cover block 134 in which the tubular aperture 136 is formed and, optionally, a key-lock fitted in a block 130. The separable component is comprised essentially of a tubular body 140 intended to fit telescopically into the tubular aperture 136, this component being illustrated in longitudinal section in FIGS. 19 and 20. The fixed component is assembled on the mounting plate 120 with bolts 142 (only one being shown in FIG. 16) and in use the plate 120 is attached to a storage unit such as unit 2 in FIG. 1. Such attachment is illustrated in the above-referenced United States Patents. The base block 122 has a main bore 144 through it which aligns with the passage 4, or equivalent, when the fixed component is attached to a storage unit. The main bore extends from the bottom wall 145 of the slideway 124. Alongside the main bore 144 in this bottom wall is a hole 146 extending only part way into the base block, for receiving a compressible spring 148 and the round base portion 132.1 of the latch pin 132. The forward portion 132.2 of the latch pin is flattened, as is best illustrated in FIG. 16. The interlocking member 128 is a generally rectangular slide block which fits slideably in the slideway 124 so that it can be moved transversely to the main bore 144. A slot 154 through the slide block 128 terminates at one end in a round slide bore 156 which is located to register with the main bore 144 in the base block when the slide block is moved to one of its operating positions; in that position the latch pin 132 is moved into its hole 146 compressing the spring 148, as will be described. A shallow depression 160 in the rear wall 162 of the slide block 128 (FIG. 18) receives the forward end of the round base portion 132.1 of the latch pin when the slide block is moved in the slideway 124 to a second of its operating positions. The shallow depression 160 is indicated in dotted lines in FIG. 18. The flattened forward portion 132.2 of the latch pin then extends forward through the slot 154, into the space between the slide block 128 and the cover block 134, as will be more fully described below. When the slide block 128 is latched by engaging the latch pin 132 in the depression 160, the slide bore 156 is out of register with the main bore 144 in the base block 122, and the slot 154 overlies the main bore 144, as appears in FIG. 13. The cam ring 126 operates the slide block 128 in the slideway 124 in motion transversely to the main bore 144 in the base block 122. The cam ring is basically a tubular body having within it an offcenter race 230 embracing the rounded ends 128.1 and 128.2 of the slide block 128 at diametrically-opposite contact lines. Behind the race 230, nearer to the base block 122, the cam ring has a flange 232 with a centrally-disposed round aperture 234 fitted on the forward round portion 123 of the base block. A pin nail 236 in a hole 125 in the forward round portion 123 retains the flange 232 on the base block; gaps 233 in the flange 232 are for use to fit the cam ring to the base block during assembly of the fixed component. The outer circumferential surface 236 of the cam ring is knurled to facilitate hand-turning the cam ring on the round surface of the forward round portion 123 of the base block 122. When the cam ring is rotated on the base block the off-center cam race 230 moves the slide block 128 in the slideway 124, if the latch pin 132 is out of the depression 160. The slide block has a series of notches 229 in the longitudinal surface 227 confronting the upper inner surface 224 of the slideway 124 (FIGS. 15, 16). A set screw 238 in a threaded hole 127, and fitted with a spring loaded ball 240 at its inner end, engages the ball in one of the notches at each of three positions of the slide block along the slideway 124. Each of those positions corresponds to one of the legends "CONNECT", "LOCK" and "OPERATE" which are marked at 90-degree intervals on the forward face 244 of the cam ring. The cover block 134 has a chordal segment removed leaving a flat surface 244 over which one only of these legends 242 is visible, depending on the angular position of the cam ring 126 relative to the cover block, as is represented in FIG. 13. A lock hole 246 opening into the cam ring from its outer periphery is provided to receive the bolt 248 of the lock 138 when the cam ring 126 is turned to bring the lock hole into register with the lock bolt. In this position the legend "LOCK" is visible over the flat surface 244 of the cover block 134. The slide block 128 has an interlock arm 168 for the separable component 140, providing an arc-shaped flange 170 which can be moved into and out of the tubular aperture 136 as the slide block is moved back and forth in the slideway 124, so as to engage or release, respectively, the separable component at its annular groove 192 (similarly to the arc-shaped flange 82 and annular groove 92 in the embodiment of FIGS. 2-11, inclusive). This cooperation between the slide block 128 and the separable component 140 is best illustrated in FIG. 18, where the cooperation between the separable component and the latch pin 132 is also illustrated. The forward portion 132.2 of the latch pin extends through the slot 154. When the base portion 132.1 of the latch pin is seated in the depression 160 in the rear wall 162 of the slide block 128, this is the "CONNECT" position of the coupling apparatus, in which the interlock arm 168 is pulled aside holding the flange 170 out of the tubular aperture 136. The end 194 of the separable component 140, at which the annular groove 192 is located, can then be pushed into the tubular aperture 136, pushing on the forward portion 132.2 of the latch pin and moving the base portion 132.1 out of the depression 160, releasing the slide block 128 so that it can be moved in the slideway to the "OPERATE" position, by turning the cam ring 126 clockwise, approximately one-half turn. The cam ring has three positions of use, which can be seen in FIGS. 13 and 17, which are, respectively, the "CONNECT" position, the "LOCK" position, and the "OPERATE" position. In the "LOCK" position the cam ring is turned approximately one-quarter turn clockwise (as seen in FIGS. 16 and 17), and the slide block 128 is moved only part-way through the slideway 124 so that the slide bore 156 is not yet in register with the main bore 144, and the store-lock portion 108 of the connector 9 is retained in the slot 154. A lock plug 140.1, shown in FIG. 21, is used to release the latch pin 132 so as to set the cam ring in the "LOCK" position. The forward end of this plug has an end 194.1 which can push on the forward portion 132.2 of the latch pin, and an annular groove 192.1, of larger diameter than the annular groove 192 in the separable component 140, which receives the arc-shaped flange 170 of the interlock arm 168 but, owing to the size of its diameter, prevents the slide block 128 from being moved to the "OPERATE" position wherein the slide bore 156 would be in register with the main bore. In this position, the key lock 138 can be operated to put its bolt 248 in the lock hole 246. The lock plug 140.1 is retained in the fixed component tubular aperture 136, sheltering the female end 9F of the connector 9 within the bore 141.1. In this condition the storage unit 2 enclosing radioactive material 1 can be shipped or stored without drive means connected to it. The tubular body 140 of the separable component has an annular groove 192 near its remote extremity 194, as is shown also in FIGS. 13, 19 and 20. An inwardly-directed flange terminates the inner extremity 198, providing a short passage 196 of lesser diameter than the passage through the major part of the tubular body 140. The inner extremity 204 of the tubular body 140 is externally threaded for use in attaching a guide tube, such as the guide tube 6A in FIG. 1. A drive cable 5 can pass through the short passage 198, terminating in a male part 9M of a typical cable connector. This connector part has a tapered flange 206 the diameter of which is larger than the diameter of the short passage 198 so that the connector part 9M cannot be withdrawn through the short passage. The male connector part 9M can, however, be extended out of the separable component 140, as far as may be convenient for joining the cable connector 9. The distance from the remote extremity 194 to the flange 198 is such that the tubular body 140 cannot be inserted through the tubular aperture 136 far enough to release the slide block 128 from the latch pin 132 unless the connector parts 9M and 9F are first connected together. To change from the "LOCK" position to the "OPERATE" position, it is first necessary to unlock the key lock 138, turn the cam ring 126 to the "CONNECT" position, and remove the lock plug 140.1. This allows the latch pin 132 to latch the slide block 128 against movement, thus holding the female connector part 9F locked in the stored position, where the male part 9M can be joined to it, after which the separable component 140 can be inserted through the tubular aperture 136 to depress the forward portion 132.1 of the latch pin, and the cam ring 126 can be turned to the "OPERATE" position. In that position, the slide block 128 is moved through the slideway 124 to the position in which the slide bore 156 is in register with the main bore 144, and the connector can be moved through the slide block by the drive cable 5. The diameter of the annular groove 192 is smaller than the diameter of the annular groove 192.1 of the lock plug, by an amount which permits this additional movement of the slide block. |
053496175 | description | DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS FIG. 1 diagrammatically shows in section the vessel of a pressurized water nuclear reactor. In this reactor, which is provided with the residual power removal apparatus according to the invention, there are obviously the basic components for such installations, namely several inlets 1 supplying cold water at the top of the vessel 2, said water flowing in accordance with the path indicated by the arrows F in the drawing, i.e. firstly downwards into an external annular compartment 3 and then in accordance with a hairpin path rising through the core 4, where heating takes place and the heat will be used in the not shown steam generator. For this purpose the hot water leaves the vessel through one or more pipes 5, after traversing the external annular compartment 3 with the aid of per se known devices. The circulation system described hereinbefore relates to the primary water circuit, which then supplies the not shown steam generator. The steam which is produced is used for the operation of the turbines and then one or more alternators. In order to remove the residual power or after-power produced by the core 4 after a chain reaction shutdown, the apparatus according to the invention essentially comprises a supplementary or third ferrule 7 defining with the internal ferrule 6 of the external compartment 3 a complementary annular space 8. According to the invention, said annular space 8 has one or more heat exchangers 9 autonomously supplied by a second heat transfer fluid entering at 13 and leaving at 14. This secondary heat transfer fluid makes it possible to remove from the vessel the desired power and in turn exchanges its heat with a random known cold source, e.g. an air cooler, condenser, etc. This secondary heat transfer fluid can be of a random nature and can be in single or two-phase form. In the case of a water reactor, in advantageous manner said secondary fluid is constituted by ordinary water. In order that such an apparatus is effective, it is necessary for the water to continuously circulate in the reactor vessel between the core and the exchanger and for said circulation to disturb the normal reactor operation to the minimum extent. The present invention describes the internal arrangement of the vessel in order to bring about this circulation. It is necessary for the hot water of the core to be able to flow along the exchanger 9 and for this purpose two means are provided. The first means is a first orifice 12 located in the lower part of the complementary annular space 8 linking the latter with the downward part of the cooling cold water prior to its entry into the core. The second means is a second orifice 11 located in the third ferrule 7 above the exchangers 9 and below the intake tube 1 and outlet tube 5 for the primary water. Usually there are several orifices 11 and there are a certain number of holes distributed over the periphery of the third ferrule 7. Thus, the complementary annular space 8 communicates with the space located above the core 4 and known as the upper plenum. The flow of hot water to be cooled in the complementary annular space 8 along the exchangers 9 takes place under the effect of two different processes which, according to the particular case, may act simultaneously or separately depending on whether the primary water does or does not flow in the reactor. Thus, if said primary water does flow, its rate is sufficient to create at the first orifice 12 a vacuum in the complementary space 8, which sucks the hot water into said space from the upper plenum 10 through orifices 11. However, if the flow of primary water is stopped, the system is dimensioned and designed in such a way that the residual heat released by the core is adequate for producing a thermosiphon effect, which leads to a circulation of the hot water in accordance with a downward path in the complementary annular space 8. As has been already stated, the cold heat transfer fluid enters by the pipe 1, descends through the annular space 3 and rises into the core 4, where it is heated and loses pressure before passing out of the vessel at 5. In the lower part of the compartment 3, a vacuum is created in the annular space 8. As this vacuum exceeds the pressure drop in the core 4, the fluid in 8 is then sucked by the fluid from 3. Therefore there is a downward heat transfer fluid path in the annular space 8. When the fluid from the loop 1 is no longer available (e.g. during a break or stoppage of the not shown pumps), a natural flow is established between the core and the exchangers 9 via the orifices 11 and 12. The interest of this arrangement of the components in the vessel is that the fluid passing through the space 8 is moved by complementary forces, one being the forced convection due to the main circulation from the loop 1, whilst the other is natural convection. One or other of the two forces is necessary. There is no flow direction reversal during the passage from one force to the other or during the disappearance of one of the forces. Therefore this apparatus makes it possible to extract power from the reactor, no matter what the pressure and temperature levels of the primary heat transfer fluid and no matter what the state of the loops. It is pointed out that if the pressure drops of the core 4 become excessive, a complementary device for improving the vacuum effect should be installed at the link 12. For example, such devices are shown in FIGS. 2 and 3. FIG. 2 shows the first embodiment of the means for increasing the vacuum, the opening at 12 having been partially closed by means 30 and replaced by a series of small openings 15 positioned radially on the ferrule 6. By means of a series of cylindrical or almost cylindrical radial pipes 16, which radially traverse the annular space 3, these openings 15 communicate with the external compartment 3. On each of the pipes there are two longitudinal slots 17 for communication with the annular space 3. The azimuth position of these slots forms an angle .phi. close to 80.degree. with the main downward flow direction in the annular space 3, so as in this way to create a greater vacuum effect. As the suction effect is also linked with the speed of the downward fluid flow in the annular space 3, a local narrowing 18 of said space to the right of the slots makes it possible, if necessary, to improve the vacuum effect. Following the removal of the vessel 2, FIG. 3 shows two radial pipes 16, in perspective and with one broken away, on the wall 6 of the external annular compartment. Arrow F indicates the reentry path into the core 4 of the water from the complementary annular space 8 through the radial pipes 16 and the slots 17. FIG. 4 is used for illustrating the second embodiment of the means for increasing the vacuum in the annular space 8. On the ferrule 6 or the vessel 2 and below the lower part of the exchanger 9, a narrowing 19 is created in order to increase the speed of the fluid descending into the space 3. Towards the location where the speed is highest, a slot 20 in an annular chamber 22 and passing round the ferrule 6 permits the communication between the annular space 8 and the annular space 3. Obviously, the communication 12 is partially blocked by means 30. The annular space 22 communicates by the orifices 24 with the complementary annular space 8. The communication 11 between the annular space 8 and the upper plenum 10 can be in the form of a series of holes. An optional option for improving the system by creating an overpressure is for said communications to be constituted by short pipes, whereof one side is fixed to the aforementioned holes and whose other end is on the side of the upper plenum 10 with the orifice turned facing the main flow direction in the plenum 10. To aid natural convection, the exchanger 9 is positioned as high as possible with respect to the core 4. The communication 11 must be above the exchanger 9, but below the pipes 1 and 5, because the latter determine the minimum water level in the vessel. |
description | This application claims the benefit of U.S. Provisional Patent Application No. 61/182,954, filed Jun. 1, 2009; the content of which is incorporated by reference herein in its entirety. The present invention relates to nuclear fuels, reactors and power plants, and, more specifically, to particulate metal fuel. Electricity Demand World electricity demand is expected to double by 2030 and quadruple by 2050. The world electricity demand increase is forecasted to come from developed countries and, to an even larger extent, developing countries. To meet this rapid growth in demand, nuclear power may be a realistic, cost-effective energy source. Increased energy supply from other sources, such as contribution from natural gas powered generation may be constrained by high and volatile gas prices, greenhouse gas emissions, and concerns over longer-term dependence on unstable sources of supply. Meanwhile, forms of alternative energy (solar, wind, biomass, hydroelectric, etc.) may be useful in satisfying some of the increased demand. They do not, however, scale sufficiently and cannot provide enough additional electric generating capacity in most markets to meet any significant part of the new electricity demand. Conventional nuclear power plants may also meet part of the added demand. Conventional nuclear power plants, however, have severe obstacles to overcome. These obstacles include: finance capital costs ranging from $3 billion to over $6 billion; uncertainty about waste fuel disposal; and insufficient grid capacity to accommodate large base-loaded power plants. Coal power plants may also provide some additional supply, but burning mass quantities of coal presents serious political obstacles given the negative environmental impacts. Needs exist for modular, transportable, self-contained reactors for distributable power to meet the energy demands of the future using new fuels. Any such reactors may be located near consumers, dramatically reducing the need for large and expensive additions to electricity distribution grids. Any long-term, self-contained and clean power sources may have broad applications in markets across the globe. Traditional Metal Fuels Metal fuel was the first fuel to be used in nuclear reactors. Later ceramic fuels became common because the early metal fuels were not capable of extended life in a reactor core. Furthermore, there were concerns of excessive fuel cladding interaction at high temperatures. The deficiencies of the early metal fuels were addressed with a configuration capable of very high reactor exposures where metal fuel alloys prevented excessive fuel cladding interactions (See FIG. 1). FIG. 2 shows a cross-section of an irradiated metal fuel element. The types of metal fuels used in FIG. 1 had significant safety advantages over ceramic fuels because of high thermal conductivities of the metal fuels. Over 100,000 metal fuel elements have been utilized as driver fuel in the Experimental Breeder Reactor (“EBR-II”) and the metal fuel elements have been tested in the Fast Flux Test Facility (FFTF). The fabrication and performance of these metal fuels will be described briefly to allow comparison to the metal fuels of the present invention. Traditional metal fuels, which have been chosen for several of new domestic and foreign fast reactor concepts, are a cast solid pin of enriched uranium alloy that is sodium bonded inside a low-swelling cladding. The sodium bond fills an appropriately sized gap between the traditional metal fuel and the cladding to facilitate heat transfer at early stages of irradiation. After about 1.5% burnup, the traditional metal fuel itself generally swells to contact the cladding inner diameter and can achieve an excellent heat transfer path. Interconnected porosity can provide a pathway to a gas plenum, which prevents further radial swelling. An extensive performance database exists for traditional metal fuels with over 100,000 metal fuel elements irradiated in EBR-II and tested in both normal and off-normal conditions. The fuel pin inside the cladding of the older EBR-II and FFTF metal fuel is an alloy of uranium-molybdenum, uranium-zirconium, or uranium-plutonium-zirconium. The fuel pin is injection cast into VYCOR glass molds. Injection casting was chosen for fabrication of the fuel pins because it was useful when used in remote operations for the fabrication of reprocessed fuel. The melting point of the alloys must be less than the softening point of the VYCOR glass molds; thus, the range of possible alloys is limited. When the fuel pin is broken away from a mold, some of the fuel sticks to the glass mold and must be either treated as a waste product or the glass must be processed to recover the uranium in the fuel. The fuel pin is then loaded into a cladding tube that contains sodium. The cladding tube, with the fuel pin, is heated to melt the sodium. The sodium then fills the gap between the fuel pin and cladding to provide a heat transfer path. The fuel element is vibrated or impacted to remove any voids between the fuel pin and cladding. The fuel element is then inspected with eddy-current or ultrasonic techniques to assure that all the voids have been removed. End caps are welded on the fuel elements and final inspections are performed. The elements are then placed in a hexagonal steel duct for placement in a reactor. Before the hexagonal steel ducts that contain the elements can be put into a liquid sodium coolant of the reactor, they must be heated from the top downward in a complex fuel loading machine. The reason for the directional heating and melting is that if the ducts were placed directly into the reactor pool, the sodium in the fuel elements would liquefy first from the bottom up and the solid sodium would inhibit adequate thermal expansion of the liquid sodium in the axial direction. The radial expansion of the liquid sodium could deform the cladding. During the initial stages of irradiation, the generation of fission gas within the fuel pin causes the fuel pin to swell to the inner surface of the cladding. The sodium that was in the gap is displaced into the gas plenum, thus, reducing the volume of the plenum available for released fission gas. The gap between the fuel pin and cladding is designed such that just as the fuel pin reaches the cladding, the pores that form from fission gas in the fuel interconnect. The fission gas is then released into the plenum. Therefore, the stress on the cladding is greatly reduced because the fuel tends to flow back into the open porosity rather than stressing the cladding. This design feature is what allows the metal fuel element to achieve high in-reactor exposures. Once the fission gas is released to the plenum, the driving force for swelling is greatly reduced. The final fuel density for fission gas release prior to cladding contact is 75% or less. The traditional metal fuels are made by injection casting of sodium bonded metal fuel. These casts greatly limit the range of alloy compositions to be used in the injection cast fuel because of softening of the molds, e.g., VYCOR molds. Additionally, the traditional metal fuel casting process may suffer a loss of volatile components such as americium. Fissile material of the traditional metal fuel tends to cling to the molds, e.g., VYCOR molds. Also, traditional metal fuel processing requires operations to remove bond voids and nondestructive inspections for voids. Legacy and Future Spent Fuel An area of concern for nuclear energy is the disposal of light water reactor (“LWR”) spent nuclear fuel (“SNF”). Directly disposing of the LWR SNF requires sequestering for thousands of years. Alternatively, aqueous reprocessing of LWR SNF to remove the long-lived radioactive elements for fission consumption in fast reactors is possible, but expensive. A small fraction of LWR SNF is made up of long-lived actinides (e.g., plutonium, neptunium, and americium) that dominate long-term disposal requirements. In addition, the actinides can represent a potential proliferation risk if entities of concern attempt to recover them for use in nuclear weapons. Therefore, there is a need for a simpler and direct way for handling and disposing of LWR SNF. Another area of interest for nuclear energy is the recovery of energy still contained in LWR SNF through the presence of the actinides mentioned above. Of the actinides, americium presents a major challenge since it is a major long-term hazard in a repository environment (arising from heat generation and decay to Np237) and its high volatility makes recovery from reprocessing and repackaging into new fuel host difficult. Therefore, there is a need for a means and method for ensuring americium can be recovered for use and energy recovery. Certain embodiments of the present invention may provide a long-life fuel cartridge core that fixes fuel costs for 15 or more years. Other embodiments may provide a Small Modular Reactor (“SMR”) including a long-life fuel cartridge core that fixes fuel costs for multiple years, including 15 or more years, and eliminates on-site refueling needs. Certain embodiments of the present invention can enhance the attractiveness and viability for large-scale utilization of liquid metal cooled fast neutron spectrum reactors using a metal alloy fuel of the present invention. Certain embodiments of the present invention may provide a liquid-metal cooled, fast-neutron-spectrum reactor using a metal alloy fuel. Embodiments of the reactor can be portable and replaceable. In certain embodiments, the metal fuel may include a particulate of metal alloy. Another embodiment may provide a metal fuel capable of breeding its own fuel. Yet another objective may be to provide a metal fuel capable of utilizing crushed particulates from LWR fuel that results from decladding and/or crushing LWR spent fuel. Another embodiment may provide a metal fuel with one or more minor actinides. Yet another embodiment of the present invention may attack the LWR legacy and future spent fuel problem while at the same time allowing the disclosed reactor to generate energy from the uranium, plutonium, and minor actinides in the LWR spent fuel. In certain embodiments, a metal fuel form may be provided for factory production. Another embodiment of the present invention may provide a simplified, lower temperature fabrication method for metal fuel, thereby not requiring injection casting for molds, including ceramics. Another embodiment provided by the present invention may be a metal fuel that does not require heating the metal fuel or cassettes thereof from the top down prior to insertion into the reactor pool. Another embodiment of the present invention may provide a symbiotic fuel cycle process by which LWR SNF and/or other oxide fuel forms can be more simply introduced into the fast reactor closed fuel cycle, e.g., without need for deployment of aqueous reprocessing. In another embodiment, a host matrix may be provided for any ceramic material that contained fissile and fertile elements. Additional features, advantages, and embodiments of the invention are set forth or apparent from consideration of the following detailed description, drawings and claims. Moreover, it is to be understood that both the foregoing summary of the invention and the following detailed description are exemplary and intended to provide further explanation without limiting the scope of the invention as claimed. A metal particulate fuel system is described. The metal fuel system may include particulate metal fuel for use in nuclear reactors. The particulate metal fuel may include a plurality of particles of at least one enriched alloy where the particles are compacted into a fuel column. The metal particulate fuel system may also include a cladding and/or a gas-filled plenum. A metal fuel system 301 according to an embodiment of the present invention can be seen in FIG. 3. A fuel cladding tube 303 may be provided. Cladding dimensions may depend on reactor design. For existing reactor designs, cladding outer diameter ranges from approximately 0.5 to approximately 1.5 cm and wall thickness ranges from approximately 0.03 to approximately 0.08 cm. The fuel cladding tube 303 may be composed of low swelling HT-9 or oxide dispersion strengthened (“ODS”) HT-9. Other compositions or additional materials may be used for various applications. The fuel cladding tube 303 may be any low-swelling alloy compatible with a particular coolant. Metal fuel inside the fuel cladding tube 303 may be in the form of small metal fuel fragments or particles 307 of enriched alloys, e.g., uranium or uranium-plutonium. The fuel particles may range in diameter from approximately 50 to approximately 1000 microns. To achieve the desired density of approximately 75%, at least two different sizes of particles may be used. Size ranges may depend on particular uses. Technology for the manufacture of metallic uranium alloy particles may be known for other applications. Manufacturing of metal fuel particles may use an arc melting technique such that melting and solidification is rapid. Loss of volatile components, such as americium, may be minimal. Also, other established methods of powder metallurgy fabrication for production of metallic uranium alloy particles, such as hydriding-dehydriding and mechanical comminution, may be done at temperatures well below the melting point of the fuel alloys required for traditional injection casting operations. Common alloy additions include zirconium, molybdenum, uranium-transuranics, or similar elements. In addition, the alloys could contain actinide metals, such as americium, neptunium, and curium, preferably from reprocessed fuel. The metal fuel particles 307 may be vibrated or impacted in the fuel cladding tube 303, preferably to a density of 75% or less, into a fuel column 305. The smear density may be an initial volume occupied by the fuel divided by a total volume. A smear density of approximately 75% may be a maximum value where interconnected porosity and gas release occur before the fuel swells to the cladding. Vibration and impaction procedures may be known, and may be applied to metal fuel particles. The fuel column 305 may be held in place by a restrainer 309, such as a simple spring arrangement or some other device to at least temporarily maintain pressure. Other restraining devices may be used, such as a small diameter rod instead of a spring. An initial gas in a plenum 311 would preferably be a gas sufficient to enhance thermal conductivity, e.g., helium. The fuel column 305 to gas plenum 311 volume ratio may be approximately 0.8 to approximately 2.0. A height of the fuel column 305 may range between approximately 0.5 m and approximately 1.5 m, dependent on a particular reactor. A length of the gas plenum 311 may range between approximately 0.8 and approximately two times the length of the fuel column 305, again dependent on the reactor. The metal fuel system 301 may allow simplified fabrication over the current method of injection casting of sodium bonded metal fuel. The metal fuel system 301 may operate with helium bonding of the metal fuel. Technology for manufacture of metal uranium alloy particles has been developed for other applications. Uranium-molybdenum particles embedded in an aluminum matrix were developed for application in research reactors, for example. The range of available alloy compositions for particulate metal fuels is not limited as is the case for injection cast fuel where the softening of VYCOR molds was a limitation. Further, a method for the manufacture of the metal fuel particles can utilize an arc melting technique such that the melting and solidification is rapid. Loss of volatile components such as americium would, therefore, be minimal. Likewise, other established methods of powder fabrication such as hydriding-dehydriding and mechanical comminuting can also be done at temperatures well below the melting point of the fuel alloys. There is little or no waste product as there is with fissile material clinging to the VYCOR molds used for injection cast fuel. Sodium filling of the cladding tubes and the subsequent operations to remove bond voids and nondestructively inspect for voids can generally be eliminated by this method for particulate metal fuel. This method of particulate fuel fabrication may be used for remote operations. A significant feature of a gas (e.g., helium) filled system 301, rather than sodium bonded systems, is elimination of the need to heat the fuel elements from the top down when introducing them to the reactor pool, e.g., liquid sodium. Helium is preferred due to its high thermal conductivity. This results in a significant cost savings in fuel loading equipment as well as streamlining the fuel cassette loading procedure. Small fast reactors like an SMR of long (−20 years) refueling intervals may be deployed using enriched, metallic alloy fuel (e.g., uranium) for an initial core loading. The SMR may be self-refueling on the basis of an internal breeding ratio of one and over repeated recycles the initial enriched metallic alloy fuel composition may gradually convert itself to a transuranics-rich composition, for example, of steady-state isotopic distribution. At the end of a 15 to 20 year refueling interval, which may preferably achieve an optimal, approximately 8-10 atom % burnup, but other, less ideal burnups may be achieved, the entire core may be removed and subjected to pyrometallurgical (dry) recycling to create a replacement core. Since the fissile content needed for the new core may be self-generated in the previous core, the only feedstock required for refabrication is an approximately 8-10 weight % depleted uranium charge, for example, while an approximately 8-10 weight % fission product waste product may be extracted by the recycle step. By way of example, the mixed enriched uranium/transuranic alloy fuel may employ a refabrication process described herein. Because of its simplicity, it could be conducted remotely behind shielding in light of the radioactive nature of the recycle feedstock. This may involve standard powder metallurgy procedures to create metal fuel alloy powders, followed by vibrocompaction of metal fuel alloy particles of up to several hundred micron size into gas, e.g., helium, bonded fuel cladding. After return to the reactor, upon approximately 1 atom % burnup, the particle bed may swell, being driven by fission gas production, and may restructure into a solid metallic alloy fuel form with interconnected fission-gas-filled porosity filling the interior radius of the cladding at a smear density of about 70 to about 75%. The 8-10 weight % depleted uranium feedstock could be replaced with an equivalent weigh % of LWR spent nuclear fuel (“LWR SNF”) in the form of crushed oxide particles. These crushed U/Pu/MA/fission product oxide particles, which are generated in a process described below, may be well mixed with the recycled uranium/transuranic metal alloy particles and then the mixed powder may be vibrocompacted into the fuel cladding as before. The process may all be done remotely. After return to the reactor, upon approximately 1% burnup, the particle bed may swell under fission gas production and restructure into a solid cermet fuel form including oxide particles embedded in a metal fuel alloy matrix. The matrix may contain interconnected porosity and filling the interior radius of the cladding at a smear density of about 70 to about 75%. The crushed oxide may be a feedstock needed to replace the approximately 8-10 weight % fission products that are removed by the recycle step. The feedstock may preferably be primarily composed of uranium 238. The feedstock may also contain about 1.5 weight % Pu, about 0.15 weight % minor actinides and about 4 weight % fission products that are viewed as a waste to be disposed in a LWR once-through cycle. In a symbiotic fuel cycle of embodiments of the present invention, the small amount of plutonium and minor actinides present in the LWR SNF, which make the LWR SNF so difficult to dispose of, may be consumed over multiple recycles in a closed fast reactor fuel cycle. Of particular benefit is that the volatile minor actinide, americium, remains with the other transuranic elements for recycle for fission consumption. The fission products remaining in the oxide particles may be captured when the fast reactor fuel is recycled. The contaminated uranium from the LWR SNF may remain in the fast reactor cycle, and over multiple recycles, may be bred into transuranics for in situ fission consumption as fuel. This may decrease the requirements on uranium ore mining and refining. The extra fission products contaminating the oxide particles may have only a minor effect on the fast reactor performance, because of their small cross section for fast neutron capture. LWR contaminated zircalloy cladding may be usable as feedstock for fabrication of metal alloy fuel particles or for fabrication of fission product waste forms. The particulate-based fabrication method for metallic fuel may allow blending ceramic fuel particles with the metallic fuel particles, which may result in a self-forming cermet fuel form. At a 10 weight % oxide content in the metallic/ceramic particle mix, the cermet may have up to about 15 volume % ceramic in a metallic phase of no less than about 85 volume %. This is in a reasonable range for cermet fuel fabrication and irradiation performance. The ceramic content of the fuel form can reduce its thermal conductivity by about 30%. While this might be problematic in a traditional fast breeder reactor, the presently claimed reactor, i.e., the SMR, does not have this problem. The SMR core power density is derated to attain an approximately 20 year refueling interval. The fuel pin linear heat rate is derated so a reduction in fuel thermal conductivity does not significantly increase fuel pin centerline temperature. This is an important feature not only for fuel irradiation performance, but also for reactor passive safety performance. After an approximately 20 year burn cycle, attaining approximately 8-10 atom % burnup, the core of burned cermet fuel may be removed for recycle. The pyrometallurgical (dry) recycle used on the cermet fuel may include one added step as compared to the process for metal alloy fuel. This may be a reduction of approximately 8-10 weight % oxide particles into the metallic state. Otherwise the pyrometallurgical process is similar to that described above. The crushed LWR spent fuel feedstock may be generated by one or another of the several, well-developed (dry) decladding/crushing processes for LWR spent fuel, for example, Atomics International Reduction/Oxidation (“AIROX”), Oxidation and Reduction of Oxide fuel (“OREOX”), or Pulverization and Decladding technology for Oxide fuel (“PULDOX”). These processes consist of breaching the LWR fuel cladding in a confined atmosphere, followed by one or more cycles of oxidation, of UO2 to U308 for example, and reduction, back to UO2, for example. The associated density changes of about 30% may rupture the cladding and crumble the oxide fuel to facilitate its separation from the ruptured clad. Oxide recovery of about 90% to about 99% can be attained. The oxidation may be done in an air or oxygen atmosphere at about 400 degrees Celsius. The reduction is preferably done in a dilute H2-containing Argon atmosphere at about 700 degrees Celsius. Gaseous and volatile fission products may be released and captured while the non-volatile fission products remain in the crushed oxide particles. The particle size distribution needed for the vibrocompaction refabrication step can be controlled by the number of oxidation/reduction cycles and by hold times at temperature. Further size reductions, if necessary or preferred, can be achieved with micronization techniques known in the art. The technologies for capturing gaseous and volatile fission products released in the crushing operations are standard and the resulting waste forms are no different from those already required and used for the pyrometallurgical recycle processes. If desired, embodiments of the present invention could accelerate cleanup of the LWR SNF legacy by not waiting for the first recycle 20 years hence, but rather, by placing self-forming cermet fuel in the initial core loadings of the SMR reactors discussed above. This acceleration could entail building the remote shielded fuel fabrication and the LWR treatment facilities at the beginning of the SMR deployment campaign rather than waiting 20 years to build them in time for the first recycle campaign SMR spent cores. For the accelerated cleanup of LWR SNF legacy, the oxide content of the first core loads may be increased from about 10 weight % to about 25 weight % of the fuel form. This corresponds to about 15% fissile content in a mix of the ceramic with about 20% enriched metallic fuel feedstock. This remains in the feasible range for powder metallurgy fabrication of cermets. It also remains in the feasible range from thermal conductivity consideration, given the SMR's low power density fuel pins. Each SMR core of about 15 tonnes fuel content would thereby consume about 3.75 tonnes or more of LWR SNF. Over the approximately 20 years leading up to the first recycle of SMR cores, at a build rate of 100 SMR's per year, this symbiotic cycle would consume: 0.25*15*100*20=about 7500 tonnes out of the overall about 60,000 tonnes of legacy LWR SNF. Breeder reactors may be deployed starting around 2030. Here the drawdown rate of LWR SNF still could be further accelerated by using high content oxide containing self-forming cermet fuel in low power density blankets. Alternatively, the crushed LWR SNF could be reduced to metallic feedstock for blanket fabrication, retaining U, Pu, MA, and fission products, for injection into the fast reactor closed fuel cycle. Eventually, ore reserves may become scarce and the price of enriched uranium may dictate that new deployments of SMR's and Breeder reactors should be fueled not by enriched uranium, but rather by transuranics or a blend of transuranics and enriched uranium, for example. This feedstock is not required to come from aqueous processing of LWR SNF but may be recovered from SMR and Breeder SNF. This is because while LWR SNF contains only about 1.5 weight % fissile material, SMR and Breeder SNF contains about 15 to 20 weight % fissile material so that the required reprocessing throughput is reduced by a factor of about ten to harvest the same fissile material recovery. Even breeder blankets can be expected to have a factor of five greater fissile material compared to an equal mass of LWR SNF. In the symbiotic fuel cycle proposed here, LWR SNF would be treated as a substitute for depleted uranium and would not be treated as a source of fissile material. The small amount of fissile material present in LWR SNF inevitably gets captured into the proposed symbiotic closed fuel cycle, but does so in a cost-effective way. The proposed evolutionary conversion from an open to a closed fuel cycle can and should be done using the symbiotic dry technologies proposed here that precludes any requirement for aqueous processes. An example of a symbiotic fuel cycle 401 in its ultimate sustainable realization is illustrated in FIG. 4. Ore 403 may initially be enriched 405. At least a portion of the enriched ore may be fed to UOX fabrication 407 in a once-through cycle. The product may then pass through LWR-UOX 409, UOX storage 411, and/or AROX 413. The product may then be blended up 415 for radial zoning before entering a SMR 417 or used in a LWR SNF oxide blanket for a FBR 419. Alternatively, the enriched ore may be further enriched to about 20% for breeder driver initial working inventory before entering the FBR 419. The enriched ore may also be enriched to about 15% for SMR high enrich zone driver initial working inventory. The enriched ore may then be blended up 415 before entering the SMR 415 or directly enter the SMR 415. The SMR 415 may output low enrich zones fed to a reducer 423. Blankets from the FBR 419 may also be fed to a reducer 423. The SMR 415, reducer 423 and/or the FBR 419 may output drivers to a pyro 421. In a self-generating cycle, output from the pyro 421 may be fed into a fabricator 425 and back to the SMR 417. In a net generating cycle, output from the pyro 421 may be fed into a fabricator 427 and back to the FBR 419. Output from the pyro 421 may also be fed to an FP waste form 429 and stored in a repository 431. This technology may create a self-forming cermet fuel as a modification to existing technology. The LWR SNF and/or other oxide fuel forms can be introduced into the fast reactor, e.g., SMR, closed fuel cycle without need for deployment of aqueous reprocessing. Certain embodiments would be expected to provide the following advantages: a. Confining the plutonium and minor actinides generated by the LWR in a fast reactor closed fuel cycle where they can be consumed as a fuel; b. Inserting the fission products generated by the LWR into a fast reactor closed fuel cycle where they can later be entombed in stable waste forms for disposal; c. Employing a low temperature process that can retain the volatile americium minor actinide with other actinides for fast reactor recycle as a fuel and may thereby preclude their contribution as a long term contributor to waste toxicity in a repository; d. Introducing few if any new waste forms besides those already created by the pyrometallurgic recycle process; e. Increasing the efficiency of use of uranium ore by nearly a factor of 100; and f. Providing a flexible strategy that may provide several decades of delay time prior to any need for deployment of dry recycle technology for fast reactor cermet fuel and for deployment of dry technology for injecting LWR SNF into the fast reactor closed fuel cycle. Alternatively, it could facilitate a more rapid drawdown of legacy LWR SNF starting as soon as SMR's go into production. The particulate metal fuel can be the host matrix for any ceramic material that contained fissile and fertile elements. The only restriction being that the concentration of the ceramic material is preferably limited such that upon irradiation the host metal matrix can be continuous. With a continuous metal matrix, all the excellent features of metal fuel can be preserved. One important example is the fissioning of americium by purposely collecting volatile americium and oxidizing it into a less volatile oxide to facilitate including americium as an oxide in the metal fuel matrix. Conventional fuel reprocessing techniques have difficulty containing the americium due to its high volatility. Embodiments of the present invention preferably effectively capture and fission americium, which is one of the most troublesome of all waste products. Therefore, the americium does not end up as a waste but becomes yet another fissionable isotope from which energy is gained. Studies of offsite doses from a non-saturated zone repository show that the peak dose at about 64,000 years is due to Np237, which is an alpha emitter with a half life of 2.41 million years. Np237 is a daughter product of Am241 alpha decay with 432 year half life, and Am241 is itself the daughter of Pu241 beta decay with a 14.35 year half life. Both Am241 and Pu241 are generated in nuclear reactor discharged fuel. In a closed fast reactor fuel cycle Pu241 is present at about 0.5 weight % of heavy metal and Am241 is present at about 0.15 weight % of heavy metal at spent fuel discharge. For an open cycle LWR, the percentages are 0.19 weight % and 0.4 weight %, respectively. These relatively small weight fractions of discharged fuel none-the-less give rise to a dominant hazard of long term waste disposal. To prevent the buildup of Np237, which may eventually dominate the offsite dose from a nuclear waste repository, it may be beneficial to recycle its progenitor isotopes, Pu241 and Am241, back into the fast reactor where they can be consumed by fission. Since both are productive (fissionable) fuel in a fast reactor, it is desirable from the point of view of reactor design. In the case of Am, however, a difficulty is encountered in recycling because Am is a volatile specie at the temperatures normally encountered in the basic pyrometallurgical processes for fast reactor recycle. The pyrometallurgical process may involve three high temperature processes where americium losses are possible. The first is in an electrorefining operation, at a relatively low temperature of approximately 500 degrees Celsius, where the americium may exist as a chloride and volatile losses are expected to be negligible. The second is a retorting of the liquid cadmium cathode at similar temperatures, and finally the most troublesome americium loss may occur during injection casting of fuel slugs at approximately 1600 degrees Celsius. In the injection casting process, the americium may tend to vaporize and deposit on colder parts of the equipment. Casting techniques have been tried that are partially successful in reducing the americium losses by reducing the time at temperature and by reducing the gas volume over the melt. These solutions, however, are not ideal. The metal fuel and fabrication methods discussed above describe the fuel inside the cladding as a particulate metal alloy, e.g., uranium, whereby after a short irradiation period the fuel may behave as a porous solid pin not unlike earlier metal fuel designs. Several advantages are inherent in this particulate design where it is helium bonded instead of sodium bonded. The metal fuel may be extended to include the addition of particulate spent commercial uranium oxide fuel within the metal fuel particulate matrix. As long as the concentration of particulate spent fuel is kept below a certain limit, the particulate metal fuel may be expected to form a continuous matrix within the fuel pin. The volume fraction of particulate spent fuel preferably is kept below approximately 25% to assure a continuous matrix of metal fuel. With a continuous metal fuel matrix, the benefits associated with metal fuel can be preserved; high thermal conductivity and interconnected porosity being among the most important properties, along with a helium bond instead of a sodium bond. One important improvement in certain embodiments of the present invention may be to allow the particulate metal fuel matrix to be a universal host for other particulate fuels. With a concept for a host metal matrix, americium may be purposely vaporized, collected, and oxidized at the process step where the cadmium cathode is returned. The americium product can be collected on a cold collection finger and oxidized for inclusion as a particulate in the host metal matrix. The particulate metal fast reactor fuel, with americium oxide as an inclusion may then be irradiated to fission the americium and not only destroy the americium but also gain energy. It is expected that maximum americium oxide in the particulate metal matrix of preferred embodiments would not exceed approximately 1 weight %. Approximately 1 weight % of americium oxide may be a maximum expected for reprocessed fuel. The concept for inclusion of spent fuel, especially when in particulate form, in the metal fuel particulate matrix may be extended to other spent fuels such as uranium carbides, uranium nitrides, and mixed uranium-plutonium oxides, carbides, and nitrides. The primary condition may be that the concentration of metal fuel in the matrix should be high enough to form a continuous matrix such that all the benefits of metal fuel are realized. A volume fraction of carbides, nitrides, and oxides preferably is kept below approximately 25% to assure a continuous matrix of the metal fuel. This concept of including particles of non-metallic form in the metal particle matrix is supported by observations of non-metallic inclusions in uranium-zirconium and uranium-plutonium-zirconium fuels during the normal course of irradiation. In these fuels the zirconium tends to combine with any oxygen and nitrogen in the system to form inclusions of zirconium oxide and zirconium nitride. In addition, the zirconium combines with carbon, which is carried over from melting in graphite crucibles, to form zirconium carbide inclusions in the metal matrix. These oxide, nitride, and carbide inclusions would have little or no detrimental effect on performance. The volume fraction of these inclusions is well within the range of the expected volume fraction of americium oxide. A volume fraction of americium oxide may be in the range of approximately 1%. In fact, these carbide and oxide inclusions have been an unintentional feature of metal fuel that is part of the extensive experience base that exists for metal fuels. The embodiments disclosed herein are preferably integrated in an SMR; however, the particulate metal fuel of the present invention, and the embodiments thereof, also have applicability to other reactor concepts. The core configuration, fuel handling equipment and the SMR reprocessing and refabrication technology may all benefit from the embodiments described herein. The result may reduce the cost of equipment and fuel, add to the uniqueness and simplification of an SMR, and should be attractive to those concerned about the fate of the 70,000 tons of LWR spent fuel that is now in interim storage. The technologies are applicable beyond the SMR and could apply to diverse fast reactor designs worldwide. An exemplary SMR system 501 of the present invention, as shown in FIG. 5, may include a uranium-fueled core 503, submerged in a tank 505 of ambient pressure liquid sodium 507. The SMR system 501 may also include control rods 513 and be encased in concrete 515. The liquid sodium 507 from the tank 505 may be pumped by a pump 509 through the core 503 to carry heat away to a heat exchanger 511, also submerged in the tank 505 of sodium 507. The sodium 507 may be heated to about 510 degrees Celsius. FIG. 6 shows the SMR system 501 within a larger energy generation system 601. The heated sodium 507 may pass through the heat exchanger 511 to heat secondary sodium, which in turn passes through a heat exchanger 603 where the secondary sodium heats supercritical (almost liquid) carbon dioxide. The heated supercritical carbon dioxide may then be used to spin a gas turbine to make electricity in an electrical generator 605 in a carbon dioxide Brayton cycle building 607. As suggested, this type of system is typically called the Brayton cycle and may provide the SMR a thermal efficiency (heat energy converted to electricity) of approximately 39% to approximately 41% or more, an efficiency much higher than conventional LWR steam driven turbines. In addition, waste heat can be used to meet lower-temperature needs, such as space heating, fresh water desalination, industrial process heat, or can be dissipated through cooling towers. Small sodium-cooled fast reactors demonstrate important inherent safety characteristics that may allow an SMR to be operated with simplified, fail-safe controls that facilitate rapid licensing by regulatory authorities. In response to an accident condition, such as loss of coolant flow to the reactor or to loss of the ability to reject heat from the reactor system, embodiments of the reactor may shut themselves down without human or safety-system intervention because as the reactor coolant heats up, the reactor may cause the core structures to thermally expand causing increased neutron leakage from the core, in turn causing power levels to decrease in a self-correcting fashion. SMR operation requirements may be significantly simpler than conventional nuclear systems, a characteristic that allows the reactor to follow load requirements brought upon by varying levels of electricity demand. Metal alloy fuel is well demonstrated, both from performance and fabrication perspectives, and can straightforwardly meet long refueling time interval requirements. The reactor core may have a long life, up to about 20 years or more, without the need for refueling. The whole reactor core may be replaced at once about every 20 years. As such, the reactor system may have no requirement that the operator handle fuel. The overall unit may be sealed, physically and with electronic monitors, so that any intrusion attempt is easily detected. The elimination of any need or the ability to gain direct access to the fuel and use of smart monitoring systems not only reduces operator requirements, but also addresses proliferation concerns. Additionally, the SMR is small enough to be located below ground, which enhances containment and protection from terrorist activities. Finally, embodiments of the system are small enough that they can be shipped by barge and installed at the site using modular construction techniques: this ability to remotely manufacture and obtain economies of serial production is a desirable benefit. When the fuel cartridges are returned to the manufacturer/designer/fabricator's facility, nearly all of the used nuclear material can be recycled and used as fuel in future cartridges, greatly reducing the volume and radio-toxicity of the final waste to be stored in a geologic repository. Unlike waste from conventional light water reactors, material from SMR's need not be stored for tens of thousands of years. Non-recyclable materials from SMR's require only a few hundred years of storage before the waste decays to levels of radiation associated with the original uranium ore. Although the foregoing description is directed to the preferred embodiments of the invention, it is noted that other variations and modifications will be apparent to those skilled in the art, and may be made without departing from the spirit or scope of the invention. Moreover, features described in connection with one embodiment of the invention may be used in conjunction with other embodiments, even if not explicitly stated above. |
|
046831061 | description | DETAILED DESCRIPTION OF A PREFERRED EMBODIMENT FIG. 1 shows a nuclear vessel reactor 1 closed at its upper end by a cover 2. Clusters (not shown) which may be conventional in nature and construction are located in the reactor vessel for controlling the core. Sensors are provided for measuring the position of the clusters as well as mechanisms for actuating the clusters and thermocouples for monitoring the temperature in the core. Such elements are quite conventional and have not therefore been shown. What is important here is that these elements are connected to the outside of the reactor by electric cables or wires: the sensors for measuring the position of the clusters and the thermocouples must be provided with means for conveying their output signals to signal processing systems placed outside the reactor vessel. The mechanisms for actuating the clusters require electric power. Each of the electric devices is therefore provided with at least one cable which is guided to the outside of the reactor, through the cover, by a guide 3 and which ends with a fixed connector 4. As shown in FIG. 4, cables connected to the electric devices situated in the reactor vessel are guided inside fluid tight guide tubes 3 up to the fixed connectors 4. Those connectors 4, which correspond to similar electric devices inside the reactors, have a similar arrangement. For example, the fixed connectors 4 to which the control cluster position sensors are connected are all disposed in a same plane, perpendicular to the vertical axis of the reactor vessel, at the upper end of each tube 3 situated vertically above each cluster, and are evenly distributed around the end portion of the tubes 3 at 90.degree. (if the number of measuring sensors is four). Thus, the arrangement with respect to each other of the connectors 4 associated with the sensors measuring the position of the clusters is similar to the arrangement of the sensors inside the reactor vessel. Similarly, the fixed connectors corresponding to the actuating mechanisms of the control clusters are all situated similarly at the upper part of tubes 3 and the fixed connectors corresponding to the thermocouples are all disposed in a same plane with an arrangement similar to the arrangement inside the core. In FIG. 4 only those fixed connectors have been shown which correspond to the sensors measuring the position of the clusters (four connectors situated at the end of tube 3) and a fixed connector corresponding to a cluster actuating mechanism (situated in a plane slightly below the four preceding connectors). Referring again to FIG. 1, two layers 5 and 5' of conduits 6 are shown. Sections of cables connecting fixed connectors 4 to fixed connectors 7 situated on plates 8 placed at a distance from the reactor extend along the conduits 6. As seen in FIG. 4, the cables comprise a first section which connects a fixed connector 4 to a fixed connector 10, also fixed, constituting an inlet into one of ducts 6. The first sections are identified as a whole as 9. Referring to FIG. 3, a second section 11 of the cable connects each of the inlet connectors 10 to a lead-out connector 12 constituting an outlet from conduit 6. Referring to FIGS. 1 and 2, third sections 13 connect the lead out connectors 12 from conduits 6 to connectors 7 carried on plates 8 located at a distance from the reactor vessel. The third sections are supported by bridges 14 (FIGS. 1 and 2). Referring to FIG. 2, the conduits are arranged in planar layers pependicular to the axis of the reactor vessel; in each layer, the conduits are mutually parallel. Thus layers 5 and 5' have conduits 6 orientated in directions at 90.degree. from each other. Layer 5" has conduits orientated at 45.degree. from those in layers 5 and 5'. Referring to FIG. 3, a conduit 6 is parallelepipedic in shape. The associated lead-in connectors 10 are situated on the larger surfaces 15 and 15' whereas the lead-out connectors 12 are situated on end faces 16 and 17. Conduit 6 is divided longitudinally into two separate compartments 18 and 19 each comprising a larger lateral surface 15 or 15' and an end surface 16 or 17 of the conduit 6. Thus, the sections 11 in one of compartments 18 or 19 are not in contact with the sections 11 in the other compartment. The number of lead-in connectors 10 on each lateral surface 15 or 15' may typically be equal to the number of lead-out connectors 12 corresponding to each lead-in connector 10 and conversely. It is however, possible for the connectors 12 to be less in number than the connectors 10, several sections 11 being connected to a same lead-out connector 12. FIG. 3, shows a conduit 6 which has four lead-in connectors 10 on each lateral surface 15 or 15' and four lead-out connectors 12 on each end surface 16 or 17. However, the number may be higher, in particular on conduits 6 situated close to the axis where the conduits 6 have a length close to the diameter of the reactor core. Referring to FIG. 4, each fixed connector 4 above the cover is associated with a connector 10 leading into a conduit 6 and close to connector 4; thus, the first sections 9 connecting fixed connectors 4 to the associated lead-in connectors 10 and corresponding to similar electric devices have the same length. In FIG. 4, all first sections identified as 9a are of the same length, and sections 9b, 9c or 9d also have the same length as all those similar thereto. In addition, in the particular case of FIG. 4, sections 9a and 9c are equal to each other; the same goes for sections 9b and 9d. The identity of the lengths of the respective sections comprising sections 9 makes these sections interchangeable; when a malfunction occurs in one of sections 9, it may be very quickly changed since sections 9 are all prepared beforehand to a suitable length, so that all that is required is to connect each of the mobile connectors situated at the ends of the section on the one hand to the fixed connector 4 and on the other to the fixed connector 10. The arrangement of the first sections 9 is, moreover, such that, in the case where several electric devices are redundant, which is the case for the sensors measuring the position of the clusters, conduit lead-in connectors 10 situated in separate conduits 6 are associated with the fixed connectors 4 situated above the top cover at the ends of the first cables relative to these devices. Thus, the second sections 11 of the cables relative to these redundant electric devices are necessarily in separate conduits 6; should a malfunction occur in one or even more of these conduits 6, at least one of the conduits containing one of the sections relative to these redundant devices will not be damaged and will fulfill its function. The assembly of the third sections 13 extending from ducts 6 in the same plane, and hence parallel to each other, extends from reactor 1 parallel to the direction of the conduits 6, in two opposite directions, since the sections 13 extend from each conduit 6 through two opposite end faces 16 or 17. The third sections 13 from the same end 16 or 17 of the same conduit 6 are grouped together at the outlet of conduit 6 into a single cable. This single cable is formed in a way conventional in the nuclear field, i.e., it generally comprises a first sheath which is an electric insulator (this sheath may, for example, be formed from chlorosulfonated polyethylene), a second sheath forming a heat screen, made, for example, from glass wool, a third sheath having a metal screen function, formed, for example, from a steel or copper strip or braid, and a fourth insulating sheath made, for example, from chlorosulfonated polyethylene. These sheaths allow the cable to suitably resist heat, radiation, sprinkling with boron-containing water and earthquakes. Finally, the assembly of cables extending in the same direction from conduits 6 parallel to each other is of a fairly limited number, since this number is equal to the number of conduits situated in the same plane. The assembly of these cables may therefore rest on the bridge 14 which supports it as far as plate 8 situated away from the reactor while only forming a single layer of cables. The number of bridges 14 is of course equal to twice the number of layers of conduit 6 (there are, for example three layers 5, 5' and 5" in the drawings). The direction of the conduit 6 of each layer 5, 5' or 5" is of course provided so that the bridges 14 may be evenly distributed around the reactor. The cabling installation of the invention has numerous advantages: positioning of the cables is easy since the second cables connecting the fixed connectors 14 situated above the top cover to the fixed connectors 7 situated on plates 8 at a distance from the reactor are formed from several independent sections, of well defined lengths, which may be prepared beforehand and thus need only be connected very simply, using connectors situated at each of their ends. Similarly, dismantling of the cables is very easy. Replacement of the cables is also facilitated, since it is sufficient to change the damaged section of each of the cables which no longer fulfill their function; these sections are readily accessible, in particular the cables grouping together the third sections are disposed in a single layer on the bridges 14; in addition, the replacement sections are prepared beforehand. The facility of fitting, removing and replacing cables allows the operators to minimize their stay in the contaminated environment and to work under easy and more comfortable conditions, without requiring special tools. An additional result is a more reliable assembly. Furthermore, the installation of the invention allows the use of electric devices, in particular sensors for measuring the position of the clusters, which are redundant. In fact, it is only the very rational arrangement of the cables of the installation of the invention which allows a high number of cables to be used. Furthermore, since the cables extending from redundant devices must be disposed so as to comply with prevailing safety standards since the electric power supplies for these redundant devices must have different origins and be mechanically separate, since the paths of the transmission, cables must be different and since minimum distances are imposed between the paths of the cables, only a very precise organization of the arrangement of these cables makes it possible to use these redundant devices. The installation of the invention further allows cables corresponding to devices having similar functions, without however being redundant, to be placed in the same compartment, so that all the third sections extending in the same direction from conduits parallel to each other correspond to electric devices having the same function. Thus analog signal processing devices may be grouped together on the same plate 8 at a distance from the reactor. In the conduits, the sections may exist together at small distances, thus allowing a high concentration of the cables. A cabling installation according to the invention is furthermore very resistant to earthquakes, to radiation and to sprinkling with boron-containing water, for the cables used are constructed so as to resist these environmental conditions in the reactor, each of the sections being surrounded, in a manner known per se by protective sheaths similar to the sheaths of the third sections described above. As for circuits 6, these are made of stainless steel and thus withstand very well the environmental conditions inside the reactor. |
048866350 | description | Referring now to the figures of the drawings in detail and first, particularly, to FIG. 1 thereof, there is seen a gripping tool 2 that can be connected to the lower end of a mast 1 which can be suspended from a crane. The mast 1 has a first coupling half or part or connecting member 1a in the form of a pin which can be inserted into an opposite coupling half or part or connecting member 2a in the form of a sleeve. The sleeve 2a is retained on the top of the pin 1a by means of a bolt 3. A guide bar 4 is spring-mounted with telescope-like placement or suspension in the bottom of the sleeve 2a. A pressure spring 24 which is disposed in the sleeve 2a has one end braced on a partition 2b and the other end on the front surface of the guide bar 4. The travel of the spring is defined by an oblong hole 4a. A bolt 4b which is fixed in the sleeve 2a extends through the oblong hole 4a. On the other end of the guide bar 4, catches 5, 6 in the form of a two-armed lever are disposed in respective pivots 7, 8. The catches 5, 6 are used to grasp a head 10 which is disposed on a capsule 12 by means of a retainer 11 and in which a sample or specimen is placed. A bolt 10a protrudes from the head 10. The inner surfaces of the catches 5, 6 have gripping surfaces 9 adapted to the shape of the head 10 so that they grasp the head 10 in a form-locking manner when they are in the closed position. A form-locking connection is one which connects two elements together due to the shape of the elements themselves, as opposed to a force-locking connection, which locks the elements together by force external to the elements. The guide bar 4 is surrounded by a sleeve bushing or 13 having guide pins 14, 15 which engage respective guide grooves 5a, 6a of the catches 5, 6. The guide pins 14, 15 are fixedly disposed on a lateral support 16 of the sleeve 13 as seen in FIG. 2, and can be moved in the direction of the longitudinal axis of the sleeve by means of a remotely-controllable linear drive acting on a flange 17 of the sleeve. The linear drive is advantageously in the form of a pressure medium drive in which cylinders 19 with pistons 20 are disposed on a flange 18 of the guide bar. The pistons 20 are each connected through a piston rod 21 with the flange 17 of the sleeve 13. The cylinders 20 are supplied with compressed air or a hydraulic medium through control lines 22b for opening the catches 5, 6 and through control lines 22a for closing the catches 5, 6. Return springs 23 which are additionally installed in the cylinders 19 assure that the pistons 20 remain in the upper position and the catches 5, 6 remain closed, in case of a sudden reduction of pressure in the control lines 22a. In order to remove the sample by remote control, the control lines 22 are linked with a pneumatic system in which the pressure lines connected with the cylinders 19 are connected with a pneumatic reservoir 25 through a shift device 26 disposed in a control panel. An operational check of the gripping tool 2 is then performed while the mast 1 is suspended from the crane. If the piston rods 21 are extended, the catches are open. The gripping tool 2 is lowered in this position by the crane until it rests on the head 10 of the retainer 11 of the capsule 12 for the sample. The protruding bolt 10a completely retracts elastically. An additional strong damping of the shock occurring when the gripping tool 2 is seated and avoidance of damage to the radiation column are achieved due to the fact that the guide bar 4 is yieldingly seated in a telescope-like manner in the sleeve 2a by means of the spring 24. After the gripping tool has been seated, the pistons 20 are retracted by charging the control lines 22a so that the catches 5, 6 close in a form-locking manner around the head 10, as seen in FIG. 2. A form-locking connection is one which connects two elements together due to the shape of the elements themselves, as opposed to a force-locking connection, which locks the elements together by force external to the elements. The radiation sample can then be pulled and suspended in a fuel assembly reservoir. The return springs 23 assure that the catches 5, 6 remain closed if accidental reduction of pressure occurs in the pressure medium lines 22 during transport by the crane. In order to open the catches, the piston rods 20 are extended by supplying pressure medium to the control lines 22b. |
summary | ||
claims | 1. An imaging apparatus comprising:a detector device for determining points of incidence of photons and having an impact surface, andan aperture for projecting the photons to the detector device, having an inlet surface and an outlet surface facing the impact surface, and comprising pinholes connecting the inlet surface and the outlet surface,characterised in thatthe pinholes comprise one or more central pinholes and one or more peripheral pinholes, andat least one central pinhole and at least one peripheral pinhole are formed with focal opening depths different from each other, wherein a focal opening of the at least one central pinhole is located closer to the inlet surface than a focal opening of the at least one peripheral pinhole. 2. The apparatus according to claim 1, characterised in that the focal opening of at least one central pinhole is located farther from the inlet surface than the focal opening of at least one peripheral pinhole. 3. The apparatus according to claim 1 characterised in that the pinholes are formed to implement jointly a complete projection of a volume of interest. 4. The apparatus according to claim 3, characterised in that the one or more central pinholes are formed to implement a complete projection of a primary volume of interest being a part of the volume of interest. 5. The apparatus according to claim 1 characterised in that the detector device comprises a scintillation crystal converting the photons projected to the impact surface into light scintillations, and photodetectors arranged on the side of the scintillation crystal opposite the impact surface and suitable for determining locations of light scintillations. 6. The apparatus according to claim 5, characterised by comprising a scintillation crystal having parallel flat sides, the impact surface is formed on one flat side of the scintillation crystal, and the photodetectors are arranged centrally to grid points of a regular grid along the scintillation crystal flat side opposite the impact surface and the photons are projected by the pinholes onto image segments formed on the impact surface centred on central points of areas between the grid points. 7. The apparatus according to claim 1, characterised in that the pinholes have a conic or pyramid shape, and the shapes of the inlet window, outlet window and the focal opening of the pinholes are planar and can be transformed into each other by affine transformation. 8. The apparatus according to claim 1, characterised in that image segments are arranged without overlapping in the same number as the pinholes in accordance with the projection of the pinholes on the impact surface. 9. An aperture for an imaging apparatus, which apertureis suitable for the imaging of photons,has an inlet surface and an outlet surface, andcomprises pinholes connecting the inlet surface and the outlet surface,characterised in thatthe pinholes comprise one or more central pinholes and one or more peripheral pinholes, andat least one central pinhole and at least one peripheral pinhole are formed with focal opening depths different from each other, wherein a focal opening of the at least one central pinhole is located closer to the inlet surface than a focal opening of the at least one peripheral pinhole. 10. The aperture according to claim 9, characterised in that the focal opening of at least one central pinhole is located farther from the inlet surface than the focal opening of at least one peripheral pinhole. 11. The aperture according to claim 9, characterised in that the pinholes are formed to jointly implement a complete projection of a volume of interest. 12. The aperture according to claim 11, characterised in that one or more central pinholes are formed to implement a complete projection of a primary volume of interest being a part of the volume of interest. 13. The aperture according to claim 9, characterised in that the pinholes have a conic or pyramid shape, and the shapes of the inlet window, outlet window and focal opening of the pinholes are planar and can be transformed into each other by affine transformation. 14. A method for manufacturing an aperture of an imaging apparatus comprisingmodelling a reference aperture suitable for projecting photons, having an inlet surface and an outlet surface, and comprising pinholes connecting the inlet surface and the outlet surface, said reference aperture comprising pinholes with focal openings formed at equal distances from the inlet surfacecharacterised byselecting one or more central pinholes and one or more peripheral pinholes from the pinholes,defining an aperture by displacing in relation to the inlet surface along main axes of the pinholes the focal opening of at least one central pinhole and/or the focal opening of at least one peripheral pinhole, wherein a focal opening of the at least one central pinhole is displaced closer to the inlet surface and a focal opening of the at least one peripheral pinhole is displaced away from the inlet surface, andforming the defined aperture. 15. The method according to claim 14, characterised bydetermining sensitivity and/or resolution obtainable by the reference aperture and a detector device, by matching the detector device to the reference aperture, anddisplacing in relation to the inlet surface along the main axes of the pinholes the focal opening of at least one central pinhole and/or the focal opening of at least one peripheral pinhole, so that the sensitivity and/or resolution obtainable by the determined aperture and the matched detector device are altered in accordance with the spatial distribution of a photon emitting material being in the volume of interest. 16. The method according to claim 14, characterised in that the focal opening of at least one central pinhole is displaced away from the inlet surface and the focal opening of at least one peripheral pinhole is displaced closer to the inlet surface. 17. An imaging apparatus, comprising:a detector device configured to determine points of incidence of photons and having an impact surface;an aperture configured to project the photons to the detector device having an inlet surface and an outlet surface facing the impact surface; andpinholes connecting the inlet surface and the outlet surface and including one or more central pinholes and one or more peripheral pinholes, wherein at least one central pinhole and at least one peripheral pinhole are formed with focal opening depths different from each other and a focal opening of the at least one central pinhole is located farther from the inlet surface than a focal opening of the at least one peripheral pinhole. 18. An aperture for an imaging apparatus, comprising:an inlet surface and an outlet surface; andpinholes connecting the inlet surface and the outlet surface and including one or more central pinholes and one or more peripheral pinholes, wherein at least one central pinhole and at least one peripheral pinhole are formed with focal opening depths different from each other and a focal opening of the at least one central pinhole is located farther from the inlet surface than a focal opening of the at least one peripheral pinhole. 19. A method for manufacturing an aperture of an imaging apparatus, comprising:modeling a reference aperture suitable for projecting photons having an inlet surface and outlet surface with pinholes connecting the inlet surface and the outlet surface so that focal openings are formed at equal distances from the inlet surface;selecting one or more central pinholes and one or more peripheral pinholes from the pinholes;defining an aperture by displacing in relation to the inlet surface along main axes of the pinholes a focal opening of at least one central pinhole and/or a focal opening of at least one peripheral pinhole, wherein the focal opening of the at least one central pinhole is displaced away from the inlet surface and the focal opening of the at least one peripheral pinhole is displaced closer to the inlet surface; andforming the defined aperture. |
|
abstract | A nuclear steam supply system includes an elongated reactor vessel having an internal cavity with a central axis, a reactor core having nuclear fuel disposed within the internal cavity, and a steam generating vessel having at least one heat exchanger section, the steam generating vessel being fluidicly coupled to the reactor vessel. The reactor vessel includes a shell having an upper flange portion and a head having a head flange portion. The upper flange portion is coupled to the head flange portion, wherein the upper flange portion extends into the internal cavity, and the head flange portion extends outward from the internal cavity. The flanges have a space saving design which are configured to minimize outward extension from the cavity while still providing desired leak protection at the interface between the shell and the head. |
|
abstract | Light resonant with an electronic excitation level of nanosize objects is projected onto a plurality of closely located nanosize objects, such as quantum dots, quantum dot pairs, and a carbon nanotube, in a collection of nanosize objects is disclosed. In so doing, to control the mechanical interaction induced between the nanosize objects, the projected resonant light is changed in polarization. This enables the collective manipulation of the nanosize objects. |
|
052563383 | description | DESCRIPTION OF THE PREFERRED EMBODIMENTS One example of the present invention will be explained referring to the accompanying drawings. Incidentally, it should be understood that we intend to cover by the appended claims all modifications falling the true spirit and scope of our invention. FIG. 1 is a system flow chart of solidifying a spent ion exchange resin (waste resin) generated from nuclear power plants in a waste container with a cement type hydraulic setting material. A waste resin slurry generated from a nuclear power plant is supplied to resin hydro-extractor 1 and dehydrated to about 50% in water content by centrifugal dehydration. The dehydrated waste resin is sent to resin receiver tank 2 and is adjusted to a given amount by feeder 3 and supplied to kneading tank 4 This waste resin has trapped several kinds of radioactive nuclides in the form of ion or solid. Next, to kneading tank 4 are fed kneading water from additive water tank 7, cement powders from cement silo through feeder 6, and fibrous active carbon from additive hopper 8 in given amounts and these are forcedly kneaded by agitating blades 9 to prepare a paste. The resulting paste is poured in waste container 10 and then cured and set to make a waste form. If vibration is applied by vibration applicator 11 at the time of pouring of paste, a good waste form containing few bubbles therein can be obtained. Besides the above explained process, it is also possible to directly supply the dehydrated waste resin, the cement powders and the fibrous active carbon to the waste container and agitate the mixture to obtain a waste form. Next, this example will be specifically explained. In this example, a waste form was prepared as shown in CASE 1 in Table 1. For comparison, a waste form was also prepared without using fibrous active carbon as shown in CASE 2. TABLE 1 ______________________________________ Composition of waste form Case 1 Case 2 ______________________________________ Type C blast furnace cement 150 kg 150 kg Waste resin 120 kg 120 kg Additive water 50 kg 50 kg Fibrous active carbon 5 kg 0 kg ______________________________________ The cement powder used here was type C blast furnace cement but there may also be used cement glass which is a setting material comprising a mixture of cement and sodium silicate powder, silica cement, alumina cement, fly ash cement, cements resistant to sulfates, and the like. "Cement type hydraulic solidifying agents" here is a general term for these cements. To the additive water was previously added about 2% of a water reducing agent (.beta.-naphthalenesulfonate high condensates). The fibrous active carbon used was made from tar as a starting material and had the following properties; thickness: 15 .mu.m, length: 3 mm, specific surface area: 1500 m.sup.2 /g, and average pore diameter of surface micropores: 20 .ANG.. After lapse of curing period of 4 weeks, the resulting waste form was subjected to core balling to make many test samples of about 0.1 liter and these test samples were subjected to drying cycle test which comprised dipping in water.fwdarw.drying by air stream of 70.degree. C..fwdarw.dipping in water. Compressive strength of the test sample was measured in each cycle and soundness of the waste form was examined. Whether there occurred cracks inside the waste form due to dry-and-wet cycle or not can be detected by change in compressive strength. FIG. 2 is a graph which shows change in compressive strength with increase in the number of dry-and wet cycle. Plot of white circles shows the waste form of CASE 1 and plot of black circles shows the waste form of CASE 2. In the case of the waste forms of CASE 2 which contained no fibrous active carbon, cracks began to occur when the number of the dry-and-wet cycle exceeded 5 cycles and the waste forms were broken at 10 cycles. On the other hand, the waste forms of CASE 1 which contained the fibrous active carbon showed no reduction in compressive strength even after 20 cycles and were sound and safe. Next, a part of the waste forms of CASE 1 and CASE 2 were sampled and ground in a mortar. One gram of each of the resulting samples was dispersed in a distilled water containing 100 .mu.Ci of carbon-14 and 100 .mu.Ci of cesium-134 and the dispersion was vibrated in a water bath incubator at 25.degree. C. Then, distribution coefficient of carbon-14 (anion) and cesium-134 (cation) of the set bodies of both CASES was measured. In measurement, a liquid scintillation counter was used for carbon-14 and a pure Ge semiconductor detector was used for cesium-134. The results are shown in Table 2. It is generally known that leaching rate of radioactivity from waste form when the waste form is submerged is inversely proportional to square root of distribution coefficient of the solidifying material. TABLE 2 ______________________________________ Distribution coefficient (relative value) CASE 1 CASE 2 ______________________________________ Carbon-14 150 1 Cesium-134 50 1 ______________________________________ As can be seen from Table 2, leaching rate of radioactivity from the waste form of CASE 1 was reduced to about 1/12 and about 1/7 that from the waste form of CASE 2 for carbon-14 and for cesium-134, respectively. That is, endurance of waste form can be improved and leaching rate of radioactivity can be reduced by adding some amount (<5% by weight) of fibrous active carbon to conventional cement type solidifying materials. Fibrous active carbon has innumerable micropores (pore diameter 20.ANG.) on the surface which have the property to mainly physically adsorb radioactive nuclides in the state of ion or molecule. Therefore, the fibrous active carbon has no polarity in adsorption characteristics and has the action to adsorb both the nuclides which basically take the form of cation in liquid and the nuclides which basically take the form of anion in liquid, thereby to retard leaching out of the nuclides. It also has the property of having high distribution coefficient for carbon-14 which takes the form of anion or organic carbon aid for which there has been no effective process to retard leaching out thereof. With reference to the shape of the fibrous active carbon, the reinforcing effect is higher with increase of aspect ratio (length of fiber/thickness of fiber), but kneading property or pouring property deteriorates with increase of the aspect ratio. Therefore, the aspect ratio can be freely adjusted, but is preferably in the range of 200-300. When the fibrous active carbon is used in combination with an adsorbing material for cation, the effect to diminish the leaching rate of radioactive nuclides in the form of cation can further be enhanced. In this example, use of fibrous active carbon has been explained, but similar results can be obtained by using ion exchange fibers and alkali metal titanate fibers. Ion exchange fibers which consist of base polymer and functional group, adsorb ions of radioactive nuclides dissolved in water by ion exchange reaction to functional group such as sulfonic acid group, carboxyl group, quaternary ammonium group and the like. Since polarity of ions which can be adsorbed differs depending on the kind of the exchange groups, nearly all of nuclides can be adsorbed by mixing cation exchange fibers and anion exchange fibers. Furthermore, in the case of the alkali metal titanate fibers, nuclides are adsorbed by ion exchanging between alkali metal ions present between layers of titania layer structure and the nuclides which are in the form of cation in liquid. Therefore, the effect to retard leaching is exhibited only on cation nuclides and no effect is exhibited on anion nuclides such as carbon-14 and neutral molecules. Besides, since alkali metal titanate fibers have a specific gravity of 3 or more and may sediment in the paste of solidifying material, apparent specific gravity of the fibers is preferably adjusted to 1.5-2.5. The above explained fibrous materials (fibrous active carbon, ion exchange fibers and alkali metal titanate fibers) may also be used in the form of a blend of two or more depending on kind and amount of nuclides contained in wastes. Another example of the present invention will be explained. The embodiment of this example is suitable for solidifying spent ion exchange resins generated from nuclear power plants in a waste container. The system used in this example is the same as shown in FIG. 1. A waste form was prepared by adding powdered active carbon or fibrous active carbon from additive hopper 8. Distribution coefficient of carbon-14 in the waste form and maximum amount of the resin which can be packed were investigated by experiments with optionally changing addition amounts of the powdered active carbon and the fibrous active carbon. The maximum amount of resin which can be packed means a maximum addition amount with which a compressive strength of at least 30 kg/cm.sup.2 of a waste form can be secured when the waste form is prepared through curing for 1 month and is further dipped in water for 1 month. FIG. 3 shows the results of the above experiments and is a graph which shows relations between amount of the additive and resin packing rate, namely waste loading, or distribution coefficient of C-14. In the case of the waste form prepared by adding powdered active carbon which is indicated by the plots of black circles and squares, distribution coefficient of carbon-14 increased with increase in addition amount and the effect to reduce leaching rate of carbon-14 was exhibited, but the maximum amount of resin which can be packed decreased, resulting in decrease of strength of the waste form per se. On the other hand, in the case of the waste form prepared by adding the fibrous active carbon which is indicated by the plots of white circles and squares, the maximum resin packing rate and the carbon-14 distribution coefficient body increased with increase of addition amount. That is, when addition amount is 4% by weight, the maximum resin packing rate is more than twice the maximum resin packing rate when the fibrous active carbon is not added. Moreover, the distribution coefficient of carbon-14 is as high as several times that of carbon-14 when powdered active carbon is added. Further, the distribution coefficient of carbon-14 can be increased with increase of addition amount of the fibrous active carbon, but kneading property and pouring property of the solidifying material and the paste decrease. Therefore, addition amount of the fibrous active carbon is generally 10% by weight or less, preferably 5% by weight or less. The fibrous active carbon exhibits the effect when it is added even in a small amount and lower limit thereof is about 0.1% by weight. On the other hand, the powdered active carbon can be added in an amount of up to about 10% by weight in view of resin packing rate. From the above, it can be seen that distribution coefficient of solidifying material for carbon-14 which has been small can be increased by adding powdered active carbon or fibrous active carbon. Moreover, in the case of fibrous active carbon, mechanical strength of set body increases and hence, packing rate of wastes can be enhanced. Since these additives trap nuclides mainly by physical adsorption, they have the same effect for radioactive nuclides in the form of neutral molecule irrespective of cation or anion. Another example of the present invention will be explained referring to FIG. 4. This example is suitable for disposal by solidifying radioactive concentrated liquid wastes generated from nuclear power plants or nuclear fuel reprocessing facilities. A concentrated liquid waste containing about 20% of sodium sulfate is temporarily stored in waste liquor tank 12. First, the concentrated liquid waste is fed to centrifugal thin film drier 13 and is powdered therein. The dry powder is transferred, as it is, to kneading tank 18 and is mixed with a solidifying material to make waste form 22. Alternatively, the waste liquor is put in waste container 19, in which a paste of a separately kneaded solidifying material is poured to make waste form 21. A type C blast furnace cement premixed with 4% by weight of fibrous active carbon (diameter: 10 .mu.m and length: 3 mm) which is a reinforcing material having nuclide adsorbability is used in setting tank 15. It is needless to say that so-called cement glass, silica cement, alumina cement, fly ash cement, sulfate resistant cement and the like which are the above-mentioned cement type hydraulic solidifying materials can be used in place of the blast furnace cement. This solidifying material is kneaded with kneading water fed from the additive water tank in kneading tank 17 at a suitable blending ratio to prepare a paste. It is desired to previously add about 2% of .beta.-naphthalenesulfonate type high performance water reducing agent to the additive water. This example will be specifically explained. In this example, the dry powder of concentrated liquid waste was directly mixed with the paste of solidifying material. Amount of the dry powder was changed within the range of 0-50% by weight and waste forms were prepared using a solidifying material premixed with 4% by weight of fibrous active carbon and a solidifying material containing no fibrous active carbon. The ratio of water/solid was 0.4. In the case of adding 10% of the dry powder, .sup.99 TcO.sub.4.sup.- which was added as a tracer in an amount of 100 .mu.Ci per one waste form. Compressive strength of respective waste forms was measured and besides, radioactivity leaching test was conducted. FIG. 5 shows results of measurement of compressive strength of the waste forms after dipped in water for 1 month and FIG. 6 shows results of the radioactivity leaching test. In FIGS. 5 and 6, the results obtained when fibrous active carbon was added and when it was not added are shown in comparison. It can be seen from FIG. 5 that even if the addition amount of the dry powder is twice as much, the waste forms have sufficient strength by adding the fibrous active carbon. It can be seen from FIG. 6 that leaching rate of technetium-99 can be reduced by about one figure and the fibrous active carbon is effective especially for solidifying wastes of higher radioactivity level than conventional wastes. For reduction of leaching of nuclides in the form of cation, cation exchange fibers and alkali metal titanate fibers are effective and can be used as substitutes for fibrous active carbon. Furthermore, mixed fibers of cation exchange fibers and anion exchange fibers or mixed fibers of fibrous active carbon and alkali metal titanate fibers are more effective. In the case of using alkali metal titanate fibers, care must be given to sedimentation of fibers because specific gravity of the fibers is at least 3 which is greater than that of general cement. Therefore, it is necessary to reduce diameter of the fibers or length of the fibers. In this case, however, strength of fibers may decrease or the advantageous effect to inhibit occurrence of cracks may be lost. Thus, as an alternative method, sedimentation of fibers can be inhibited by increasing the viscosity of cement paste to such extent that pouring and kneading of cement paste is not hindered. In this case, the viscosity of cement paste is desirably in the range of about 3000 to 5000 cp. Furthermore, when dry powder is pelletized and then a paste of solidifying material is poured therein to solidify the pellets in this example, viscosity of the paste of setting material and length of the fibers must be taken into consideration. That is, when the paste is spontaneously poured without application of vibration by vibrator, the viscosity of the paste of solidifying material must be 3000 cp or less, preferably 2000 cp of less. Even in the case of packing under vibration, a dense waste form free from void can be prepared by employing 5000 cp or less as viscosity of the paste of solidifying material. With reference to length of fibers, when fibers of higher aspect ratio are used, sometimes the fibers localize since the fibers are liable to retain in the spaces between pellets. In such case, aspect ratio is preferably controlled to less than 100 though reinforcing effect somewhat deteriorates. Another example of the present invention will be explained referring to FIG. 7. This example relates to a waste container suitable for solidifying of radioactive wastes generated from nuclear power plants. As shown in FIG. 7, the waste container comprises iron drum 23 having an inner lining of concrete container 24, but the concrete container 24 alone ca be used as the waste container. The concrete container 24 is composed of a cement, a fine aggregate, a coarse aggregate, and a nuclide adsorbing reinforcing material. As the cement, there may be used the above-mentioned cement type hydraulic solidifying materials, for example, so-called cement glass, silica cement, alumina cement, fly ash cement, sulfate cement and besides, portland cement and blast furnace cement. As the fine aggregate, there may be suitably used river sand, silica sand, silica fume, fly ash, blast furnace granulated slag fine powder, chamotte, and the like. Examples of the coarse aggregate are ballast and ground rock and the like. Examples of the nuclide adsorbing reinforcing material are fibrous active carbon, ion exchange fibers, and alkali metal titanate fibers. Standard composition of concrete container 24 is shown in Table 4. TABLE 4 ______________________________________ Ordinary portland cement 10 kg River sand 5 kg Ballast 2 kg Fibrous active carbon 0.6 kg Kneading water 7 kg High-performance water 0.2 kg reducing agent ______________________________________ Maximum size of the coarse aggregate is most suitably about 1/2 of fiber length considering the reinforcing effect of fibers, but this coarse aggregate may be omitted. When addition amount of the fibrous active carbon and aspect ratio of the fibrous active carbon in the composition as shown in the above Table 4 are changed, flexural strength of the waste container changes as shown in FIG. 8. With increase in the addition amount and the aspect ratio, flexural strength can be increased, but in order to avoid deterioration of kneadability of the paste and pourability of the paste into a form, addition amount of the fibrous active carbon is preferably 5% by weight or less and aspect ratio is preferably about 200-300. According to this example, flexural strength of the waste container can be increased and impact resistance and cracking resistance can be improved. Moreover, since adsorbability of radioactive nuclides can be imparted to the waste container, leaching of nuclides from radioactive wastes packed in the waste container can be diminished. Besides, since electrical conductivity of the waste container can be enhanced, local corrosion of the drum can also be diminsihed. Water stopping property and resistance to radioactivity leaching can be further improved by impregnating the waste container with a polymer such as PMMA (polymethyl methacrylate) through the surface of the container. Another example of the present invention will be explained referring to FIG. 9. This example relates to pits and back-filling materials in disposal of radioactive wastes on the land. As shown in FIG. 9, waste forms 25 in which radioactive waste was packed and was solidified are placed in pit 27 and space between the waste forms is filled with back-filling material 26. As pit 27, a reinforced concrete structure or a pre-stressed concrete structure of the composition as shown in Example 4 is suitable. As the back-filling materials, those which have the following composition are suitable. TABLE 5 ______________________________________ Type C blast furnace cement 70 kg Natural zeolite 30 kg Fibrous active carbon 3 kg Additive water 1 kg High-performance water 1 kg reducing agent ______________________________________ In place of type C blast furnace cement, there may be used above-mentioned cement glass, silica cement, alumina cement, fly ash cement, sulfate cement and the like which are cement type hydraulic solidifying materials. Moreover, a part of the type C blast furnace cement may be replaced with fine aggregate. The back-filling materials preferably have high flowability and when viscosity thereof is lower than 2000 cp, pouring becomes easy. Furthermore, when natural zeolite is added to the back-filling material as in this example, leaching of cation nuclides can be retarded to the lower level. In addition to natural zeolite, addition of natural minerals or clay minerals such as bentonite, montmorillonite, vermiculite, kaolinite, and clinoptilolite can also control leaching of cation nuclides to the lower level. Moreover, according to this example, not only improvements in endurance and weathering resistance of the pits or back-filling materials in disposition places can be attained, but also leaching rate of radioactive nuclides such as carbon-14 and others can further be reduced. Another example of the present invention will be explained referring to FIG. 10. This example relates to a reinforced concrete structure contiguous to the sea. As shown in FIG. 10, concrete layer 28 to which the ion adsorbing reinforcing material of the present invention is added is provided on the side contiguous to the sea of a reinforced concrete structure comprising conventional general concrete layer 29 and reinforcement 30, whereby corrosion of the reinforcement 30 due to diffusion and penetration of chlorine ion contained in seawater can be considerably decreased and life of the structure can be prolonged. As explained above, the present invention provides a solidifying material, a waste container, a structure of disposal site and a back-filling material according to which improvement in long-term endurance and diminishment in leaching rate of radioactivity from wastes can be simultaneously attained. In addition, the present invention provides a solidifying process of radioactive wastes which can simultaneously attain improvement in long-term endurance and diminishment in leaching rate of radioactivity from wastes. |
054405998 | abstract | A nuclear fuel rod spacer grid is formed of slottedly interlocked sets of flat strips. One set of strips is formed with integral coplanar tab portions which have one or more edge portions bent at angles to form integral mixing vanes. |
047388196 | claims | 1. In a fuel assembly having an array of spaced fuel rods, an outer tubular flow channel surrounding said fuel rods so as to direct flow of coolant/moderator fluid therealong, and a water cross extending through said channel and having a plurality of radially extending members dividing the array of fuel rods into a plurality of separate fuel rod mini-bundle subassemblies, each radial member being connected at multiple segments along its outer longitudinal edge to said channel so as to define a series of spaced apart openings between said member and channel which allow cross-flow of coolant/moderator between adjacent subassemblies for pressure equalization therebetween, each subassembly having a plurality of spacers being displaced from one another along the length of said subassembly between the bottom and top thereof and surrounding said fuel rods thereof so as to maintain them in side-by-side spaced relationship, the improvement which comprises: means interconnecting said each water cross radial member and said channel for closing predetermined ones of said openings adjacent the locations of upper ones of said spacers for blocking portions of said coolant/moderator cross-flow between said separate mini-bundles via said predetermined ones of said openings so as to minimize degradation of CHF performance and resulting penalities and uncertainties associated therewith, said blocking means being in the form of a solid continuous structure impervious to cross-flow and interconnecting said each radial member and said channel adjacent the locations of said upper ones of said spacers. 2. The fuel assembly as recited in claim 1, wherein said blocking means is a continuous rib formed in said channel and connected to a respective one of said water cross radial members, said rib extending along said channel through a distance which encompasses the regions of said adjacent subassemblies occupied by said upper ones of said spacers. 3. The fuel assembly as recited in claim 2, wherein said upper ones of said spacers are three in number. 4. The fuel assembly as recited in claim 1, wherein said blocking means is a continuous weld interconnecting said channel with a respective one of said water cross radial members, said weld extending along said channel through a distance which encompasses the regions of said adjacent subassemblies occupied by said upper ones of said spacers. 5. The fuel assembly as recited in claim 4, wherein said upper ones of said spacers are three in number. 6. The fuel assembly as recited in claim 1, wherein said blocking means is a continuous bar disposed between and interconnecting said channel and respective one of said water cross radial members, said bar extending along said channel through a distance which encompasses the regions of said adjacent subassemblies occupied by said upper ones of said spacers. 7. The fuel assembly as recited in claim 6, wherein said upper ones of said spacers are three in number. |
description | 1. Technical Field This invention relates to performance monitoring within a microprocessor, and more particularly to monitoring changes in measured performance parameters. Still more particularly, the present invention relates to a hardware mechanism that measures a rate of change in measured performance events. 2. Description of the Related Art A benchmark is a test of computer hardware and/or software that evaluates the performance of the computer. Performance signals (measurements) are received, and then compared with baseline figures. For example, if a computer's system bus is designed to have a bandwidth of 20 MB/second, the actual maximum traffic on the system bus is measured and compared to the “benchmark” of 20 MB/second. If the system bus does not perform at the specification level, then steps are taken to determine why not. Performance signals reflecting the activity of a tested component in the computer system are sent to a Performance Monitor Unit (PMU), which is typically part of a microprocessor being tested. The PMU contains one or more hardware Performance Monitor Counters (PMCs) that accumulate the performance signals. Besides monitoring bus speed as described above, the PMU may monitor and the PMCs may count processor cycles, instructions completed, delay cycles executing a load from memory, Input/Output (I/O) bandwidth, and any other hardware performance features of the microprocessor. PMU/PMCs can be directly accessed using hardware probes. However, since these hardware probes are very expensive, the contents of the PMU/PMCs are usually accessed via a software interface, such as IBM's Performance Monitor Application Programming Interface (PMAPI), which is a library of APIs that provide access to PMU/PMCs in the microprocessor. A crucial problem with such software interfaces is that, by their software nature, they are not as fast as hardware probes. That is, the software interface might have to take a performance signal off a bus, load the signal into a register, perform a comparison arithmetic function, output the comparison results, etc. Such steps take many clock cycles, after which a transient error may have passed and thus is unavailable for evaluation. Adding additional software layers to attempt to capture the intermittent glitches and/or drops in performance are likely not to be possible without distorting the hardware's original behavior as well as the glitches themselves. It is often useful to determine if a change in performance signal frequency occurs. For example, when measuring the bandwidth of the system bus described above, consider a situation in which the bandwidth experiences an intermittent drop. Polling software could be written to poll the appropriate PMC at pre-determined periods via an API, and to issue an alert when the intermittent drop is detected. However, this additional layer of polling software would likely affect the benchmark flow, since execution of the polling software would require the use of additional resources of the computer system. Furthermore, it may not be possible to poll the PMC frequently enough to achieve the required granularity needed to capture such transient events. Therefore, there is a need for a method and system for detecting a change in hardware performance without using additional software. Preferably, the system would include means for generating an action signal responsive to the detected change in hardware performance. As will be seen, the foregoing invention satisfies the foregoing needs and accomplishes additional objectives. Briefly described, the present invention provides an improved method and system for determining a change in performance criteria measured and stored in Performance Measurement Counters (PMCs). According to one aspect of the present invention, a hardware comparator calculates a first derivative of the number of performance events that occur during a predetermined period of time. This first derivative indicates a frequency of such performance events, which can be used as either a predictor of future problems or needs, or may be used to invoke a corrective action. According to another aspect of an alternate embodiment of the present invention, a second derivative of the number of performance events is also calculated by hardware, in order to predict a future need or problem as well as to invoke corrective steps. The above, as well as additional purposes, features, and advantages of the present invention will become apparent in the following detailed written description. Referring now to the drawing figures, in which like numerals indicate like elements or steps throughout the several views, the preferred embodiment of the present invention will be described. In general, the present invention provides for a circuit and method that uses first and second derivatives of performance event counts to capture an intermittent glitch in a computer system's performance. With reference now to FIG. 1, there is depicted a block diagram of a data processing system in which a preferred embodiment of the present invention may be implemented. Data processing system 100 may be, for example, one of the models of personal computers or servers available from International Business Machines Corporation of Armonk, N.Y. Data processing system 100 includes a central processing unit (CPU) 102, which is connected to a system bus 108. In the exemplary embodiment, data processing system 100 includes a graphics adapter 104 also connected to system bus 108, for providing user interface information to a display 106. Also connected to system bus 108 are a system memory 110 and an input/output (I/O) bus bridge 112. I/O bus bridge 112 couples an I/O bus 114 to system bus 108, relaying and/or transforming data transactions from one bus to the other. Peripheral devices such as nonvolatile storage 116, which may be a hard disk drive, and input device 118, which may include a conventional mouse, a trackball, or the like, is connected to I/O bus 114. The exemplary embodiment shown in FIG. 1 is provided solely for the purposes of explaining the invention and those skilled in the art will recognize that numerous variations are possible, both in form and function. For instance, data processing system 100 might also include a compact disk read-only memory (CD-ROM) or digital versatile disk (DVD) drive, a sound card and audio speakers, and numerous other optional components. All such variations are believed to be within the spirit and scope of the present invention. The CPU 102 described in FIG. 1 is preferably a microprocessor. With reference now to FIG. 2, such an exemplary microprocessor is depicted as CPU 102. In the preferred embodiment, at least two processor cores 202a and 202b are included in CPU 102. Processor cores 202 share a unified second-level cache system depicted as L2 caches 204a-204c, through a Core Interface Unit (CIU) switch 206. CIU switch 206 is a crossbar switch between the L2 caches 204a-204c, each implemented as a separate, autonomous cache system, and the two CPU's 202. Each L2 cache 204 can operate concurrently and feed multiple bytes of data per cycle. CIU switch 206 connects each of the three L2 caches 204 to either an L1 data cache (shown as D-cache 312 below in FIG. 3) or an L1 instruction cache (shown as I-cache 320 in FIG. 3) in either of the two CPU's 102. Additionally, CIU switch 206 accepts stores from processor cores 202 across multiple-byte-wide buses and sequences them to the L2 caches 204. Each CPU 102 has associated with it a non-cacheable (NC) unit 208, responsible for handling instruction-serializing functions and performing any non-cacheable operations in the storage hierarchy. Logically, NC unit 208 is part of L2 cache 204. An L3 directory 210 for a third-level cache L3 (not shown), and an associated L3 memory controller 212 are also part of CPU 102. The actual L3 may be onboard CPU 102 or on a separate chip. A separate functional unit, referred to as a fabric controller 214, is responsible for controlling dataflow between the L2 cache, including L2 cache 204 and NC unit 208, and L3 controller 212. Fabric controller 214 also controls input/output (I/O) dataflow to other CPUs 102 and other I/O devices (not shown). For example, a GX controller 216 can control a flow of information into and out of CPU 102, either through a connection to another CPU 102 or to an I/O device. Also included within CPU 102 are functions logically called pervasive functions. These include a trace and debug facility 218 used for first-failure data capture, a built-in self-test (BIST) engine 220, a performance-monitoring unit (PMU) 222, a service processor (SP) controller 224 used to interface with a service processor (not shown) to control the overall data processing system 100 shown in FIG. 1, a power-on reset (POR) sequencer 226 for sequencing logic, and an error detection and logging circuitry 228. With reference now to FIG. 3, there is depicted a high-level block diagram of one of processor cores 202 depicted in FIG. 2. The two processor cores 202 shown in FIG. 2 are on a single chip and are identical, providing a two-way Symmetric Multiprocessing (SMP) model to software. Under the SMP model, either idle processor core 202 can be assigned any task. The internal microarchitecture of processor core 202 is preferably a speculative superscalar out-of-order execution design. In the exemplary configuration depicted in FIG. 3, multiple instructions can be issued each cycle, with one instruction being executed each cycle in each of a BRanch (BR) execution unit 302, a Condition Register (CR) execution unit 304 for executing CR modifying instructions, FiXed point (FX) execution units 306a and 306b for executing fixed-point instructions, Load-Store execution Units (LSU) 310a and 310b for executing load and store instructions, and Floating-Point (FP) execution units 308a and 308b for executing floating-point instructions. LSU's 310, each capable of performing address-generation arithmetic, work with Data-cache (D-cache) 312 and storage queue 314 to provide data to FP execution units 308. Global Completion Table (GCT) 309 is a table representing a group of instructions currently being processed by processor core 202. GCT 309 preferably stores the instruction, the logical program order of each instruction, and the completion order of each instruction in the group. For example, a group of three instructions stored in GCT 309 may contain data shown below in Table I. TABLE IProgram orderInstructionCompletion order1ADD R1, mem22ADD R2, R133LOAD R3, A1 Thus, the third program instruction (LOAD the contents of “A” into register R3) was the first to complete. The first program instruction (ADD the contents of memory location “mem” into register R1) was the second to complete, and the second program instruction (ADD the contents of register R1 into register R2 after the contents of R1 have been updated by the first program instruction) was the last to complete. Upon certain catastrophic events, such as an instruction cache miss, an instruction branch misprediction, or a global flush of all queues and registers, including queues 326, 328 and 330, GCT 309 is emptied (flushed). Such a GCT 309 flush reflects a state of processor 202 in which execution processing must be restarted by reloading the appropriate queues to feed the execution units. A branch-prediction scan logic (BR scan) 312 scans fetched instructions located in Instruction-cache (I-cache) 320, looking for multiple branches each cycle. Depending upon the branch type found, a branch-prediction mechanism denoted as BR predict 316 is engaged to help predict the branch direction or the target address of the branch or both. That is, for conditional branches, the branch direction is predicted, and for unconditional branches, the target address is predicted. Branch instructions flow through an Instruction-Register Fetch Address Register (IFAR) 318, and I-cache 320, an instruction queue 322, a Decode, Crack and Group (DCG) unit 324 and a BRanch/Condition Register (BR/CR) issue queue 326 until the branch instruction ultimately reaches and is executed in BR execution unit 302, where actual outcomes of the branches are determined. At that point, if the predictions were found to be correct, the branch instructions are simply completed like all other instructions. If a prediction is found to be incorrect, the instruction-fetch logic, including BR scan 312 and BR predict 316, causes the mispredicted instructions to be discarded and begins refetching instructions along the corrected path. Such an instruction branch misprediction also causes instructions shown in GCT 309 to be flushed out. Instructions are fetched from I-cache 320 on the basis of the contents of IFAR 318. IFAR 318 is normally loaded with an address determined by the branch-prediction logic described above. For cases in which the branch-prediction logic is in error, the branch-execution unit will cause IFAR 318 to be loaded with the corrected address of the instruction stream to be fetched. Additionally, there are other factors that can cause a redirection of the instruction stream, some based on internal events, others on interrupts from external events. In any case, once IFAR 318 is loaded, then I-cache 320 is accessed and retrieves multiple instructions per cycle. The I-cache 320 is accessed using an I-cache DIRectory (IDIR) (not shown), which is indexed by the effective address of the instruction to provide required real addresses. On an I-cache 320 cache miss, instructions are returned from the L2 cache 204 illustrated in FIG. 2. In addition, an I-cache 320 cache miss will result in the flushing of GCT 309, in order to allow another group of instructions to load into the GCT 309 and the appropriate instruction queues. When the instruction pipeline is ready to accept instructions, the IFAR 318 content is sent to I-cache 320, IDIR, and branch-prediction logic. IFAR 318 is updated with the address of the first instruction in the next sequential sector. In the next cycle, instructions are received from I-cache 320 and forwarded to instruction queue 322 from which DCG unit 324 pulls instructions and sends them to the appropriate instruction issue queue, either BR/CR issue queue 326, FiXed-point/Load-Store (FX/LS) issue queues 328a-328b, or Floating-Point (FP) issue queue 330. As instructions are executed out of order, it is necessary to remember the program order of all instructions in flight. To minimize the logic necessary to track a large number of in-flight instructions, groups of instructions are formed. The individual groups are tracked through the system. That is, the state of the machine is preserved at group boundaries, not at an instruction boundary within a group. Any exception causes the machine to be restored to the state of the oldest group prior to the exception. A group contains multiple internal instructions referred to as Instruction OPerations (IOPs). In a preferred embodiment, in the decode stages, the instructions are placed sequentially in a group—the oldest instruction is placed in slot 0, the next oldest one in slot 1, and so on. Slot 4 is reserved solely for branch instructions. If required, no-ops are inserted to force the branch instruction to be in the fourth slot. If there is no branch instruction, slot 4 contains a no-op. Only one group of instructions is dispatched, i.e., moved into an issue queue, in a cycle, and all instructions in a group are dispatched together. Groups are dispatched in program order. Individual IOPs are issued from the issue queues to the execution units out of program order. Results are committed, i.e., released to downstream logic, when the group completes. A group can complete when all older groups have completed and when all instructions in the group have finished execution. Only one group can complete in a cycle. With reference now to FIG. 4a, there is depicted in a simplified block diagram additional detail of a preferred embodiment of PMU 222. PMU 222 includes a performance monitor counter 224, which counts performance events, such as data flow across a bus (including I/O bus 114 shown in FIG. 1); the number of reads or writes to nonvolatile storage 116, system memory 110, L1 cache (e.g., D-cache 312 or I-cache 320), L2 cache 204, or L3 cache; the number of reads or writes that are aborted; the number of cache misses occurring at any cache level (L1, L2 or L3); the number of clock cycles over a threshold required for a read or write operation; the number of clock cycles that any selected component in FIGS. 1-3 are idle; and any other hardware activity known to those skilled in the art as being capable of being monitored by a PMU. In particular, PMU 222, in any of the embodiments described in the present specification, can be used to monitor any of the hardware components described in FIGS. 1-3, plus any other hardware component in any electronic circuit as known to those skilled in the art. PMU 222 also has a periodic PMC 404, which may be a different PMC from PMC 402 (as illustrated) or may be the same PMC as PMC 402. Coupled to periodic PMC 404 is a PMC reset controller 406 that, after receiving a signal from a clock cycle counter 408a indicating that a predetermined period of time has elapsed, resets the counter in periodic PMC 404 (after periodic PMC 404 has sent its final count to a count comparator 410). Count comparator 410 compares the final count from periodic PMC 404 to a threshold value stored in count comparator 410. If the final count is outside the range of the threshold value, then count comparator 410 generates a first action signal, which prompts the execution of some configurable action. Details of the operation of PMU 222 are given below in FIG. 6a. There are many types of configurable actions that can be performed in response to the first action signal. For example, a chip inhibit signal can be invoked to other logic on the chip (e.g., CPU), thus causing the chip (or a chiplet) to perform a specific action such as slowing down, speeding up, etc. The action signal may be used to generate a trigger signal for an external logic analyzer, thus starting or ending a capture of data by the logic analyzer. The action signal may be used to generate an internal trigger for use with an internal trace array, including a scan chain. This exemplary listing of configurable actions is not exhaustive, and thus any configurable action appropriate to the situation and known to those skilled in the art of circuitry design and modification may be prompted. As configured according to FIG. 4a, PMU 222 is capable of determining, using only hardware, whether the measured/captured performance signals are higher or lower than expected (i.e., are above or below benchmark parameters). However, the user may also want to know if the number of instances in which the benchmark parameters are violated (performance signals are above or below benchmark parameters) during a predetermined amount of time are excessive. That is, not only may the fact that the number of performance signals exceeded (or didn't meet) a certain number within a certain amount of time be significant, but the number of times that a temporal group of signals were excessive (or insufficient) may also be significant. To register this second parameter, PMU 222 can be configured in the exemplary manner shown in FIG. 4b. In FIG. 4b, PMU 222 has the same components as shown in FIG. 4a as well as a second clock cycle counter 408b and a second count comparator 410b. During a time period determined by clock cycle counter 408b, second count comparator 410b receives all first action signals generated from first count comparator 410a. The number of first action signals received, during the time period determined by clock cycle counter 408b, is compared to a predetermined value range stored in second counter comparator 410b. If the number of first action signals is less than or more than the predetermined value range stored in second count comparator 410b, then a second action signal is generated. The second action signal can evoke any configurable action, including those described above for the first action signal in FIG. 4a. Further details of steps taken using the circuit shown in FIG. 4b are described below in FIG. 6b. While the circuit described in FIGS. 4a-b are efficient and require minimal additional hardware, there are occasions in which a history of performance signal generation is needed. That is, the circuit described in FIGS. 4a-b only is useful in giving an indication that parameters have been violated during some period of time, but that period of time can be at any time that the system is operating. There may occasions in which it is also desirable to know how long the system has been operating, at a fine granularity, before parameters are violated. For example, it may be significant to know that the only time that an I/O bus has a performance glitch is after the I/O bus has transmitted 40 GB of data since the computer booted up. Such detailed information is available through the use of circuitry described in FIGS. 5a-b. With reference now to FIG. 5a, PMU 222 has a PMC 402 as described above in FIG. 4a. In addition, PMU 222 has a counter selector 502 coupled to periodic PMCs 404a and 404b. During a first time period controlled and determined by a clock cycle counter 506, all performance signals are directed by counter selector 502 to both periodic PMC 404a and periodic PMC 404b. During (an immediately subsequent) second time period, counter selector 502 sends all performance signals only to periodic PMC 404b. At the end of the second period of time, the contents of periodic PMCs 404a and 404b are sent to a count comparator 504. Count comparator 504 then determines the difference (delta) between the count in periodic PMC 504b and periodic PMC 504a using simple subtraction. The delta is then compared to a predetermined value range stored in count comparator 504. If the delta greater than (or optionally less than) the predetermined value range stored in count comparator 504, then count comparator generates a first action signal, which is the same as described above in FIGS. 4a-b. After the end of the second time period, the count content of periodic PMC 404b is transferred to periodic PMC 404a, thus permitting both periodic PMC 404a and periodic PMC 404b to have a real-time current total performance signal count. Further details of the steps taken using the circuit shown in FIG. 5a are discussed below in FIG. 7a. As discussed above in FIG. 4b, there are times in which knowing the second derivative (obtained by determining the rate of change of first action signals) of the performance signal count is useful. The exemplary circuit shown in FIG. 5b allows the calculation of this second derivative while retaining an immediate history of performance signals and first action signals. As in FIG. 5a, a running history of performance signals is maintained in periodic PMCs 404a and 404b, resulting in a first action signal from first count comparator 404a. The first action signals are then routed by a counter selector 502b to both periodic PMC 404c and periodic PMC 404d for a first time period by a clock cycle counter 402b. (Note that clock cycle counter 402b and clock cycle counter 402a may physically be the same circuitry, assuming of course that the circuitry has been configured to generate differently time signals to counter selector 502a, counter selector 502b, periodic PMC 404a, periodic PMC 404b, periodic PMC 404c, and periodic PMC 404d.) During an immediately subsequent second time period, counter selector 502b routs the first action signals only to periodic PMC 404d. At the end of the second time period, second count comparator 404b computes the difference between the contents of periodic PMC 404c and periodic PMC 404d, and if that difference is outside a predetermined range, then second count comparator 404b evokes a second action signal. The second action signal can be used in an exemplary manner to initiate any configurable action, including those described above with reference to the first action signal. Referring now to FIG. 6a, a flow-chart of steps taken when using the circuitry shown in FIG. 4a is provided. After starting at initiator block 602, the clock cycle counter counts clock cycles (block 604). If a predetermined first time period is complete (query block 606), according to the clock cycle count in the clock cycle counter, then the performance signal count in the periodic PMC is sent to the count comparator (block 608). If the performance signal count stored in the periodic PMC is greater than a maximum threshold value (query block 610), then a configurable action is performed (block 612). If the performance signal count stored in the periodic PMC is not greater than a maximum threshold value but is less than a minimum threshold (query block 614), then some configurable action is likewise performed. Otherwise, the process ends (terminator block 616). As described in FIG. 4a, the configurable action may be any action deemed appropriate by the user of the system, including turning areas of the chip being tested off or on, generating external triggers for logic analyzers, generating internal trigger signals for scan operations, etc. With reference now to FIG. 6b, a flowchart of steps taken when using the circuitry depicted in FIG. 4b is presented. After initiator block 618, the clock cycle counter 408a determines if the primary cycle period is completed (block 620 and query block 622), during which predetermined time performance signals are stored in periodic PMC 404. The periodic PMC 404 then sends the counted performance signals to first count comparator 410a, which determines if the number of performance signals stored during the primary time period are outside (higher or lower than) a predetermined parameter (block 624 and query block 626). If so, then a first action signal is evoked from first count comparator 410a and sent to second count comparator 410b (block 628). Clock cycle counter 408b determines if a secondary cycle period, which is a predetermined amount of time that first action signals are to be counted, is complete (query block 630). If so, then second count comparator 410b compares the number of stored first action signals with some predetermined number (block 632). If the number of first action signals exceeds that predetermined number (query block 634), then a second action signal is generated (block 636), and the process ends (terminator 638). (Again, note that first action signal and second action signal are each able to invoke any of the configurable actions described above.) By executing the steps shown in FIG. 6b, a determination is made not only of the first derivative of the number of performance signals (stored in the first count comparator as the frequency of performance signals received in a first predetermined period of time), but a determination is also made of the second derivative of the number of performance signals (stored in the second count comparator as the frequency of first action signals received in a second predetermined period of time.) With reference now to FIG. 7a, exemplary steps are shown when using the circuit shown in FIG. 5a to calculate the first derivative of the number of performance signals while still retaining an overall performance signal count history. After initiator block 702, a query is made as to whether the current clock cycle total, stored in clock cycle counter 506, is greater than the last sample of clock cycles plus the predetermined clock cycle interval (number of cycles), as shown in query block 704. If so, then the performance (first) derivative is calculated by count comparator 504 by subtracting the last sample cycle's stored number of performance signals in periodic PMC 404a from the current total count of performance signals stored in periodic PMC 404b (block 706). If this derivative (delta) is above a predetermined high threshold (query block 708) or if the derivative is below a predetermined low threshold (query block 710), then a first action signal is generated to invoke a configurable action to be performed (block 710). If the threshold is not violated, then the process ends (terminator block 714). Note again that by always keeping a running total of total number of performance signals in one of the periodic PMCs 404 (preferably periodic PMC 404b as described above), a current history of the total number of performance signals is always available. Referring now to FIG. 7b, exemplary steps are shown when using the circuit shown in FIG. 5b to calculate the second derivative of the number of performance signals while still retaining an overall performance signal count history. Steps 716 through 720 are analogous to steps 702 through 706 in FIG. 7a, and will not be re-discussed here. Query block 722 combines the steps in query blocks 708 and 712, resulting in a first action signal being sent, via counter selector 502b, to periodic PMC 404d (block 724). Assuming that periodic PMC 404c contains a count of first action signals stored during a previous period (as determined by clock cycle counter 402b) and that a predetermined secondary period (query block 726) for sampling first action signals is complete (as also determined by clock cycle counter 402b), the contents of periodic PMC 404c are compared to the contents of periodic PMC 404d (block 728). If the difference in the number of first action signals stored in periodic PMCs 404c and 404d are outside (above or below) a predetermined threshold for first action signals (query block 730), then second count comparator 404b generates a second action signal (block 732), which may be used (optionally) to initiate a configurable action such as those described above in FIG. 4a. As with the periodic PMCs 404a and 404b that maintain a running total of performance signals, periodic PMC 404d stores its contents in periodic PMC 404c (block 734), so that a running total of first action signals can be maintained in periodic PMC 404c for future comparisons with the contents of periodic PMC 404d. The process thus ends at terminator block 736. The present invention has been described in relation to particular embodiments which are intended in all respects to be illustrative rather than restrictive. Alternative embodiments will become apparent to those skilled in the art to which the present invention pertains without departing from its spirit and scope. For example, while the present invention has been described in an exemplary manner as being applied to a microprocessor, the concepts and features described herein may be used in any hardware system having performance metrics, preferably those hardware systems that use performance monitor counters. Similarly, the present invention as described may be used to debug hardware problems in any appropriate hardware that generates performance signals. Accordingly, the scope of the present invention is defined by the appended claims rather than the foregoing discussion. |
|
summary | ||
claims | 1. A liquid-metal-cooled nuclear reactor, comprising:a reactor vessel;a core having an active part;a hot header above the core and in which a primary fluid circulates for cooling the core, the primary fluid being a liquid metal;fuel assemblies;a separation structure supporting the fuel assemblies;a cold header surrounding the hot header and in which the primary fluid circulates for cooling the core, the colder header separated from the hot header by the separation structure;at least one heat exchanger configured to remove heat from the primary fluid via a secondary fluid;wherein the separation structure has a substantially amphora-like shape and includes:a lower element placed around the core at a certain radial distance from the active part of the core such that the liquid metal interposed between the lower element and the active part of the core provides a neutron shielding function that reduces neutron damage of the separation structure to predetermined acceptable limits; andan upper element located above the core, the upper element having a reduced radial extent with respect to the lower element, and being joined to the lower element by a connecting element;wherein the connecting element is equipped with penetrations from which vertical ducts extend to connect to one or more heat exchangers positioned between the upper element of the separation structure and the reactor vessel, for feeding the at least one heat exchanger with hot primary fluid leaving the core;wherein the connecting element and the upper element of the separation structure constitute a radial constraint of an inactive upper part of the fuel assemblies of the core. 2. The reactor according to claim 1, wherein the connecting element between the lower element and the upper element of the separation structure includes a plate. 3. The reactor according to claim 1 wherein:the connecting element includes radially extending lobes, the penetrations being positioned on the radially extending lobes; andthe vertical ducts for feeding the at least one heat exchanger engage the penetrations positioned on radially extending lobes. 4. The reactor according to claim 3, further comprising one or more free volumes for installation of one or more auxiliary components of the reactor, each of the free volumes being positioned between portions of the connecting element without the radially extending lobes and the reactor vessel, and also between portions the amorpha-like shape of the separation structure and the reactor vessel. 5. The reactor according to claim 1, wherein the primary fluid contained within the upper element of the separation structure is substantially stagnant. 6. The reactor according to claim 1, wherein the secondary fluid includes water brought to steam in the at least one heat exchanger that is a steam generator. 7. The reactor according to claim 6, wherein the liquid metal includes a heavy liquid metal. 8. The reactor according to claim 7, wherein the heavy liquid metal includes lead. 9. The reactor according to claim 1, wherein the lower element acts as an element of hydraulic containment of the core. 10. The reactor according to claim 1, wherein the at least one heat exchanger, includes a steam generator. 11. The reactor according to claim 1 wherein the upper element having the reduced radial extent with respect to the lower element is generally cylindrical. 12. The reactor according to claim 1 wherein the connecting element extends outwardly between the upper element to the lower element. 13. The reactor according the claim 12, wherein the connecting element extends generally perpendicular from the upper element to the lower element. 14. The reactor according to claim 12 wherein the connecting element is generally horizontal and the vertical ducts extend vertically directly from the connecting element. |
|
description | 1. Field of the Invention The present invention relates, in general, to spacer grids used in nuclear reactor fuel assemblies to place and support a plurality of longitudinal fuel rods in the reactor fuel assemblies and, more particularly, to a spacer grid for pressurized water reactor fuel assemblies, which has a grid spring at a central portion of each unit strip of perimeter strips so that the grid springs of the perimeter strips are in equiangular surface contact with the fuel rods in a pressurized water reactor fuel assembly, thus reducing fretting corrosion of the fuel rods, and which has both guide vanes and guide taps on upper edges of some unit strips of the perimeter strips that are required to guide cross flows of the coolant in the reactor fuel assembly, thus maintaining desired intensity of cross flows of the coolant in the reactor fuel assembly and improving the thermal redundancy of the spacer grid. 2. Description of the Related Art Spacer grids are elements of a nuclear reactor fuel assembly, and each have a plurality of grid springs and dimples in their support cells so as to place and support a plurality of longitudinal fuel rods within the cells of the reactor fuel assembly. As shown in FIG. 1, a typical nuclear reactor fuel assembly 101 is fabricated with a plurality of guide tubes 113 and a plurality of spacer grids 110. The plurality of guide tubes 113 are vertically arranged between a top support pallet 111 and a bottom support pallet of the reactor fuel assembly 101, while the plurality of spacer grids 110 to place and support a plurality of longitudinal fuel rods 125 in the fuel assembly 101 are horizontally arranged along the guide tubes 113 at regular intervals in a longitudinal direction of the fuel assembly 101. The spacer grids 110 are typically mounted to the guide tubes 113 through a welding process. Each of the spacer grids 110 includes a plurality of inner strips 115 and four perimeter strips 116. The plurality of inner strips 115 are arranged while intersecting each other at right angles to form an egg-crate pattern, prior to being encircled with the four perimeter strips 116. The inner and perimeter strips 115 and 116 are made of a zircaloy alloy, and respectively have a plurality of grid springs 150 and 120 to place and support the plurality of fuel rods 125 in the fuel assembly, as shown in FIGS. 2A and 2B. However, the inner and perimeter strips 115 and 116 do not have any guide vane specifically designed to guide cross flows of the coolant in the fuel assembly or any guide tap specifically designed to prevent interference of the strips 115 and 116 with the fuel rods 125. When the grid springs 150 and 120 of the inner and perimeter strips 115 and 116, and a plurality of dimples 170 of the inner strips 115 have deficient spring forces, the spacer grids 110 may fail to stably place or support the fuel rods 125 at desired positions in the fuel assembly, thus reducing the soundness of the fuel assembly. On the contrary, when the grid springs 120 and 150 and the dimples 170 are too strong in their spring forces, excessive friction may be generated between the fuel rods 125 and the spacer grids 110 during an insertion of the fuel rods 125 into the cells of the spacer grids 110. Such excessive friction may cause damage, such as scratches, to the external surfaces of the fuel rods 125, and fail to appropriately support the fuel rods 125 in the case of lengthwise growth of the fuel rods 125 caused by neutron radiation during an operation of a nuclear reactor. In such a case, the fuel rods 125 may be undesirably bent. When the fuel rods 125 are bent as described above, the fuel rods 125 in the reactor fuel assembly become closer to each other to be sometimes brought into undesired contact with each other, so that coolant channels defined between the fuel rods 125 in the fuel assembly may become narrower or even closed. In the above state, heat cannot be efficiently transferred from the fuel rods 125 to the coolant, thus parts of the fuel rods 125 may be overheated, and sometimes cause a DNB (Departure caused by Nucleate Boiling) to reduce the output power of nuclear fuel. The recent trend of development in the reactor fuel assemblies aims at the provision of highly combustible and defect-free nuclear fuel. Particularly, to provide the highly combustible nuclear fuel, the heat transfer efficiency between the fuel rods and the coolant in the reactor fuel assembly must be enhanced. This means that the heat transfer from the fuel rods to the coolant in the reactor fuel assemblies must be improved to enhance the thermal efficiency of the reactor fuel assemblies. The improvement in the heat transfer from the fuel rods to the coolant may be accomplished by designing the reactor fuel assembly to allow the coolant to optimally flow around the fuel rods in the reactor fuel assembly. In an effort to accomplish the optimal flows of the coolant within the reactor fuel assembly, several types of spacer grids having new structures have been proposed. For example, to provide the optimal flows of the coolant in the reactor fuel assembly, a plurality of mixing blades 227 may be attached along an upper edge of each inner strip 215 of a spacer grid at intersections of the inner strips 215, as shown in FIGS. 3A and 3B, so as to mix the coolant and generate cross flows of the coolant between neighboring coolant channels in the fuel assembly. To mix the coolant and generate the cross flows of the coolant between the neighboring coolant channels, the mixing blades 227 are curved in opposite directions, and the size and angles of the mixing blades 227 are optimally determined. The mixing blades 227 provided on the spacer grid thus maintain a desired coolant flow pattern relative to neighboring spacer grids. However, the above-mentioned conventional technique for accomplishing the optimal flows of the coolant in the fuel assemblies by use of the mixing blades 227 and thereby enhancing the thermal efficiency of the fuel assemblies is based on the formation of more active turbulent flows of the coolant around the fuel rods of the fuel assemblies. Therefore, the conventional technique undesirably induces vibration of the fuel rods in the fuel assemblies due to the active turbulent flows of the coolant. Such vibration of the fuel rods in the reactor fuel assemblies is a so-called “flow-induced vibration”. Due to the flow-induced vibration of the fuel rods in the reactor fuel assemblies, the fuel rods slide or move relative to the grid springs and dimples at contact surfaces thereof. The fuel rods are thus abraded on the contact surfaces due to friction. The flow-induced vibration of the fuel rods thus finally causes “fretting corrosion of the fuel rods”. The conventional technique for enhancing the thermal efficiency of the reactor fuel assemblies and providing highly combustible nuclear fuel may undesirably cause damage to the fuel rods. While designing the spacer grids for reactor fuel assemblies, it is necessary to accomplish the following three requirements: 1) the spacer grids must stably support the fuel rods until the expected life span of the fuel rods expires; 2) the spacer grids must be free from causing fretting corrosion of the fuel rods; and 3) the spacer grids must have outermost cells having higher structural durability. In a detailed description, first, to allow the spacer grids to stably support the fuel rods within a reactor fuel assembly until the expected life span of the fuel rods expires, and accomplish the soundness of the fuel assembly, the spacer grids must be designed such that the spacer grids effectively support the fuel rods with sufficient spring force of the grid springs and dimples thereof. In addition, the elastic range of the grid springs and dimples must be enlarged, thereby maintaining a desired spring force regardless of variable fuel rod support conditions inside the reactor fuel assembly until the expected life span of the fuel rods expires. However, the grid springs and dimples of a conventional spacer grid for the reactor fuel assemblies gradually lose the original spring forces thereof, due to a neutron radiation during an operation of a nuclear reactor. Therefore, the grid springs and dimples may fail to desirably support the fuel rods, thus there may be formed gaps between the fuel rods and both the grid springs and the dimples. Due to the gaps, the spacer grids do not stably support the fuel rods, but undesirably allow the fuel rods to be excessively loaded and move in every direction by the flows of the coolant. The spacer grids thus reduce the soundness of the reactor fuel assemblies. Second, the protection of the fuel rods from the fretting corrosion in the reactor fuel assembly may be accomplished by removing the causes of the fretting corrosion. The causes of the fretting corrosion of the fuel rods in the reactor fuel assembly include gaps formed between the grid springs, the dimples and the fuel rods. The gaps may be formed by a reduction in the spring force of the grid springs and dimples due to the neutron radiation during the operation of the nuclear reactor, a difference in the thermal expansion between the fuel rods and the spacer grids, and a reduction in the diameter of the fuel rods caused by an elongation of the fuel rods. When the gaps are formed between the grid springs, the dimples and the fuel rods, the fuel rods are repeatedly brought into contact with and spaced away from the grid springs and the dimples, due to axial and transversal flows of the coolant, thus causing the fretting corrosion of the fuel rods in the reactor fuel assembly. Third, the outermost cells which are defined in each spacer grid along the perimeter strips, must endure hydraulic loads caused by the cross flows of the coolant generated in the coolant channels defined between the outermost cells and neighboring fuel rods and/or generated in the spaces between the outermost cells and a variety of internal structures of the nuclear reactor. Therefore, the outermost cells of the spacer grid must be designed to endure the maximum load higher than the maximum load to be endured by the inner cells that are defined in the spacer grid by the intersecting inner strips. Furthermore, the outermost cells of the spacer grid must have a behavior capable of sufficiently enduring an excessive load unexpectedly applied thereto due to carelessness while handling the fuel rods, so that the outermost cells must be designed to have the higher structural durability. Accordingly, the present invention has been made keeping in mind the above problems occurring in the prior art, and an object of the present invention is to provide a spacer grid for nuclear reactor fuel assemblies, which has a grid spring at a central portion of each unit strip of perimeter strips thereof so that the grid springs of the perimeter strips are in equiangular surface contact with longitudinal fuel rods in a nuclear reactor fuel assembly, different from the conventional spacer grids, thus reducing fretting corrosion of the fuel rods, and which is provided, on an upper edge of some unit strips of the perimeter strips, with both a guide vane to enhance the intensity of cross flows of the coolant passing through the spacer grid and a guide tap free from disturbing the cross flows of the coolant, thus improving the thermal redundancy of the spacer grid, due to the enhanced cross flows of the coolant. In conventional spacer grids for nuclear reactor fuel assemblies, the grid springs and dimples of the inner and perimeter strips are in non-equiangular surface contact or linear contact with fuel rods, so that the fuel rods may slide or move relative to the grid springs and dimples at the contact surfaces thereof, thus causing the fretting corrosion of the fuel rods in the reactor core. Therefore, to prevent the fretting corrosion of the fuel rods in the reactor core, the grid springs and dimples of the inner and perimeter strips must be designed to be in equiangular surface contact with the fuel rods. However, due to a geometrical limit, it is very difficult to manufacture the shape of the grid springs, which are provided on unit corner strips of the perimeter strips defining the outermost corner cells of a spacer grid, such that the grid springs establish the equiangular surface contact with neighboring fuel rods. Therefore, in the present invention, the entire grid springs of the perimeter strips of the spacer grid are designed such that a central part of each of the grid springs, at which the grid spring is in contact with a fuel rod, has a design capable of establishing the equiangular surface contact with the fuel rod, and the remaining part of the grid spring at which the grid spring supports an external load has a simple beam shape. Furthermore, when the guide vanes, which are provided on the upper edges of the perimeter strips to guide the flows of the coolant in an effort to improve the fuel rod cooling efficiency of the reactor fuel assembly, are arranged in the same manner in the entire outermost cells of the spacer grid, the cross flows of the coolant in the reactor fuel assembly may be disturbed by the guide vanes provided in some outermost cells. In the above state, the object of improving the thermal redundancy of the spacer grid by generating the cross flows of the coolant between neighboring fuel assemblies is beyond a design purpose, due to the guide vanes disturbing the cross flows of the coolant. Therefore, in the present invention, some unit strips of the perimeter strips which define some outermost cells required to guide the cross flows of the coolant to neighboring fuel rods are designed to have guide vanes capable of guiding the cross flows of the coolant, and the remaining unit strips of the perimeter strips which define the remaining outermost cells free from the function of guiding the cross flows of the coolant are designed to have only the guide taps without the guide vanes. Therefore, the spacer grid of the present invention reduces interference between the fuel rods and the spacer grid during an insertion or removal of the fuel rods into or from the reactor core. Reference will now be made in detail to the present preferred embodiments of the present invention, examples of which are illustrated in the accompanying drawings, wherein like reference numerals refer to like elements throughout. The embodiments are described below in order to explain the present invention by referring to the figures. The spacer grid for nuclear reactor fuel assemblies according to the present invention is used in the reactor fuel assembly 101 of FIG. 1. Particularly, the spacer grid of the present invention is preferably used in a pressurized water reactor fuel assembly. As shown in FIGS. 4, 5A, 5B, 7A and 7B, the spacer grid of the present invention designated by the reference numeral 10 includes a plurality of perimeter strips (40) each of which is fabricated with a plurality of intermediate unit strips 40′ and unit corner strips 40″. The perimeter strips 40 encircle the intersecting inner strips 30. The unit corner strips 40″ form the outermost corner cells of the spacer grid 10. Throughout this application, the intermediate unit strips 40′ and the unit corner strips 40″ may be referred to briefly, i.e. as the unit strip 40′ and 40″ respectively, for convenience. The perimeter strips 40 have a grid spring 50 on each of the intermediate unit strips 40′ and the unit corner strips 40″ thereof. The grid spring 50 is designed to be equiangular with a longitudinal fuel rod 125, so that the grid spring 50 is in equiangular surface contact with the fuel rod 125, and to effectively support the maximum load, and to accomplish the soundness of the reactor fuel assembly. In the perimeter strips 40, each of the intermediate unit strips 40′ has both a coolant flow guide vane 57 and a guide tap 58, while each of the unit corner strips 40″ has either the guide vane 57 or the guide tap 58. The spacer grid 10 of the present invention has four guide tube cells 15 to respectively place and support four guide tubes 13 in the spacer grid 10, and a plurality of fuel rod cells 26 to place and support a plurality of fuel rods 125 in the spacer grid 10. The fuel rod cells 26 include inner and outer cells, and each support a fuel rod 125 by a plurality of grid springs 20, 50, regardless of the inner and outer cells. The inner cells further have a plurality of dimples 29 to support the fuel rods 125, in cooperation with the grid springs 20. In the spacer grid 10, the four guide tubes 13 are welded to the four guide tube cells 15, respectively, and a measuring tube 14 is supported in a measuring tube cell provided at the center of the spacer grid 10, as shown in FIGS. 5A and 5B. The expected coolant flow pattern in the spacer grid 10 is shown in FIG. 5A. During an operation of a nuclear reactor, the coolant must flow from neighboring spacer grids into the spacer grid 10 through inward paths and, at the same time, must flow from the spacer grid 10 to the neighboring spacer grids through outward paths, as shown by the arrows 61 of FIG. 5A. To accomplish the above-mentioned expected coolant flow pattern, the spacer grid 10 must be designed to generate inward and outward cross flows of the coolant between the spacer grid 10 and the neighboring spacer grids, by controlling the sizes and angles of a plurality of mixing blades of the inner cells and a plurality of mixing vanes of the outer cells such that the mixing blades and the mixing vanes smoothly guide the inward and outward cross flows of the coolant, without disturbing the cross flows of the coolant. Therefore, each of the perimeter strips of the spacer grid 10 according to the present invention has a plurality of guide vanes 57 and a plurality of guide taps 58, such that the guide vanes 57 and the guide taps 58 are alternately arranged along an upper edge of each of the perimeter strips. The above-mentioned alternate arrangement of the guide vanes 57 and the guide taps 58 is determined as follows. That is, as shown in FIG. 11 which shows the flows of the coolant relative to the spacer grid 10 analyzed and expressed in the form of vectors, the coolant flow pattern in the outside subchannels each of which is defined between four neighboring fuel rods 125 placed around the perimeter strips in the spacer grid 10 is determined such that the outward cross flows of the coolant and the inward cross flows of the coolant alternately appear in the outer cells of the spacer grid 10. Therefore, some outer cells of which the subchannels have the outward cross flows of the coolant must have the guide taps 58 which have a smaller size capable of being free from disturbing the outward cross flows of the coolant, while the remaining outer cells of which the subchannels have the inward cross flows of the coolant must have the guide vanes 57 which have a larger size than the guide taps 58, thus guiding the coolant flowing upward through the spacer grid 10 to make the coolant flow toward the center of the spacer grid 10. As shown in FIG. 5B, the guide vanes 57 are bent toward the center of the spacer grid 10, and the width of each of the guide vanes 57 reduces from a position at which the guide vane 57 is initially bent, thus the guide vane 57 has a rapidly tapered shape, with the peak of the guide vane 57 being rounded. Each of the guide taps 58 is bent toward the center of the spacer grid 10, and is rounded at the bent tip thereof to form an arc-shaped edge. FIG. 6 is a perspective view showing an inside surface of a unit strip 30′ of inner strip 30 constituting the inner cells of the spacer grid 10, with the inner cell grid spring 20 provided on the unit strip 30′ to establish equiangular surface contact between a fuel rod 125 and the unit strip 30′ in the inner cell of the spacer grid 10. As shown in FIG. 6, the inner cell grid spring 20 of each unit strip 30′ of the inner strip includes a vertical support part 21 that comprises two bridges extending from spring base parts 25 provided on the land surface of the unit strip. The two bridges of the vertical support part 21 are bent toward a fuel rod 125, and may be diverged or converged in a direction toward the central portion of the grid spring 20. The vertical support part 21 is only bent when the grid spring 20 is loaded, thus the vertical support part 21 allows the fuel rods 125 to have a desired elastic behavior. A fuel rod support part 22 is provided at the central portion of the vertical support part 21. The fuel rod support part 22 has a conformal support surface which is specifically bent to have the same radius of curvature as that of the fuel rod 125, thus being brought into equiangular or conformal surface contact with the external surface of the fuel rod 125. That is, the conformal support surface of the fuel rod support part 22 is designed to have an optimal circular or elliptical profile, so that the conformal support surface is suitable for enlarging the surface contact area of the fuel rod support part 22 relative to the fuel rod 125, in addition to accomplishing a desired uniform contact pressure distribution and reducing the peak stress of the fuel rod support part 22. When the inner cell grid spring 20 having the above-mentioned double bridge-type simple beam structure is loaded by the fuel rod 125 to be deformed, the spring base parts 25 are initially deformed, before the fuel rod support part 22 is deformed. Therefore, the inner cell grid spring 20 minimizes the deformation of the fuel rod support part 22. In FIG. 6, the reference numeral 27 and 29 denote a mixing blade and a dimple of the unit strip 30′, respectively. FIG. 6 shows inner grid springs 20 on the inner strips 30′, wherein the inner grid springs comprise an opening 200 formed in the inner strips and defined by top, bottom and side edges, 202, 204 and 206 respectively, two spaced inner support parts (collectively shown at 21, 21a and 21b) extending vertically in the opening 200 between the top and bottom edges 202, 204 of the opening, and an inner fuel rod support part 22 extending transversely between the two spaced inner support parts (portions 21a in FIG. 6), the inner fuel rod support part 22 being bent at least two steps along vertical bending lines 208 and defining an equiangular support surface which is equiangular with a fuel rod supported by the inner grid spring. The vertical support part 51 (See FIG. 7A) and the two spaced inner support parts 21, 1a, 21b are different in structure. FIG. 7A is a perspective view showing an inside surface of the intermediate unit strip 40′ constituting the perimeter strips 40 of the spacer grid 10 of FIG. 4, and FIG. 7B is a perspective view showing an outside surface of the unit corner strip 40″ constituting the perimeter strips 40 of the spacer grid 10. As shown in FIGS. 7A and 7B, the above-mentioned design of the inner cell grid springs 20 is adapted to the perimeter strips of the present invention. That is, the double bridge-type simple beam structure of the inner cell grid springs 20 is remodeled into a single bridge-type structure, thus producing the outer cell grid spring 50 which is used in the perimeter strips of the spacer grid 10 of FIG. 4. Due to the single bridge-type outer cell grid spring 50, the spacer grid 10 efficiently supports the fuel rods 125 in the outermost cells thereof including the outermost corner cells which have a narrow width, with the grid springs 50 of the outermost corner cells being in equiangular surface contact with the fuel rods 125. To form the single bridge-type outer cell grid spring 50 on each of the unit strips 40′ and 40″ of the perimeter strips 40 according to the present invention, a vertical opening 53 is formed at the central area of each unit strip 40′, 40″ of the perimeter strips 40, with a vertical support part 51 comprising a single bridge extending vertically between the central portions of the top and bottom edges of the vertical opening 53. The vertical support part 51 is bent at two steps. A fuel rod support part 52 is provided at the central portion of the vertical support part 51. The fuel rod support part 52 has a conformal support surface which is specifically bent to have an outward rounded cross-section with the same radius of curvature as that of the fuel rod 125, thus being brought into equiangular surface contact with the external surface of the fuel rod 125. Such a conformal support surface of the fuel rod support part 52 is suitable for accomplishing a desired uniform contact pressure distribution of the fuel rod support part 52. In the present invention, the equiangular surface contact of the outer cell grid spring 50 with the fuel rod 125 means that the contact surfaces of the grid spring 50 and the fuel rod 125 are rounded in the same direction so that the centers of curvature of the contact surfaces of the grid spring 50 and the fuel rod 125 are placed at the same side of the contact surfaces. However, when two contact surfaces are in contact with each other, with the centers of curvature of the two contact surfaces being placed at opposite sides of the contact surfaces, the contact is so-called a “non-equiangular contact”. Furthermore, because the outer cells of the spacer grid 10 must endure the cross flows of the coolant which are originated from neighboring coolant channels and/or the internal structures of the nuclear reactor, the outer cell grid springs 50 provided on the perimeter strips must be designed such that the outer cell grid springs 50 efficiently support the maximum load which is higher than the maximum load imposed on the inner cell grid springs 20, and have the spring strength higher than the spring strength of the inner cell grid springs 20. Therefore, the strength of the vertical support part 51 must be optimally determined to allow the outer cell grid springs 50 to efficiently endure the higher maximum load applied thereto, and the spring strength of the outer cell grid springs 50 must be optimally determined to allow the outer cell grid springs 50 to efficiently support the fuel rods 125 even when an excessive load is applied to the fuel rods 125 due to intensive cross flows of the coolant in the reactor fuel assembly. In a brief description, the fuel rod support parts 52 of the outer cell grid springs 50 provided on the perimeter strips of the spacer grid 10 are designed to be in equiangular surface contact with the fuel rods 125, in the same manner as the inner cell grid springs 20 of the inner strips. As best seen in FIG. 8, each of the plurality of perimeter strips, comprising the intermediate unit strips 40′ and the unit corner strips 40″, includes the outer cell grid springs 50 which are in equiangular surface contact with the fuel rods 125. Each of the perimeter strips further has the guide vane 57 and the guide taps 58 which are alternately arranged along the upper edge of the perimeter strip. The guide vanes 57 guide the flows of the coolant in the reactor fuel assembly, and the guide taps 58 reduce interference between the fuel rods 125 and the spacer grid 10 during an insertion or removal of the fuel rods 125 into or from the reactor core. The guide vanes 57 of the perimeter strips are the guide blades to guide the flows of the coolant to neighboring coolant channels, without disturbing the cross flows of the coolant which are originated from the mixing blades 27 of the inner strips. To allow the fuel rods 125 to be inserted into or removed from the reactor core without interference between the spacer grid 10 and the fuel rods 125, the guide vanes 57 and the guide taps 58 are alternately arranged along the upper edge of the perimeter strip. The guide taps 58 are also arranged along the lower edge of the perimeter strip at positions corresponding to the positions of the guide vanes 57 and the guide taps 58 of the upper edge, thus the guide taps 58 of the lower edge efficiently guide the fuel rods 125 while eliminating the interference between the spacer grid 10 and the fuel rods 125, during the insertion or removal of the fuel rods 125 into or from the reactor core. FIG. 9 is an FEM diagram, comparatively showing the characteristic curves of strain relative to a load applied to the inner and outer cell grid springs 20 and 50 of the spacer grid 10, analyzed through a finite element method (FEM). As shown in the FEM diagram of FIG. 9, the outer cell grid springs 50 can endure the maximum load which is higher than that of the inner cell grid springs 20, and have spring strength higher than that of the inner cell grid springs 20. In a detailed description of the FEM diagram, the outer cell grid springs 50 can endure the maximum load which is higher than that of the inner cell grid springs 20. In addition, the maximum load which can be endured by the outer cell grid springs 50 is maintained without being reduced even though the strain of the outer cell grid springs 50 is continuously increased after the peak of the maximum load. However, the maximum load which can be endured by the inner cell grid springs 20 is reduced when the strain of the outer cell grid springs 50 is increased after the peak of the maximum load. The above-mentioned characteristics of the outer cell grid springs 50 are suitable for accomplishing the soundness of the reactor fuel assembly. FIG. 10 is a diagram showing the virtual flows 52 of coolant in the channels defined between four neighboring spacer grids 10 of the present invention to generate the cross flows of the coolant between the spacer grids 10. As shown in FIG. 10, the mixing blades 27 which are provided in each spacer grid 10 to mix the coolant, are designed to generate the cross flows of the coolant in every 1/4 sectors of each spacer grid 10, based on the center of the spacer grid 10. To secure the desired cross flows of the coolant between the neighboring spacer grids 10, the perimeter strips of each spacer grid 10 must be free from disturbing the cross flows of the coolant. Therefore, the guide vanes 57 and the guide taps 58 are alternately arranged along the upper edge of each of the perimeter strips of the spacer grids 10, thus the desired intensity of the cross flows of the coolant in the channels between the four spacer grids 10 is maintained without being reduced. FIG. 11 is a diagram showing results of a coolant flow analysis, when the flows of the coolant in four subchannels corresponding to the positions of an equivalent diameter that is equal to two times the hydraulic diameter in the spacer grid of FIG. 4 are analyzed and expressed in the form of vectors. The above-mentioned coolant flow analysis is performed in an effort to enhance the thermal hydraulic performance of the spacer grid 10. In the reactor fuel assembly, the flows of the coolant mixed by the mixing blades are typically characterized in that the magnitude of the flows of mixed coolant is gradually reduced as the coolant flows. Therefore, some mixing blades of the spacer grid are problematic in that, even though the mixing blades have a high coolant mixing performance at the downstream of the spacer grid which is a position just above the spacer grid, the magnitude of the flows of the coolant mixed by the mixing blades may quickly decay, thus the object of enhancing the fuel rod cooling efficiency of the reactor fuel assembly by providing the mixing blades on the spacer grid may be beyond attainment. Therefore, it is necessary to maintain the magnitude of the flows of the coolant mixed by the mixing blades for a lengthy period. To clearly show the maintenance of the magnitude of the flows of the coolant mixed by the mixing blades, the coolant flow components at the positions of an equivalent diameter that is equal to two times, five times, or ten times the hydraulic diameter in the spacer grid are analyzed, and numerically expressed or expressed in the form of vectors. As shown in FIG. 11, because the guide taps 58 are provided on the perimeter strips of the spacer grid 10 according to the present invention at positions corresponding to the cells at which the cross flows of the coolant flow outward from the spacer grid 10 to the neighboring spacer grids, the perimeter strips do not disturb the outward cross flows of the coolant. In addition, the guide vanes 57 are provided on the perimeter strips of the spacer grid 10 at positions corresponding to the cells at which the cross flows of the coolant flow inward to the spacer grid 10, the perimeter strips of the spacer grid 10 guide the inward cross flows of the coolant. That is, the guide vanes 57 and the guide taps 58 are alternately arranged along the upper edge of each of the perimeter strips of the spacer grid 10, so that the spacer grid 10 enhances the intensity of the cross flows of the coolant. As described above, in the conventional spacer grids for nuclear reactor fuel assemblies, the grid springs of the inner and outer cells are in non-equiangular surface contact or linear contact with the fuel rods, thus causing damage to the fuel rods due to fretting corrosion, when the grid springs are deformed by loads applied from the fuel rods thereto. In an effort to overcome the fretting corrosion of the fuel rods, double bridge-type grid springs designed to be in equiangular surface contact with the fuel rods have been proposed. However, the double bridge-type grid springs capable of being in equiangular surface contact with the fuel rods are adapted to only the inner strips which intersect each other to define the inner cells, but are not adapted to perimeter strips which define the outermost cells of the spacer grid, due to geometric limit of the double bridge-type structure of the grid springs. However, in the present invention, the double bridge-type grid springs used in the inner cells of the conventional spacer grids are remodeled into single bridge-type grid springs which can be in equiangular surface contact with the fuel rods in inner and outer cells of the spacer grid. Particularly, the single bridge-type grid springs are effectively used in the outermost corner cells of the spacer grid even though the outermost corner cells have a narrow width to cause the geometric limit. The single bridge-type grid springs of the present invention maintain the equiangular surface contact shape thereof for a lengthy period, thus stably supporting the fuel rods in the reactor fuel assembly while preventing the fuel rods from sliding relative to the grid springs, and thereby preventing the fretting corrosion of the fuel rods. Furthermore, a plurality of guide vanes to guide the flows of the coolant and a plurality of guide taps are alternately arranged along the upper edge of each of the perimeter strips of the spacer grid according to the present invention. Thus, the perimeter strips of the spacer grid does not disturb the cross flows of the coolant which are generated by mixing blades and flow between neighboring spacer grids. Due to the alternate arrangement of the guide vanes and the guide taps on the upper edge of the perimeter strip, the cross flows of the coolant which are generated by the mixing blades maintain the intensity thereof after the cross flows of the coolant reach the neighboring spacer grids, thus the thermal redundancy of the spacer grid is enhanced. In other words, when the intensity of the cross flows of the coolant is enhanced by the spacer grids, it is possible to accomplish uniform distribution of the coolant temperature in the coolant channels around the entire fuel rods of the reactor fuel assembly, without causing a gradient in the coolant temperature, thus the coolant is prevented from being overheated in some coolant channels and thereby prevents parts of the fuel rods from being overheated. The spacer grid of the present invention thus almost completely prevents a DNB (Departure caused by Nucleate Boiling), increases the output power of nuclear fuel. The present invention may be preferably used for supporting a plurality of tubes or pipes situated lengthwise in an industrial machine using fluid feeding pipelines, boilers or heat exchangers while reducing occurrence of fracture of the tubes or pipes caused by abrasion, fatigue or vibration. Although the preferred embodiments of the present invention have been disclosed for illustrative purposes, those skilled in the art will appreciate that various modifications, additions and substitutions are possible, without departing from the scope and spirit of the invention as disclosed in the accompanying claims. |
|
abstract | An apparatus, suited, for example, for extreme ultraviolet lithography, includes a radiation source and a processing organ for processing the radiation from the radiation source. Between the radiation source and the processing organ a filter is placed which, in the radial direction from the radiation source, comprises a plurality of foils or plates. |
|
062460633 | claims | 1. A radiation image storage panel having a phosphor layer of a stimulable phosphor, and a surface protective film provided thereon, wherein the surface protective film exhibits scattering with a scattering length of 5 to 80 .mu.m observed at a main wavelength of stimulated emission from the stimulable phosphor. 2. The radiation image storage panel of claim 1, wherein the scattering length is in the range of 10 to 70 .mu.m. 3. The radiation image storage panel of claim 1, wherein the surface protective film comprises light-scattering fine particles dispersed in a resin. 4. The radiation image storage panel of claim 3, wherein the light-scattering fine particles have a refractive index of at least 1.6 and a particle size of 0.1 to 1.0 .mu.m. 5. The radiation image storage panel of claim 4, wherein the light-scattering fine particles have a refractive index of at least 1.9 and a particle size of 0.1 to 0.5 .mu.m. 6. The radiation image storage panel of claim 3, wherein the surface protective film contains light-scattering fine particles in an amount of 0.5 to 10 wt. %. 7. The radiation image storage panel of claim 1, wherein the surface protective film has a thickness of 3.5 to 10 .mu.m. 8. The radiation image storage panel of claim 3, wherein the resin is a fluororesin. 9. The radiation image storage panel of claim 1, wherein the surface protective film further contains a dispersing agent. |
description | This invention generally relates to the design of integrated circuit (IC) devices and, more particularly, to IC design layouts for randomly placing features in the presence of strong pitch restrictions. Electronic devices, particularly integrated circuits, include a large number of components fabricated by layering several different materials onto a silicon wafer. In order for the components to function as an electronic device, they are selectively, electrically connected to one another. For example, metal lines are utilized to provide component electrical connection within a layer, while vias connect different metallization and via layers. When designing an electronic device, a designer creates a circuit description, including electrical connection of the components. The circuit description is input into a computer aided design (CAD) software package running on a computer to form a three-dimensional geometric image of the electronic device, known as a layout. The layout program generates geometric data using the computer from which a semiconductor chip, circuit board, integrated circuit, or other electronic device is laid out and produced. Generally, a layout includes a set of geometric shapes contained in several layers. In a layout, metal lines are represented as trenches in a layer, and vias are represented as holes in a layer. Typically, the layout is checked to ensure that it meets all of the design requirements, e.g., that there are a sufficient number of vias between a particular upper metal line and a lower metal line to carry the requisite current between the metal lines. Subsequently, a mask that includes the semiconductor circuit layout pattern is imaged onto a substrate that is at least partially covered by a layer of resist using a lithographic projection. However, there are many problems related to electronic device layouts. A difficult problem relating to via spacing and arrangement arises as electronic device sizes continue to shrink. In the past, an electronic device layout was large enough to accommodate unrestricted via layout. The unrestricted via layout provides a relaxed spacing between neighboring vias, which gives rise to a lower packing density. Today, with electronic device designs, and individual electronic device components such as vias, becoming increasingly smaller and smaller, individual components are required to be spaced closer for a tight packing density. For example, to resolve minimum spacing between individual components, off-axis illumination with a combination of sub-resolution assist features has been used for 65 nm node hole imaging. When technology advances to 45 nm or less node, very strong off-axis illumination or immersion lithography at higher NA is required. Problems still arise, however, due to insufficient process margin for the manufacturing and the higher cost of the strong off-axis illumination. Thus, there is a need to overcome these and other problems of the prior art and to provide an IC design layout and the method for laying out the IC design for randomly placing features in the presence of strong pitch restrictions. According to various embodiments, the present teachings include a method for laying out an integrated circuit (“IC”) design. In this method, a first feature of a semiconductor design can be placed on a first intersecting point of a grid, the grid having a plurality of grid units. A first local grid area can then be determined based on the position of the first intersecting point to include a plurality of local intersecting points having an outer perimeter spaced from an outermost local intersecting point in a spacing ranging from a length of a grid side to a length of a grid diagonal of the grid unit. A second feature can thus be placed on a spot that includes a local intersecting point of the first local grid area and any point of a first pitch unrestricted area covering the area outside the outer perimeter. According to various embodiments, the present teachings also include an IC design layout. The IC design layout can include a first feature placed on a first intersecting point of a grid, the grid having a plurality of grid units. A first local grid area can then be defined by the first intersecting point to include a plurality of local intersecting points that has an outer perimeter spaced from an outermost local intersecting point by a spacing ranging from a length of a grid side to a length of a grid diagonal of the grid unit. The outer perimeter of the first local grid area can include a plus shape. The IC design layout can also include a second feature placed on a spot that includes a local intersecting point of the first local grid area and any point that is outside the outer perimeter. The area that is outside the outer perimeter is also referred to as a first pitch unrestricted area. According to various embodiments, the present teachings further include a method for laying out an IC design. A first feature of a semiconductor design can be placed on a first intersecting point of a grid, the grid having a plurality of grid units. Based on the position of the first intersecting point, a first local grid area can be determined to include a plurality of local intersecting points that has an outer perimeter spaced from an outermost local intersecting point by a spacing ranging from a length of a grid side to a length of a grid diagonal of the grid unit. The outer perimeter of the first local grid area can include a plus shape. In this method, a second feature can be placed on a location that includes a local intersecting point of the first local grid area and any point that is outside the outer perimeter. The outside area can be referred to herein as a first pitch unrestricted area. Additional objects and advantages of the invention will be set forth in part in the description which follows, and in part will be obvious from the description, or may be learned by practice of the invention. The objects and advantages of the invention will be realized and attained by means of the elements and combinations particularly pointed out in the appended claims. It is to be understood that both the foregoing general description and the following detailed description are exemplary and explanatory only and are not restrictive of the invention, as claimed. Reference will now be made in detail to the present embodiments (exemplary embodiments) of the invention, examples of which are illustrated in the accompanying drawings. Wherever possible, the same reference numbers will be used throughout the drawings to refer to the same or like parts. In the following description, reference is made to the accompanying drawings that form a part thereof, and in which is shown by way of illustration specific exemplary embodiments in which the invention may be practiced. These embodiments are described in sufficient detail to enable those skilled in the art to practice the invention and it is to be understood that other embodiments may be utilized and that changes may be made without departing from the scope of the invention. The following description is, therefore, merely exemplary. While the invention has been illustrated with respect to one or more implementations, alterations and/or modifications can be made to the illustrated examples without departing from the spirit and scope of the appended claims. In addition, while a particular feature of the invention may have been disclosed with respect to only one of several implementations, such feature may be combined with one or more other features of the other implementations as may be desired and advantageous for any given or particular function. Furthermore, to the extent that the terms “including”, “includes”, “having”, “has”, “with”, or variants thereof are used in either the detailed description and the claims, such terms are intended to be inclusive in a manner similar to the term “comprising.” As used herein, the term “one or more of” with respect to a listing of items such as, for example, A and B, means A alone, B alone, or A and B. The term “at least one of” is used to mean one or more of the listed items can be selected. Notwithstanding that the numerical ranges and parameters setting forth the broad scope of the invention are approximations, the numerical values set forth in the specific examples are reported as precisely as possible. Any numerical value, however, inherently contains certain errors necessarily resulting from the standard deviation found in their respective testing measurements. Moreover, all ranges disclosed herein are to be understood to encompass any and all sub-ranges subsumed therein. For example, a range of “less than 10” can include any and all sub-ranges between (and including) the minimum value of zero and the maximum value of 10, that is, any and all sub-ranges having a minimum value of equal to or greater than zero and a maximum value of equal to or less than 10, e.g., 1 to 5. In certain cases, the numerical values as stated for the parameter can take on negative values. In this case, the example value of range stated as “less than 10” can assume values as defined earlier plus negative values, e.g. −1, −1.2, −1.89, −2, −2.5, −3, −10, −20, −30, etc. Exemplary embodiments provide a method for laying out an integrated circuit (“IC”) design and the IC design layout. The IC design layout can include a first feature placed on a first intersecting point of a grid. The placed first feature can define a local grid area or the grid. The local grid area can further include a plurality of local intersecting points having an outer perimeter spaced from any outermost local intersecting point having a spacing ranging from a length of a grid side to a length of a grid diagonal of a grid unit. The local grid area can be a pitch restricted area and the keep-out area of the local grid area (i.e., outside the outer perimeter) can be a pitch unrestricted area. A second feature can either be restrictively placed on a local intersecting point of the pitch restricted area, or be randomly placed on any location of the pitch unrestricted area. As used herein, the term “design” refers to geometric shapes on a mask layout (i.e., a schematic used to make a semiconductor device) that correspond to mask features formed on a mask, and/or features formed in/on the substrate. The mask layout can be used to form a mask that includes mask features that can be used to endow an incoming beam with a patterned cross-section, corresponding to a target pattern that is to be created in/on a target portion of a substrate. As used herein, the term “pitch” or “feature pitch” refers to a distance from a centerline of one feature (or structure) to the centerline of an adjoining feature (or structure). As such, pitch can be a relative measure of whether a design is characterized as having isolated features (or structures) or densely populated features (or structures). FIG. 1 depicts an exemplary method for laying out an IC design in accordance with the present teachings. It should be readily apparent to one of ordinary skill in the art that the IC design depicted in FIG. 1 represents a generalized schematic illustration and that other features/grid units can be added or existing features/grid units can be removed or modified. As shown in FIG. 1, the design layout can include a grid 100, a first feature 150 and a local grid 150L. The design layout can start from the grid 100. The grid 100 can include uniformly spaced parallel lines intersecting at an angle, for example, about 90°, and thereby generating a plurality of intersecting points 120 and a plurality of grid units 110. The grid unit 110 can have a shape selected from a group consisting of a square, a rectangle or any other suitable shape. Each grid unit 110 can have grid sides and a grid diagonal, determined by a specific semiconductor design. For example, the grid unit can be a square having a grid side as a targeted minimum pitch Pmin of an array. A first feature 150 can be placed on one of the plurality of intersecting points 120, which is also referred to herein as a first intersecting point 120. The position of the first intersecting point 120 can define the local grid area 150L, a portion of the grid 100, based on the feature pitch and the targeted minimum pitch Pmin of the semiconductor design. In an exemplary embodiment, the first intersecting point can be located in the center of the local grid area 150L. The local grid area 150L can include a plurality of grid units 110L and a plurality of local intersecting points 120L with an outer perimeter 155 as shown in FIG. 1. The outer perimeter 155 can be spaced away from any outermost local intersecting point of the plurality of local intersecting points 120L by a spacing that ranges from a length of a grid side to a length of a grid diagonal of the corresponding grid unit 110L. The outer perimeter 155, or the local grid area 150L, can thus have a square, a rectangle or any other suitable shape depending on the shape of the plurality of grid units 110L. In the illustrated embodiment as shown in FIG. 1 where the grid unit 110 is a square, the local grid area 150L can have a plus-shape to keep other grid units out by removing (or clipping) one or more far corners 150C of a squared local grid area in order to increase packing density of the design layout. In various embodiments, the local grid area 150L can be determined based on specific semiconductor features and their applications. Examples of a semiconductor feature can include a via, a contact hole, a gate, a gate bus, a well, an isolation structure, an interconnect line, a space, a pillar, a resistor, or any other element of a semiconductor device or other device as will be understood by one of ordinary skill in the art. For ease of illustration, the application can be described with reference to a design layout of via arrays. FIG. 2 depicts an exemplary mechanism for determining the shape and dimensions of a local grid area in accordance with the present teachings. Specifically, FIG. 2 shows a relationship of the depth of focus (DoF) from the lithography process with the feature pitch value for an exemplary circuit pattern of via array. When forming a semiconductor circuit having a via array, a design mask having the design layout of via features can be disposed over a semiconductor substrate (e.g., a “wafer”) such that the designed via features on the mask can be reduction-projected onto a resist layer formed on a surface of the wafer using an exposure apparatus for the lithographic process. The depth of focus (DoF) can be a focusing position from which ultraviolet light is irradiated perpendicularly onto the wafer during the exposure process. The depth of focus can be one of factors that determine whether the quality of the resist pattern (formed on the surface of the wafer after exposure and development) is satisfactory or not, especially when the resist pattern is continuingly demanded for a reduction of scale, e.g., for techniques of 45 nm node, 32 nm node or 28 nm node. Referring back to FIG. 2, the curves 210, 220, 230, 240, and 250 show measured DoF results for different sets of feature pitch values, each set/curve starting from a feature pitch of one targeted minimum pitch Pmin, 2 times of Pmin, 3 times of Pmin, 4 times of Pmin and 5 times of Pmin, respectively. In addition, FIG. 2 includes a DoF target value 289 showing a targeted focusing position at certain depth of the resist pattern. The focusing position of the measured resist pattern greater than the DoF target can produce satisfactory features (or structures) and the focusing position smaller than the DoF target can produce unsatisfactory features (or structures). The unsatisfactory pitch can be described as a “forbidden pitch”. In the “forbidden pitch” area, features placed on the design layout can not produce satisfactory features later on a wafer, for example. Referring to the curve 210 of FIG. 2, when the feature pitch is around the targeted minimum pitch Pmin (see point A1, A2, or A3), the measured DoF values can be greater than the DoF target, which generates satisfactory features. When the feature pitch increases, the measured DoF can become smaller than the DoF target and thereby falling into the unsatisfactory forbidden pitch area 203. Referring to the curve 220 of FIG. 2, when the feature pitch is around 2 times of the targeted minimum pitch 2Pmin (see point B1 or B2), the measured DoF values can be greater than the DoF target, which generates satisfactory features. When the feature pitch increases, the measured DoF can become smaller than the DoF target and thereby falling into the unsatisfactory forbidden pitch area 207. As shown, the forbidden pitch area 203 can represent an area where the feature pitch value is fallen between the targeted Pmin and 2Pmin, but not including the points around the targeted Pmin and 2Pmin, while the forbidden pitch area 207 can represent an area where the feature pitch value is fallen between 2Pmin and 3Pmin, but not including the point around 2Pmin and 3Pmin. Referring to the curve 230, 240, and 250 of FIG. 2, when the feature pitch is around 3Pmin(see point C) or greater, 4Pmin(see point D) or greater, and 5Pmin(see point E) or greater, the measured DoF values can be greater than the DoF target, which generates satisfactory features. In other words, at any pitch that is greater than 3Pmin, most of the measured DoFs can be greater than or close to the DoF target and thereby generate satisfactory features. Furthermore, the measured DoFs can be independent of the feature pitch as the feature pitch continues to be increased. As a result, FIG. 2A, FIG. 2B, FIG. 2C, FIG. 2D, and FIG. 2E depict resist pattern images for the generated features according to FIG. 2, when the feature pitch is selected at multiples of targeted minimum pitch, e.g., around Pmin, 2Pmin, 3Pmin, 4Pmin, and 5Pmin corresponding to the point A1, B1, C, D, and E of the curve 210, 220, 230, 240, and 250, respectively. Note that the images shown have different magnifications. As shown from FIG. 2A to FIG. 2E, when the feature pitch increases, the imaging focus and resolution of the generated resist pattern can be improved. Consequently, in the illustrated embodiment, when the joining features (e.g., the first and the second features) are less than 3Pmin apart (see the curves 210, and 220), the second feature of the semiconductor design can only be laid out NPmin—spaced away from the first feature, where N is an integer of 1 or 2 according to the semiconductor design. In addition, when joining features are equal or more than 3Pmin apart (see the curves 230, 240, and 250), satisfactory resist patterns can be formed consistently and any feature pitch of more than 3Pmin can be used to obtain desired resist pattern. In other words, the second feature can be laid out randomly at any spots spaced 3Pmin away from the first feature. Referring back to FIG. 1, the grid 100 can be used to illustrate the pitch restricted or unrestricted area. For example, the square grid unit 110 can use the targeted minimum pitch Pmin as a grid side. When the first feature 150, e.g., the first via, is placed on the first intersecting point, each adjacent surrounding intersecting point A can be one Pmin grid spacing away from the first via 150. And each surrounding intersecting point B can be 2Pmin grid spacing away from the first via 150. In various embodiments, the far corner grid units 150C of the squared grid area that are 3Pmin grid spacing away from the first via 150 can be removed (clipped) to form a plus-shaped local grid area 150L. In various embodiments, the local grid area that covers the grid-radius of NPmin spaced away from the first feature can also be referred to as “the strong pitch restriction area” or “the pitch restricted area” for the design layout. The outside area of the local gird area of the design layout can also referred to as “the pitch unrestricted area”. For example, the second feature can be restrictively placed on the grid intersecting points A and B shown in FIG. 1, in order to obtain a desired resolution of the via pattern. In other embodiments, the second feature can be placed randomly at any location that is out of the local grid area 150L in order to obtain a desired resolution of the via pattern and maximize the packing density. In various embodiments, the pitch restricted area and the pitch unrestricted area of the design layout can be rearranged for a third feature after the first and the second features have been drawn on the design layout. In an exemplary embodiment where the second feature is placed on the restricted local grid area of the first feature, i.e., on one of the intersecting points 120L, the restricted area and the unrestricted area of the design layout can be rearranged as shown in FIG. 3. FIG. 3 depicts another exemplary design layout having a feature placed restrictively on a pitch restricted local grid area in accordance with the present teachings. As shown, the exemplary second feature 152 can be placed on one of the intersecting points of the restricted local grid area 150L of the first feature 150, although one of ordinary skill in the art can understand that the second feature can also be randomly placed on the unrestricted local grid area. As shown in FIG. 3, the exemplary second feature 152 can be spaced away from the first feature 150 for about 2Pmin. The second feature 152 can then have its own restricted local grid area 152L and unrestricted keep-out area, determined similarly to that for the first feature 150, and also overlapped with the first restricted and unrestricted local grid area of the first feature 150. That is, the gridded area shown in FIG. 3 can represent a combined restricted local grid area. The third feature (not shown) can be placed restrictively on each intersecting point shown in the gridded area of FIG. 3 or can be placed randomly on the keep-out area 310 so as to obtain a desired feature. In an exemplary embodiment, as shown in FIG. 4, a third feature 153 can be placed on any spot that is in the keep-out area 310 of FIG. 3. In this case, the third feature 153 can have a restricted local grid area 153L having a plurality of interesting points surrounding the third feature 153 and also have an unrestricted keep-out area overlapped with the unrestricted area 310. The design layout of FIG. 4 can thus have placed three features having a target minimum pitch as shown by the grid side. The three features (150, 152, and 153) can define one or more local grid area where a fourth feature can be placed restrictively on one of the intersecting points. The fourth feature can also be randomly placed on any spot that is kept out of the gridded local area shown in FIG. 4. Exemplary embodiments can further include an IC device and the method for forming the IC device using the disclosed design layout and the design method as described in FIGS. 1-4. For example, a photo-mask can be made (e.g., printed) having one or more mask features directed by the disclosed design layouts. Semiconductor IC features based on the mask features can then be printed and formed on the semiconductor substrate. A flow diagram of a method for forming an IC device is shown in FIG. 5. Other embodiments of the invention will be apparent to those skilled in the art from consideration of the specification and practice of the invention disclosed herein. It is intended that the specification and examples be considered as exemplary only, with a true scope and spirit of the invention being indicated by the following claims. |
|
description | The present invention relates generally to nuclear reactors and, more particularly, to spacer grids for a nuclear fuel assembly, including mixing vanes to effectively mix coolant as it flows through the fuel assembly. Nuclear fuel assemblies for light water nuclear reactors, such as, for example, pressurized water reactors (PWRs) and boiling water reactors (BWRs), generally include a plurality of fuel rods with circular cross-sections that are arranged parallel to one another at regularly or irregularly spaced intervals. Each fuel rod comprises a stack of fuel pellets (e.g., uranium oxide pellets) surrounded with cladding that is made from zirconium alloy or other suitable material. The fuel rods are held at the spaced intervals with respect to one another by one or more spacer grids. Each spacer grid includes a plurality of interlocking grid straps that are welded together to form an array of four-walled cells in an “egg-crate” configuration. A fuel rod may be contained within each of the four-walled cells. The entire fuel assembly typically has a square cross-section with a 14×14, 15×15, 16×16, or 17×17 array of fuel rods. One or more outer straps may encircle the periphery of each spacer grid. FIG. 1 presents a partial perspective view of a conventional spacer grid 100 for a fuel assembly 102. The spacer grid 100 includes two sets of perpendicularly placed grid straps 112, 114. Each grid strap 112, 114 includes a series of regularly spaced notches (not shown) that allow for the assembly and interlocking of the grid straps 112, 114 to form an array of four-walled cells 116. Each four-walled cell 116 contains four intersections 118. The grid straps 112, 114 may be welded together at these intersections 118. The purpose of the four-walled cell 116 is to support a single fuel rod 130 (FIG. 2) in the square array of the fuel assembly 102. The periphery of the grid straps 112, 114 may be encircled with one or more outer straps 120. A plurality of springs 122 and a plurality of dimples 124 are integrally formed on, or attached to, the grid straps 112, 114 and extend inwardly within each four-walled cell 116. The springs 122 and dimples 124 provide support structures for contacting the fuel rod cladding and holding it within the four-walled cell 116. FIG. 2 presents a cross-sectional top plan view of one of the aforementioned four-walled cells 116, with a fuel rod 130 contained therein. As shown, the springs 122 and dimples 124 extend inwardly within the four-walled cell 116 to engage and provide support for the fuel rod 130, as previously discussed. When the PWR or BWR is in use, a coolant, such as for example, water, flows from the bottom of the fuel assembly upwards through the spaces between the fuel rods. The temperature of the coolant varies as it travels upwards, absorbing thermal energy from the fuel rods. At locations adjacent to the fuel rods, the coolant may be partially overheated, which can adversely affect the thermal performance of the fuel assembly and reduce the output power of the fuel rods. One way of alleviating these partially overheated regions is to design the spacer grids to more effectively deflect and mix the coolant as it flows upwards through the fuel assembly, thereby promoting a more uniform distribution of coolant temperature. Such a design can be accomplished by attaching “mixing vanes” to the top, downstream portion of the grid straps that comprise the spacer grid, as shown. The mixing vanes are intended to promote the flow of coolant in a lateral direction as well as a longitudinal direction along the fuel rod axes. This flow pattern allows the coolant to more effectively move between the fuel rods, and between the lower temperature regions and the partially overheated regions of the fuel assembly. FIG. 3 presents a partial perspective view of a grid strap 140 and conventional PWR mixing vane 142, wherein the mixing vane 142 is disposed at the top, downstream portion of a cell wall 144. It should be noted that although they are generally similar in configuration to the PWR vane shown, BWR vanes are typically much smaller in size. Other conventional mixing vane designs are disclosed in U.S. Pat. No. 5,440,599 to Rodack et al., U.S. Pat. No. 6,807,246 to Kim et al., U.S. Pat. No. 3,862,000 to Pugh et al., U.S. Pat. No. 4,758,403 to Noailly, U.S. Pat. No. 5,299,245 to Aldrich, U.S. Pat. No. 5,283,821 to Karoutas, U.S. Pat. No. 6,606,369 to Smith III et al., U.S. Pat. No. 6,278,759 to Yoon et al., U.S. Pat. No. 4,692,302 to DeMario et al., U.S. Pat. No. 5,265,140 to Perrotti, U.S. Pat. No. 6,236,702 to Chun et al., and U.S. Pat. No. 5,339,341 to King et al. Nozzle-type mixing vanes are disclosed in U.S. Pat. No. 4,726,926 to Patterson et al. and U.S. Pat. No. 6,130,927 to Kang et al. Conventional mixing vanes, however, tend to be restricted in the ways in which they deflect the flow of coolant as it moves upwards through the fuel assembly. They do not provide a robust means for adjusting or tuning the vanes in order to optimize the flow pattern that is formed. As a result, conventional mixing vanes cannot effectively achieve the most desirable type of coolant flow—even and sustained mixing—for the particular application at hand. Thus, there exists a need for a new type of mixing vane that guides the coolant in a desired flow pattern to more effectively mix the coolant as it moves upward through the fuel assembly. The present invention provides a spacer grid for a nuclear fuel assembly having a plurality of elongated fuel rods. The spacer grid employs novel mixing vanes which include an upper piece and a lower piece, which are connected to a middle ligament that is coupled to the top or downstream portion of a grid strap forming the spacer grid. Although the present invention is not limited to any particular number of mixing vanes, in a preferred embodiment, each four-walled cell of the spacer grid may contain four mixing vanes—one positioned at the top, downstream portion of each wall. The upper piece, lower piece, and middle ligament may be bent, rotated, and/or twisted into various positions to more effectively mix the coolant and affect the coolant's flow as it moves upwards through the fuel assembly. In a preferred embodiment, the mixing vane has an “S” shape when viewed from an end elevational vantage point. More specifically, the middle ligament is positioned parallel to the longitudinal axes of the fuel rods, the upper piece is bent towards the fuel rod, and the lower piece is bent away from the fuel rod, thereby defining the general S-shape. In another preferred embodiment, the mixing vane has a parabolic shape when viewed from the end elevational vantage point. A nuclear fuel assembly employing such mixing vanes, is also disclosed. An object of the present invention is to provide a mixing vane that is tunable in order to affect (i.e., control or adjust) and thus optimize for the particular application at hand the coolant flow pattern that is formed, rather than merely deflecting the coolant flow. Another object of the present invention is to provide a mixing vane that promotes optimized even and sustained interchannel mixing. Yet another object of the present invention is to provide a mixing vane that more effectively mixes coolant as it moves upwards through the fuel assembly, thereby mitigating regions of varying coolant temperature. A further object of the present invention is to provide mixing vane that is easily manufactured using conventional die technology. Another object of the present invention is to provide a mixing vane with an upper piece, a lower piece, and a middle ligament, that are easily tuned or adjusted by bending, rotating, and twisting, in order to optimize the coolant flow. These and other objects of the present invention will become more readily apparent from the following detailed description and appended claims. The description contained herein primarily refers to the use of a mixing vane having a generally rectangular shape. It will, however, be appreciated the mixing vanes may have any suitable shape, size, or dimensions. It will also be appreciated that although each mixing vane is contemplated as being formed from the grid strap material and connected to the grid strap as an integral component, that the mixing vanes could also be connected by welding, brazing, or mechanically securing them to the grid straps. The mixing vanes can also be made from any known or suitable material (e.g., without limitation zirconium alloy or nickel-steel alloy). It will further be appreciated that the Figures provided herein are provided for simplicity of illustration of only certain examples or variations of mixing vanes in accordance with the invention, and are not meant to be limiting upon the scope of the invention. It will also be appreciated that the Figures and, in particular, certain features depicted therein, are not drawn to scale. Directional phrases used herein, such as, for example, clockwise, counterclockwise, top, bottom, upper, lower and derivatives thereof, relate to the orientation of the elements shown in the drawings and are not limiting upon the claims unless expressly recited therein. As used herein, the terms “bend” and “bend angle” are used when referring to a bend in the upper or lower piece of the mixing vane. The terms “rotate” and “rotation angle” are used when referring to a rotation of the middle ligament. The terms “twist” and “degree of twist” are used when referring to a twist of the upper piece, lower piece, or middle ligament. As employed herein, the statement that two or more parts are “coupled” together shall mean that the parts are joined together either directly or joined through one or more intermediate parts. FIGS. 4a, 4b, 5, 6a, 6b and 7 illustrate a preferred embodiment of the present invention in which the grid straps of a spacer grid include generally S-shaped mixing vanes. While the present invention is not limited to any particular number of mixing vanes, four mixing vanes are positioned around each fuel rod and four-walled cell in the preferred embodiment (best shown in FIG. 7). FIGS. 4a and 4b are partial elevational views of two types of perpendicularly positioned, interlocking grid straps 160, 162. To form the spacer grid 200 (FIG. 7), a plurality of these grid straps 160, 162 are placed perpendicular to each other and connected together such that the downwardly positioned notches 166 on the first type of grid strap 160, shown in FIG. 4a, engage the upwardly positioned notches 168 on the second type of grid strap 162, shown in FIG. 4b. The location where the notches 166, 168 are connected is known as a grid strap intersection 170 (FIGS. 6a and 6b). Each grid strap 160, 162 includes a plurality of mixing vanes 172, which are shown in a flattened state in the unassembled views of FIGS. 4a and 4b. Each mixing vane includes an upper piece 174 and a lower piece 176 that are connected to a middle ligament 178. The middle ligament 178 is connected to the grid strap 160, 162. While the present invention is not limited to any particular number of mixing vanes 172, each cell wall 180 (two cell walls 180 are shown in cross-hatch in FIGS. 4a and 4b) of each grid strap 160, 162 preferably contains a single mixing vane 172. The mixing vane 172 may be connected to the grid strap 160, 162 at its top or “downstream” portion 182. The mixing vane 172 is preferably connected to the grid strap 160, 162 so that the middle ligament extends outward from the grid strap 160,162 in a direction that is substantially orthogonal with respect to the longitudinal axis 184 of the cell wall 180. For simplicity of illustration, the grid straps 160, 162 in the examples of FIGS. 4a and 4b are shown without springs and dimples in the cell walls 180. It will, however, be appreciated that any suitable number and configuration of dimples and springs could be employed (see, for example, FIG. 7). It will also be appreciated that although the mixing vanes 172 of FIGS. 4a and 4b are shown in a flattened position, prior to being assembled, the mixing vanes 172 are preferably bent and/or twisted into various positions to positively affect and thus optimize the flow of coolant as it moves through the fuel assembly. More specifically, the vanes 172 may be adjusted or fine tuned in order to optimize the coolant flow, as desired, for the particular application in which they are being employed. FIG. 5 is an elevational view of a single cell wall 180 and mixing vane 172 that has been bent in accordance with a preferred embodiment of the invention. Specifically, the upper piece 174 of the vane 172 has been bent at its connection with the middle ligament 178, and placed at a “bend angle” of approximately +45 degrees from the vertical. The vertical direction is defined by the longitudinal axis 184 of the cell wall 180. The lower piece 176 of the vane 172 has also been bent at its connection with the middle ligament 178, and placed at a “bend angle” of approximately −45 degrees from the vertical. The middle ligament 178 has been bent at its connection with the grid strap 160 and placed at a “rotation angle” of approximately −45 degrees with respect to the plane of the grid strap 160. A negative rotation angle for the middle ligament 178 indicates a counterclockwise rotation when viewed from the top of the fuel assembly, and a positive rotation angle indicates a clockwise rotation. Despite its rotation, the middle ligament 178, in the example shown, remains vertically aligned and parallel to the longitudinal axis 184 of the cell wall 180. Thus, the mixing vane 172 appears to have an S-shape when it is viewed directly from the side (i.e., from the left end with respect to FIG. 5). As noted previously with respect to FIGS. 4a and 4b, although the grid strap 160 of FIG. 5 does not show springs or dimples in cell wall 180, it will be appreciated that any suitable number and configuration of dimples and springs could be employed (see, for example, FIG. 7). FIG. 6a is a partial perspective view of a grid strap intersection 170 of the spacer grid, and FIG. 6b is a top plan view of such intersection 170. As noted previously, the grid strap intersection 170 occurs where two grid straps 160, 162 have been perpendicularly positioned and interlocked together using their upwardly and downwardly positioned notches 166,168 (FIGS. 4a and 4b). Because each grid strap cell wall 180 contains a single mixing vane 172, the grid strap intersection 170 is surrounded with a total of four mixing vanes 172, two per grid strap 160, 162. The upper piece 174 of each vane 172 has been bent at its connection with the middle ligament 178, and placed at a bend angle of approximately +45 degrees from the vertical. The lower piece 176 of each vane 172 has been bent at its connection with the middle ligament 178, and angled approximately −45 degrees from the vertical. A positive angle for the upper or lower piece 174, 176 indicates that the piece has been bent away from the grid strap intersection 170, and towards an adjacent fuel rod (not shown). A negative angle for the upper or lower piece 174, 176 indicates that the piece has been bent towards the grid strap intersection 170, and away from an adjacent fuel rod (not shown). This may be further appreciated and understood with reference to the top plan view of FIG. 6b. The middle ligament 178 of each vane 172 has been bent at its connection with the grid strap 160, 162, and placed at a rotation angle of approximately +30 degrees from the plane of each grid strap 160, 162. A positive rotation angle for the middle ligament 178 indicates a clockwise rotation when viewed from a top plan view perspective (see, for example, FIG. 6b), and a negative rotation angle indicates a counterclockwise rotation. The top plan view of FIG. 6b further shows the interaction of the mixing vanes 172 with fuel rods 210 of the fuel assembly. One complete fuel rod 210 (shown in simplified form in phantom line drawing) and portions of the three adjacent fuel rods 210, are shown. FIG. 7 is a partial perspective view of a spacer grid 200 in accordance with the invention. For simplicity of illustration, only one complete four-walled cell 202 of the spacer grid 200 is shown. It will be appreciated, however, that the spacer grid 200 in accordance with the invention forms any known or suitable array of a plurality of four-walled cells 202, similar for example, to the spacer grid 100 shown in FIG. 1. It will also be appreciated that FIG. 7 and, in particular mixing vanes 172, are not drawn to scale. The four-walled cell 202 occurs where four grid straps 160, 162, 164, 165 interlock in a generally perpendicular configuration to create an opening for supporting a fuel rod 210 (shown in simplified form in phantom line drawing) therein. As shown, each grid strap 160, 162, 164, 165 includes a plurality of springs 186 and dimples 188 of any known or suitable orientation, for securing the fuel rod 210. Since each cell wall 180 includes a single mixing vane 172, a total of four mixing vanes 172 surround the fuel rod 210 and four-walled cell 202. The upper piece 174 of each vane 172 has a bend angle of approximately +45 degrees from the vertical 184. The positive angle indicates that the piece has been bent towards the fuel rod 210. The lower piece 176 of each vane 172 has a bend angle of approximately −45 degrees from the vertical. The negative angle indicates that the piece has been bent away from the fuel rod 210. The middle ligament 178 of each vane 172 has a rotation angle of approximately +45 degrees from the plane of each grid strap 160, 162, 164, 165, with the positive angle indicating a clockwise direction of rotation when viewed from a top plan view perspective. Accordingly, the spacer grid 200 includes a plurality of perpendicular, interlocking grid straps 160, 162, 164, 165 that define the aforementioned grid strap intersections 170 (FIGS. 6a and 6b) and the four-walled cells 202. When viewed from an end elevational vantage point, each mixing vane 172 appears to have an S-shape. It is in this manner (i.e., the upper piece 174 bending toward fuel rod 210, the lower piece 176 bending toward the fuel rod 210, and the middle ligament 178 rotating toward the fuel rod) that the exemplary mixing vanes 172 assist in mixing coolant to create optimized even and sustained mixing (e.g., without limitation, a strong swirl and interchannel mixing) of the coolant as it moves upwards through the fuel assembly. The present invention is not, however, limited to the embodiment shown hereinbefore. It will be appreciated that a wide variety of other mixing vane configurations are within the scope of the invention. For example, the upper and lower pieces of the mixing vane can have any suitable positive or negative bend angle 220, 222 with respect to the vertical (i.e., the longitudinal axis 184 of the cell wall 180), ranging from about 0 to about +90 degrees or about 0 to about −90 degrees. FIG. 8a is a side view of an S-shaped mixing vane 172 which shows how bend angles 220, 222 of the upper piece 174 and lower piece 176 are measured from the vertical or longitudinal axis 184 of the grid strap 160. FIG. 8b illustrates example alternative bends 224, 226 for the upper piece 174 and lower piece 176 of the mixing vane 172 (with the alternatives shown in dashed line drawing). In the example of FIG. 8b, the upper piece 174 and/or lower piece 176 have bends 224, 226 ranging from about 0 to +45 degrees or about 0 to −45 degrees. It will also be appreciated, however, that the upper and lower pieces 174, 176 could alternatively both be bent towards an adjacent fuel rod, in order to form a parabolic-shaped mixing vane (not shown). It will also be appreciated that, rather than being bent, the upper and/or lower pieces 174, 176 could remain unbent (i.e., vertical at 0 degrees). It will still further be appreciated that while the upper and lower pieces 174, 176 are preferably bent at their connection with the middle ligament 178, a bend could occur at any location along the upper and lower piece 174, 176, and/or each piece 174, 176 can contain multiple bends (not shown). FIG. 9 is a side view of an S-shaped mixing vane 172 which shows how the rotation angle 228, as previously defined herein, for the middle ligament 178 is measured from the vertical or longitudinal axis 184 of the grid strap (i.e., 160). It will be appreciated that the middle ligament 178 may have any positive or negative rotation angle 228 with respect to the vertical, ranging from about 0 to +90 degrees or from about 0 to −90 degrees. For example, in one embodiment, shown in dashed line drawing, the middle ligament 178 is rotated from about 0 to +45 degrees (towards a fuel rod) or from about 0 to −45 degrees (away from a fuel rod). However, as shown in solid line drawing, rather than being rotated, the middle ligament 178 may remain at 0 degrees, parallel to the vertical. Additionally, while the example of FIG. 9 shows a pivot point 230 that is located approximately at the center of the middle ligament 178, the pivot point may occur at any location on the middle ligament 178. A middle ligament 178 may also contain multiple pivot points (not shown) and therefore multiple rotation angles (not shown). In addition to being bent or rotated, the upper piece 174, lower piece 176, and middle ligament 178 may be “twisted” (i.e., subjected to torsion) in a clockwise or counterclockwise direction, as shown in FIGS. 10a and 10b. FIG. 10a is a side view of two mixing vanes 172, wherein the upper piece 174 of each vane 172 is twisted. The “degree of twist” may range from about 0 to +90 degrees or from 0 to about −90 degrees (either clockwise or counterclockwise) with respect to axis 173. Although only the upper pieces 174 are twisted in the example of FIG. 10a, it will be appreciated that any suitable combination of twisting of one or both of the upper and lower pieces 174, 176 could be employed. FIG. 10b is a partial perspective view of four mixing vanes 172 surrounding a grid strap intersection 170. In the example of FIG. 10b, the upper pieces 174 of two opposing mixing vanes 172 are twisted, while the upper pieces of the other two mixing vanes 172 are not. The degree of twist ranges from about 0 to +90 degrees or from about 0 to −90 degrees, in either a clockwise or counterclockwise direction. It will be appreciated that, in accordance with the invention, a single upper piece 174, lower piece 176, or middle ligament 178 may include multiple twists, and that the various mixing vanes 172 and components thereof, of a particular intersection 170 may have any suitable combination of bend, twist, and/or rotation. Although the description contained hereinabove primarily refers to the use of four mixing vanes around a single fuel rod and four-walled cell, the present invention is not limited to any particular number of mixing vanes or placement of mixing vanes within the spacer grid. A spacer grid may contain fuel rods that are surrounded with mixing vanes and fuel rods that are not. Not every four-walled cell of a spacer grid is required to include a mixing vane. A fuel rod may be surrounded with anywhere from zero to four mixing vanes. A fuel rod is preferably surrounded with two or four mixing vanes. It is also preferable for the upper piece of each mixing vane to bend towards the fuel rod, and for the lower piece of each mixing vane to bend away from the fuel rod, although the mixing vanes in a given spacer grid may vary with respect to their bends, rotations, and twists. At least one upper piece may be twisted and/or bent with respect to the longitudinal axis of the cell walls, at least one lower piece may be twisted and/or bent with respect to the longitudinal axis of the cell walls, an d/or at least one middle ligament may be twisted and/or bent with respect to a plane on which the grid strap resides. Whereas particular embodiments of this invention have been described above for purposes of illustration, it will be evident to those skilled in the art that numerous variations of the details of the present invention may be made without departing from the invention as defined in the appended claims. |
|
summary | ||
summary | ||
claims | 1. An ion source comprising:a plasma accelerator with a closed electron drift and a narrow zone of acceleration, having an azimuthally closed discharge channel that extends continuously about a main axis, wherein the discharge channel has a top and bottom end;a slit at the top end of the discharge channel, wherein the slit extends continuously about the main axis, wherein the slit is tilted at an angle greater than zero and less than 90° relative to the main axis; andfurther comprising a magnetic lens configured to magnetically focus the ion beam exiting the discharge channel, wherein the magnetic lens is positioned outside the discharge channel along the slit. 2. The device according to claim 1, wherein the angle is in the range of about 10-45°. 3. The device according to claim 2 further comprising a self-sustaining hollow cathode positioned outside the magnetic lens on a side of the magnetic lens opposite the discharge channel, wherein the hollow cathode is configured to allow the ion beam to pass, and wherein the hollow cathode is configured to form a self-sustaining plasma within the hollow cathode in the presence of both the ion beam and a positive potential at a surface of a substrate being treated by the ion beam. 4. The device according to claim 3 wherein the hollow cathode is configured such that the self-sustaining plasma counteracts the positive potential formed at a surface of a substrate being treated by the ion beam. 5. The device according to claim 3, further comprising a magnetic system within the hollow cathode, wherein the magnetic system is configured to increase the intensity of the plasma formed inside the hollow cathode. 6. The device according to claim 1 further comprising an anode present within the discharge channel, wherein a voltage in the range of about 700-15000 volts is applied to the anode. 7. The device according to claim 1 further comprising a self-sustaining hollow cathode located outside the discharge channel along the slit, wherein the hollow cathode is configured to allow the ion beam to pass, and wherein the hollow cathode is configured to form a self-sustaining plasma within the hollow cathode in the presence of both the ion beam and a positive potential at a surface of a substrate being treated by the ion beam. 8. The device according to claim 7, wherein the hollow cathode is configured such that the self-sustaining plasma counteracts the positive potential formed at the surface of the substrate being treated by the ion beam. 9. The device according to claim 7, further comprising a magnetic system within the hollow cathode, wherein the magnetic system is configured to increase the intensity of the plasma formed inside the hollow cathode. 10. An ion sources comprising:a self-sustaining hollow cathode, wherein the hollow cathode is configured to allow the ion beam to pass, and wherein the hollow cathode is configured to form a self-sustaining plasma within the hollow cathode in the presence of both the ion beam and a positive potential at a surface of a substrate being treated by the ion beam. 11. The ion source according to claim 10 wherein the hollow cathode is configured such that the self-sustaining plasma counteracts the positive potential formed at a surface of the substrate being treated by the ion beam. 12. The device according to claim 10, further comprising a magnetic system within the hollow cathode, wherein the magnetic system is configured to increase the intensity of plasma formed inside the hollow cathode. 13. A method of focusing an ion beam generated in a plasma accelerator with a closed electron drift and a narrow zone of acceleration, having an azimuthally closed discharge channel which extends continuously about a main axis, wherein the discharge channel has a top and bottom end and wherein the discharge channel has a slit providing an exit hole along the top end of the discharge channel, wherein the slit extends continuously about the main axis, the method comprising:tilting the slit to an angle which is greater than zero and less than 90° relative to the main axis; andproviding a magnetic lens positioned outside the discharge channel along the slit wherein the magnetic lens is configured to magnetically focus the ion beam exiting the discharge channel. 14. The method according to claim 13, wherein the angle is in the range of about 10-45°. |
|
abstract | A radiology garment storage and cleaning system, for storing and cleaning radiology garments, each garment including a radiology apron and a radiology collar. The radiology garment storage and cleaning system includes a storage apparatus, which is divided into a number of compartments for accepting a radiology garment, the compartments extending from the first side to the second side. Each compartment is equipped with a cleaning system, a door with a lock and at least one status light. The storage apparatus also includes an ID card and a monitoring system which is in electronic communication with the ID card reader, the lock of each compartment, each of the cleaning mechanisms, and the status light of each compartment. The monitoring system is configured to monitor when the radiology garment is placed in one of the compartments, automate the cleaning of the garment, and track the garment's usage. |
|
summary | ||
summary | ||
description | This application is a divisional of U.S. patent application Ser. No. 10/693,291 entitled A METHOD AND APPARATUS FOR CHEMICAL SYNTHESIS, filed on Oct. 24, 2003 now U.S. Pat. No. 7,303,657, and is incorporated herein by reference. The United States Government has certain rights in this invention pursuant to Contract No. DE-AC07-99ID13727, and Contract No. DE-AC07-05ID14517 between the United States Department of Energy and Battelle Energy Alliance, LLC. The present invention relates to a method and apparatus for chemical synthesis, and more particularly to a method and apparatus for forming a chemical hydride which employs an ionizing gas which encourages the formation of a chemical hydride in a solution. It is well known that most of the energy currently utilized in the world is derived from fossil energy sources. These fossil energy sources are finite in quantity, and the extraction, processing, and utilization of these fossil energy sources has generated various environmental problems which are well known. Researchers through the years have attempted to address these various environmental issues by focusing their investigative efforts into the development of new sources of energy, such as nuclear power. Still further, in recent decades, much attention has been spent on the development of various devices such fuel cells which, in theory, could be utilized to power overland vehicles and produce electricity for assorted other purposes thereby reducing the worlds dependence on fossil fuel sources. While various fuel cells, and other arrangements have been proposed and which would appear to address, to some degree, these environmental concerns, an economical way of producing a fuel for fuel cells, such as hydrogen has remained elusive. One of several proposed prior art solutions to this dilemma includes the use of a metal hydride which, when combined with water, would produce hydrogen which could then be utilized by various devices such as fuel cells, internal combustion engines; and the like, to produce a useful output such as electricity. Several metal hydrides have been suggested for this use. One of the more promising metal hydrides on which much research has been conducted includes the compound sodium borohydride. Currently sodium borohydride is utilized as a reducing agent and as a blowing agent for plastics. Sodium borohydride, is currently produced from the reaction of sodium hydride and trimethyl borate. When sodium borohydride is subsequently reacted with water, and in the presence, for example, of a ruthenium catalyst, hydrogen gas is generated along with sodium metaborate and heat. This sodium metaborate can be recycled in a second chemical reaction by combining it with water plus electricity to produce sodium borohydride and oxygen gas. While this compound would appear, on a cursory analysis, as being a very attractive means by which hydrogen could be safely stored and then released at a remote location, the costs associated with producing sodium borohydride is still cost prohibitive in relative comparison to the use of traditional fossil fuels such as gasoline. A method and apparatus for forming a chemical hydride which addresses the shortcomings attendant with the prior art devices and practices utilized heretofore is the subject matter of the present application. Therefore, one aspect of the present invention is to provide a method of forming a chemical hydride, which includes providing a composition which is capable of forming a chemical hydride; forming a solution of the composition; and creating an ionizing oxygen gas over the solution of the composition to encourage the formation of the chemical hydride in the solution. Another aspect of the present invention is to provide a method of forming a chemical hydride which includes, providing a pseudo-plasma-electrolysis reactor defining a cavity; providing a cathode, and mounting the cathode in a fixed location in the cavity; providing a moveable anode, and mounting the anode for movement within the cavity; supplying an aqueous solution of sodium metaborate and water to the cavity of the pseudo-plasma-electrolysis reactor; providing a nuclear reactor which simultaneous heats the aqueous solution of the sodium borate and water, and further generates electrical power; and supplying the electrical power generated by the nuclear reactor to the anode and the cathode to create an ionizing oxygen plasma over the aqueous solution of the sodium borate and which facilitates the chemical generation of sodium borohydride. Still further, another aspect of the present invention relates to an apparatus for creating a chemical hydride and which includes a pseudo-plasma-electrolysis reactor having top and bottom surfaces, and defining a cavity; an aqueous solution of sodium metaborate and water received within the cavity of the pseudo-plasma-electrolysis reactor; a cathode fixedly mounted on the bottom surface of the pseudo-plasma-electrolysis reactor and which is disposed in fluidic, ohmic electrical contact with the aqueous solution; an anode moveably mounted on the top surface of the pseudo-plasma-electrolysis reactor and which selectively moves into, and out of, fluidic, ohmic electrical contact with aqueous solution; a nuclear reactor which has a hot gas output which provides heat energy; a first heat exchanger coupled in fluid flowing relation relative to the hot gas output, and which is operable to absorb the heat energy of the hot gas output flowing therethrough, and wherein the first heat exchanger is further disposed in fluid flowing relation relative to the cavity of the pseudo-plasma-electrolysis reactor, and wherein the aqueous solution flows through first heat exchanger to absorb the heat energy provided by the hot gas output to increase the temperature thereof; a second heat exchanger disposed in fluid flowing relation relative to the hot gas output, and which is operable to absorb the heat energy of the hot gas flowing therethrough; a source of water coupled in fluid flowing relation relative to the second heat exchanger, and wherein the source of water absorbs the heat energy previously absorbed by the second heat exchanger, and is converted into a source of high pressure steam; a steam turbine coupled in fluid flowing relation relative to the second heat exchanger, and which is operable to receive the source of high pressure steam and produce a mechanical energy output; a generator coupled to the mechanical energy output of the steam turbine, and which generates a source of electricity which is selectively supplied to the anode and the cathode; and an actuator coupled in force transmitting relation relative to the anode and which moves the actuator into, and out of, fluidic contact with the aqueous solution, and wherein the actuator, when energized, moves the anode into fluidic ohmic electrical contact with the aqueous solution, and wherein following contact of the anode with aqueous solution, the source of electricity is applied to the anode and the cathode to create an electrical current in the aqueous solution, and wherein the actuator is then energized to move the anode out of fluidic, ohmic electrical contact with the aqueous solution to form an oxygen plasma therebetween the anode and the aqueous solution, and wherein the formation of the plasma facilitates the chemical reaction of the sodium metaborate and water to produce oxygen gas and sodium borohydride in the aqueous solution. These and other aspects of the present invention will be discussed in greater detail hereinafter. This disclosure of the invention is submitted in furtherance of the constitutional purposes of the U.S. Patent Laws “to promote the progress of science and useful arts” (Article 1, Section 8). The method and apparatus for forming a chemical hydride is generally indicated by the numeral 10 as seen in the drawing. As shown therein, the method and apparatus 10 includes a high temperature gas cooled nuclear reactor which is generally indicated by the numeral 11. This high temperature gas cooled nuclear reactor 11 includes a plurality of fuel rods 12 which generate a significant amount of heat energy during a controlled nuclear reaction. The gas cooled nuclear reactor includes a container 13 which surrounds the fuel rods, and which further defines a cold helium gas intake 14, and a hot helium gas output, or exhaust which is generally indicated by the numeral 15. As seen in the drawing, a first heat exchanger, which is generally indicated by the numeral 20, is coupled in fluid flowing relation relative to the hot helium gas exhaust 15 of the high temperature gas cooled nuclear reactor 11. As seen in the drawing, the first heat exchanger 20 has a first end 21, and an opposite second end 22. A first fluid pathway 23 couples the hot helium gas exhaust 15 to the first end 21 of the first heat exchanger. The hot helium gas produced by the high temperature gas cooled nuclear reactor 11 passes through the first heat exchanger 20, and the first heat exchanger is operable to absorb the heat energy provided by the hot helium gas and transfer it to an aqueous solution of borate and water which also passes through the same heat exchanger as will be discussed in greater detail hereinafter. Still further, a second fluid pathway 24 is provided, and which couples the first heat exchanger 20 in fluid flowing relation relative to the cold helium gas intake 14. Therefore, it will be seen that the first heat exchanger 20 is operable to receive heated helium gas, absorb a portion of the heat energy from same, and then return the cold helium gas to the high temperature gas cooled reactor 11 to be reheated again during subsequent nuclear reactions. Referring still to the drawing, a second heat exchanger 30 is provided, and which is coupled in fluid flowing relation relative to the hot gas exhaust 15 of the high temperature gas cooled nuclear reactor 11. The second heat exchanger 30 has a first end 31, and an opposite second end 32. A third fluid pathway 33 couples the hot helium gas exhaust 15 to the first end 31 of the second heat exchanger. Similar to the earlier described first heat exchanger 20, the second heat exchanger 30 is capable of absorbing the heat energy of the hot helium gas, and transfer that heat energy, so absorbed, so as to generate a source of electrical power. This process will be discussed in greater detail below. A fourth fluid pathway 34 is provided, and which couples the second end of the second heat exchanger 30 in fluid flowing relation relative to the cold helium gas intake 14. Therefore, it will be seen that the hot helium gas exhaust 15 is coupled to a pair of heat exchangers 20 and 30 for purposes of transferring that same heat energy to other fluids for the purposes which will be discussed below. Referring still to the drawing, it will be understood that a source of water generally indicated by the numeral 40 is coupled in fluid flowing relation relative to the second heat exchanger 30. The source of water upon being exposed to the heat energy absorbed by the second heat exchanger 30 is converted to a source of high pressure steam 41. A steam turbine 42 is coupled in fluid flowing relation relative to the source of high pressure steam 41, and which, when exposed to the high pressure steam, produces a mechanical energy output 43 which is supplied to a generator 44. The generator 44 upon receiving the mechanical energy output of the steam turbine generates a source of electrical power which is transmitted from the generator by way of electrical pathways 46 and 47, respectively to a pseudo-plasma-electrolysis reactor 60. The pseudo-plasma-electrolysis reactor 60 is defined by a top surface 61; an opposite bottom surface 62; and a sidewall 63 which joins the top and bottom surfaces together. The top, bottom and sidewall surfaces define an internal cavity 64. Still further, a gas passageway 65 is formed in the top surface 61 and communicates with the internal cavity 64 for the purposes which will be described, hereinafter. Yet further, an actuator 66 is mounted on the top surface 61 and is operable to move an anode in a prescribed path of travel within the cavity 64 relative to a fixed cathode as will be described below. An aqueous solution of sodium metaborate and water 70 is provided and received within the cavity 64 of the pseudo-plasma-electrolysis reactor 60. As will be recognized by a study of the drawing, a fifth fluid pathway 71 is provided, and which couples the cavity 64 in fluid flowing relation relative to the first heat exchanger 20. As should be understood, this fifth fluid pathway 71 permits the aqueous solution 70 to flow through the first heat exchanger to absorb the heat energy provided by the hot helium gas which is supplied from the hot helium exhaust 15 to increase the temperature of the solution. A cathode 80 is fixedly mounted in a submerged location in the aqueous solution of sodium metaborate and water 70 and on the bottom surface 62 of the pseudo-plasma-electrolysis reactor 60. As such, the cathode is disposed in fluidic, ohmic electrical contact with the same aqueous solution. As seen in the drawing, an anode 90 is moveably mounted on the top surface 61 of the pseudo-plasma-electrolysis reactor 60, and is selectively moveable into and out of fluidic, ohmic electrical contact with the aqueous solution, formed of the sodium metaborate and water 70 in order to create an ionizing oxygen gas over the solution. This ionizing gas encourages the formation of a desirable chemical hydride, such as sodium borohydride in the aqueous solution of sodium metaborate and water 70. The anode 90 is movable into and out of fluidic, ohmic electrical contact with the solution 70 by way of the actuator 66 which, when energized, moves the anode along a path of travel which is generally indicated by the numeral 91. The method of forming a chemical hydride of the present invention includes the steps of providing a composition, such as the aqueous solution of sodium metaborate and water 70, and which is capable of forming a chemical hydride; forming a solution of the composition 70; and creating an ionizing gas 92 over the solution of the composition to encourage the formation of the chemical hydride in the solution. In the method which is generally described above, the step of creating an ionizing gas 92 over the solution of the composition, which typically comprises sodium metaborate and water, comprises creating or generating an oxygen plasma over the solution of the composition 70. As can be appreciated from a study of the drawing, the method also includes providing a pseudo-plasma-electrolysis reactor 60 which encloses the solution formed of the composition 70; and creating an electrical current in the solution of the composition 70 to form the ionizing gas 92 over the solution. As will be recognized from the drawings, the electrical pathways 46 and 47 provide the electrical power 45 which is generated by the generator 44, to the anode 90 and the cathode 80, respectively. In the method described above, the step of creating the ionizing gas 92 over the solution of the composition 70 includes the steps of first moving the anode 90 into direct fluidic, ohmic electrical contact with the solution of the composition 70 which is typically formed of sodium metaborate and water; second, energizing the anode 90 and the cathode 80 to create an electrical current through the solution of the composition and between the anode and the cathode to establish an initial electrolysis to generate the oxygen gas at the anode for subsequent ionization 92; and third, while generating the oxygen gas, moving the anode 90 out of fluidic, ohmic electrical contact with the solution of the composition 70 to create the ionized oxygen gas 92 over the solution 70 of the composition, and between the spaced anode 90 and the solution 70. The method as described above further includes providing a high temperature gas cooled nuclear reactor 11 which has a hot gas exhaust 15 having heat energy; providing a first heat exchanger 20 coupled in fluid flowing relation relative the hot gas exhaust 15, and wherein the first heat exchanger 20 absorbs a portion of the heat energy from the hot gas exhaust; coupling the solution of the composition 70 in fluid flowing relation relative to the first heat exchanger by way of a fluid pathway 71; and wherein the heat energy absorbed by the first heat exchanger 20 heats the solution of the composition 70 to a temperature; providing a second heat exchanger 30 coupled in fluid flowing relation relative to the hot gas exhaust 15, and wherein the second heat exchanger 30 absorbs a portion of the heat energy from the hot gas exhaust 15; providing a source of water 40 to the second heat exchanger 30, and wherein the heat energy absorbed by the second heat exchanger converts the water into high pressure steam 41; providing a steam turbine 42 and supplying the high pressure steam 41 to the steam turbine to produce a mechanical energy output 43; providing an electrical generator 44, and coupling the electrical generator to the mechanical energy output to generate electrical power 45; and supplying the electrical power 45 to create the ionized oxygen gas 92 over the solution 70. As should be understood, the supplying of the electrical power generated by the nuclear reactor to the anode 90 and the submerged cathode 80 creates an oxygen plasma 92 over the aqueous solution of the sodium borate and water 70 and which further facilitates the chemical generation of sodium borohydride from the same solution 70. The operation of the described embodiment of the present invention is believed to be readily apparent and is briefly summarized at this point. An apparatus for practicing the claimed method of creating a chemical hydride 10 is shown the drawing. The method of the present invention includes providing a pseudo-plasma-electrolysis reactor 60 having top and bottom surfaces 61 and 62, and which further defines a cavity 64. An aqueous solution of sodium metaborate and water 70, is received in the cavity 64 of the pseudo-plasma-electrolysis reactor. A cathode 80 is fixedly mounted on the bottom surface 62 of the pseudo-plasma-electrolysis reactor and is further disposed in fluidic, ohmic electrical contact with the aqueous solution 70. An anode 90 is moveably mounted on the top surface of the pseudo-plasma-electrolysis reactor and which selectively moves into, and out of fluidic, ohmic electrical contact with the aqueous solution 70. A nuclear reactor which has a hot gas output 15 provides heat energy. A first heat exchanger is provided and is coupled in fluid flowing relation relative to the hot gas exhaust 15 and is operable to absorb the heat energy of the hot gas exhaust flowing therethrough. The first heat exchanger is further disposed in fluid flowing relation relative to the cavity 64 of the pseudo-plasma-electrolysis reactor 60. As described above, the aqueous solution 70 flows through the first heat exchanger 20 to absorb the heat energy provided by the hot gas exhaust to increase the temperature thereof. A second heat exchanger 30 is provided and is disposed in fluid flowing relation relative to the hot gas exhaust 15 and further is operable to absorb the heat energy of the hot gas exhaust flowing therethrough. A source of water 40 is coupled in fluid flowing relation relative to the second heat exchanger 30, and wherein the source of water absorbs the heat energy previously absorbed by the second heat exchanger 30 and is converted into a source high pressure steam 41. A steam turbine 42 is coupled in fluid flowing relation relative to the second heat exchanger and is operable to receive the source of high pressure steam and which further produces a mechanical energy output 43. A generator 44 is coupled to the mechanical energy output of the steam turbine and generates a source of electricity 45 which is selectively supplied to the anode 90 and the cathode 80. An actuator 66 is coupled in force transmitting relation relative to the anode and which moves the anode 90 into and out of fluidic contact with the aqueous solution. In operation, the actuator, when energized, moves the anode 90 into fluidic ohmic electrical contact with the aqueous solution, and wherein, following contact of the anode 90 with aqueous solution, the source of electricity 45 is applied to the anode 90 and the cathode 80 to create an electrical current in the aqueous solution, and which facilitates an initial electrolysis of the aqueous solution. The actuator is then energized to move the anode 90 out of fluidic, ohmic electrical contact with the aqueous solution to form an oxygen plasma 92 therebetween the anode and the aqueous solution. The formation of the oxygen plasma 92 facilitates the chemical reaction of the sodium metaborate and water solution 70 to enhance the production of oxygen gas which is vented through the gas passageway 65 to ambient, and a resulting sodium borohydride in the aqueous solution. Therefore it will be seen that the present invention provides a convenient means whereby a chemical hydride can be economically formed by utilizing the energy and heat output of a nuclear reactor in a manner not possible heretofore. In compliance with the statute, the invention has been described in language more or less specific as to structural and methodical features. It is to be understood, however, that the invention is not limited to the specific features shown and described, since the means herein disclosed comprise preferred forms of putting the invention into effect. The invention is, therefore, claimed in any of its forms or modifications within the proper scope of the appended claims appropriately interpreted in accordance with the doctrine of equivalents. |
|
abstract | An apparatus and a method for interlocking power to ion implantation equipment. The apparatus may include a positive and a negative power supply which generates a positive voltage and a negative voltage respectively, a comparator which compares the positive voltage and the negative voltage, and a signal generating unit which generates an interlocking signal which interlocks the positive and negative power supplies when a sum of a first relative value of the positive voltage and a second relative value of the negative voltage does not equal zero. The apparatus may further include a switching unit which changes a mode of the positive and negative power supplies from a remote mode to a local mode when the sum of the relative values does not equal zero. The switching unit may be a relay switch. Power output lines may connect the positive and negative power sources to a lens assembly unit. |
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.