patent_number
stringlengths
0
9
section
stringclasses
4 values
raw_text
stringlengths
0
954k
052672832
abstract
In addition to the metal-fiber filter and molecular sieve of a depressurization system for the containment vessel of a nuclear reactor, within an auxiliary equipment building adjacent the structure surrounding the containment vessel, at least one metal-fiber filter and at least one molecular sieve form filter elements of a system for evacuating the space between the structure and the containment.
claims
1. An apparatus for providing an impact on a target comprising:an accelerator configured to launch a multiplicity of positively charged pellets;a target;an interval of vacuum separating the accelerator from the target;wherein the accelerator is configured to launch pellets at times and with velocities such that at least some pellets catch up into close proximity with earlier launched pellets during transit of the vacuum interval to strike the target as a group;at least one measurement station configured and adapted to measure the positions of individual pellets at one or more points along the interval of vacuum after leaving the accelerator, the measurement station comprising a computerized system configured to assign a coordinate value to each pellet, the assigned coordinates usable to determine any course adjustment required for each of the plurality of pellets;at least one adjusting station positioned downstream from at least one measurement station, the adjusting station configured to individually adjust the courses of pellets after measurement at the measurement station. 2. The apparatus as in claim 1, wherein the adjusting station provides a course change to at least some of the pellets, the adjusting station comprising a plurality of rapidly switched electrodes providing electric fields of brief duration as a pellet passes in their vicinity, the electrodes utilizing the charge of the pellets to adjust the courses of the pellets. 3. The accelerator as in claim 1, wherein the accelerator is a linear accelerator and the pellets are launched in a substantially parallel direction. 4. A method of providing an impact upon a target, comprising the steps of:providing an accelerator configured to launch a multiplicity of charged pellets, a target and an interval of vacuum separating the accelerator from the target;launching pellets from the accelerator at times and with velocities such that at least some pellets catch up into close proximity with earlier launched pellets during transit of the vacuum interval to strike the target as a group;measuring positions of individual pellets at measurement stations positioned at one or more points along the interval of vacuum after leaving the accelerator, the measurement station comprising a computerized system configured to assign a coordinate value to each pellet, the assigned coordinates usable to determine any course adjustment required for each of the plurality of pellets;individually adjusting the courses of pellets after measurement at a measurement station by an adjusting station positioned downstream from the measurement station. 5. A method as in claim 4, wherein at least some pellets collide with one another before reaching the target to form a conglomeration whose density is at least twice the uncompressed density of the pellets. 6. A method as in claim 4, wherein the charge on at least some pellets is progressively reduced at more than one point after they emerge from the accelerator. 7. The method as in claim 4, further comprising the step of impacting the pellets on a portion of the target, the impact causing that portion to heat to the point where it radiates energy, said radiation energy evaporating an outer layer of a second portion of the target, said evaporation producing a reactive force which compresses the remainder of said second portion. 8. A method as in claim 4, wherein the pellets are composed of material whose average atomic weight is similar to the average atomic weight of the portion of the target which they initially impact. 9. A method as in claim 4, wherein fusion results. 10. The method as in claim 4 further comprising the step of compressing intensely at least a portion of the target. 11. The method as in claim 10 further comprising the step of striking a first portion of the target by the pellets, the striking causing a heating of the first portion to a temperature such that energy is radiated, the radiated energy being sufficient to evaporate an outer layer of a second portion of the target, the evaporation producing a reactive force, compressing a remainder of the second portion.
abstract
There is provided a multilayer mirror (80) comprising a layer of a first material (84) and a layer of silicon (82). The layer of the first material and the layer of silicon form a stack of layers. An exposed region of the layer of silicon comprises a modification that is arranged to improve the robustness of the exposed region of silicon.
041982711
summary
BACKGROUND OF THE INVENTION This invention relates to liquid metal cooled nuclear reactor constructions. In one well known example of liquid metal cooled nuclear reactor construction the reactor is submerged in a pool of coolant contained in a primary vessel. The primary vessel is housed in a concrete containment vault and is suspended from the roof of the vault. There is a leak jacket or catchpot surrounding the primary vessel for catching coolant in the event of leakage. The core is carried on a diagrid which depends from the roof of the vault by tie rods and the roof carries control rods which are vertically insertable in the core to control reactivity. In the event of displacement of the core relative to the control rods during operation of the reactor core the resultant change of reactivity could have serious consequences and it is an object of the present invention to provide a construction of liquid metal cooled nuclear reactor having means for limiting uncontrolled displacement of the core relative to the control rods. SUMMARY OF THE INVENTION According to the invention in a liquid metal cooled nuclear reactor construction wherein the reactor core is submerged in a pool of coolant in a primary vessel, the primary vessel being suspended from the roof structure of a containment vault and surrounded by a leak jacket, there being control rods supported from the roof structure and insertable in the core, the reactor core is supported from the wall of the base region of the primary vessel and there is secondary core support means, non-loaded during normal operation of the reactor said means being located in an interspace between the primary vessel and the leak jacket for limiting the extend of downward deflection of the base region of the primary vessel. Thus in the event of deflection of the wall of the primary vessel such as would allow downward displacement of the reactor core relative to the control rods, the leak jacket provides secondary support means for the core and limits its displacement.
claims
1. A fuel assembly for a boiling water reactor, wherein during operation, the fuel assembly permits water to flow upwards through the fuel assembly, a portion of the water being transformed into steam, the fuel assembly comprising: at least one fuel bundle having a plurality of fuel units stacked on top of each other, each fuel unit comprising a top tie plate, a bottom tie plate, and a plurality of fuel rods extending between the top tie plate and the bottom tie plate; a steam channel comprising an empty volume that extends at least through a portion of the plurality of fuel units, between the bottom tie plate and the top tie plate of each fuel unit forming the steam channel; and first means arranged outside the steam channel including, in one or more of the fuel units, a plurality of fuel rods arranged in a ring around the empty volume, wherein said plurality of fuel rods are each inclined in either a clockwise or a counterclockwise direction around the empty volume, wherein said plurality of inclined fuel rods forces the water and the steam in the plurality of fuel units to rotate around the steam channel; and wherein the water is thrown away from the steam channel, and the steam is separated from the water and flows upwards through the steam channel. 2. The fuel assembly according to claim 1 , wherein the steam channel has an increasing cross-sectional area towards an outlet. claim 1 3. The fuel assembly according to claim 1 , wherein the fuel assembly comprises a plurality of parallel steam channels. claim 1 4. A fuel assembly for a boiling water reactor, wherein during operation, the fuel assembly permits water to flow upwards through the fuel assembly, a portion of the water being transformed into steam, the fuel assembly comprising: at least one fuel bundle having a plurality of fuel units stacked on top of each other, each fuel unit comprising a top tie plate, a bottom tie plate, and a plurality of fuel rods extending between the top tie plate and the bottom tie plate; a steam channel comprising an empty volume that extends at least through a portion of the plurality of fuel units, between the bottom tie plate and the top tie plate of each fuel unit forming the steam channel; and first means arranged outside the steam channel comprising in at least certain of the fuel units, a majority of the fuel rods which are arranged inclined in relation to a longitudinal direction of the fuel assembly for causing the water and the steam in the plurality of fuel units to rotate around the steam channel, whereby the water is thrown away from the steam channel, whereas the steam is separated from the water and flows upwards through the steam channel, wherein the fuel assembly comprises a plurality of parallel steam channels, and wherein the first means causing the water and the steam in the fuel bundle to rotate around the steam channel causes the water to rotate clockwise around a first steam channel and counterclockwise around a second steam channel. 5. A fuel assembly for a boiling water reactor, wherein during operation, the fuel assembly permits water to flow upwards through the fuel assembly, a portion of the water being transformed into steam, the fuel assembly comprising: at least one fuel bundle having a plurality of fuel units stacked on top of each other, each fuel unit comprising a top tie plate, a bottom tie plate, and a plurality of fuel rods extending between the top tie plate and the bottom tie plate; a steam channel comprising an empty volume that extends at least through a portion of the plurality of fuel units, between the bottom tie plate and the top tie plate of each fuel unit forming the steam channel; and first means arranged outside the steam channel comprising in at least certain of the fuel units, a majority of the fuel rods which are arranged inclined in relation to a longitudinal direction of the fuel assembly for causing the water and the steam in the plurality of fuel units to rotate around the steam channel, whereby the water is thrown away from the steam channel, whereas the steam is separated from the water and flows upwards through the steam channel, wherein at least some of the fuel rods comprise second means for intensifying the rotation of water flow around the steam channel. 6. The fuel assembly according to claim 5 , wherein the second means for intensifying the rotation comprises ligaments. claim 5 7. The fuel assembly according to claim 6 , wherein the second means for intensifying the rotation comprises at least one of a top and bottom plug including fins. claim 6
description
This application is a divisional of, and claims the benefit of priority to, U.S. application Ser. No. 15/671,269 filed on Aug. 8, 2017, which claims priority to U.S. Provisional Patent Application Ser. No. 62/372,976 filed on Aug. 10, 2016, which are each incorporated herein by reference in their entireties. Nuclear fuel rods are used to generate electrical power, and provide an attractive alternative to the generation of electrical power from fossil fuels. Nuclear fuel rods can be utilized to create a nuclear reaction that may be used to heat water, generating steam to drive a turbine. The turbine may be coupled to a generator, thereby producing electrical energy. Over time, the nuclear fuel rods may become “spent,” and may no longer be useful to sustain a nuclear reaction. While no longer able to sustain a useful nuclear reaction, the nuclear fuel rods may continue to produce heat that must be dissipated and may emit radiation that must be contained. Conventionally, these spent nuclear fuel rods may be kept within a storage pool for a period of time while residual heat from the spent nuclear fuel rods is dissipated. This is sometimes referred to as “wet storage” of the spent nuclear fuel rods. The storage pools are typically located within a nuclear facility, and space within the storage pools may be limited. Once the spent nuclear fuel rods have sufficiently cooled, the spent nuclear fuel rods may be removed from the storage pool and placed within a fuel storage cask for “dry storage.” Conventional fuel storage casks may include cooling vents that allow ambient air to draw heat away from the fuel storage cask via convection, which assists in maintaining the fuel storage cask at an operable temperature. However, these vents may become blocked by foreign objects such as debris, which may restrict the flow of ambient air, thereby reducing the amount of heat drawn away from the fuel storage cask. In some circumstances, blockages of the vents may necessitate that fuel cask and/or the fuel rods within the fuel cask be moved to a storage pool to prevent the fuel rods from overheating and degrading the fuel cask. Accordingly, the vents may require periodic inspection and maintenance to ensure that the fuel storage cask is maintained at an operable temperature, which may increase operating costs. Accordingly, a need exists for alternative fuel storage casks and cooling systems for fuel storage casks including a self-contained cooling system. In one embodiment, a fuel storage cask includes an outer shell having a length extending from a first end to a second end of the outer shell, the outer shell defining an inner cavity circumscribed by the outer shell, an outer perimeter extending around the outer shell, an inner perimeter positioned inward from the outer perimeter, and a cooling circuit extending along the length of the outer shell, the cooling circuit including an inner passage, and an outer passage, a coolant positioned within the cooling circuit, where the coolant is configured to move through the inner passage, absorbing heat from the inner cavity of the outer shell, and the coolant is configured to move through the outer passage, dissipating heat through the outer perimeter of the outer shell, and a lid coupled the outer shell, where the lid covers the inner cavity of the outer shell. In another embodiment, a cooling system for a fuel storage cask includes an inner passage extending around and circumscribing an inner perimeter of a fuel storage cask and extending along a length of the fuel storage cask, the length being evaluated between a first end and a second end of the fuel storage cask positioned opposite the first end, an outer passage positioned outward from the inner passage and in fluid communication with the inner passage, and a coolant positioned within the inner passage and the outer passage, where the coolant is configured to move through the inner passage, absorbing heat from an inner cavity of the storage cask, and the coolant is configured to move through the outer passage, dissipating heat through an outer perimeter of the storage cask. In yet another embodiment, a method for cooling a fuel storage cask includes providing a cooling circuit including an inner passage extending around and circumscribing an inner perimeter of a fuel storage cask, and an outer passage positioned outward of and in fluid communication with the inner passage, providing a coolant positioned within the inner passage and the outer passage, heating the coolant within the inner passage with a heat source positioned within an inner cavity of the fuel storage cask, moving the coolant within the inner passage upward in a vertical direction, cooling the coolant within the outer passage by dissipating heat to an ambient medium, and moving the coolant within the outer passage downward in the vertical direction. Various embodiments of fuel storage casks now will be described more fully hereinafter with reference to the accompanying drawings, in which some, but not all embodiments of the fuel storage cask are shown. Indeed, these fuel storage casks may be embodied in many different forms and should not be construed as limited to the embodiments set forth herein; rather, these embodiments are provided so that this disclosure will satisfy applicable legal requirements. The term “or” is used herein in both the alternative and conjunctive sense, unless otherwise indicated. The terms “illustrative” and “exemplary” are used to be examples with no indication of quality level. Terms are used herein both in the singular and plural forms interchangeably. Like numbers refer to like elements throughout. Many modifications and other embodiments of the fuel storage casks set forth herein will come to mind to one skilled in the art having the benefit of the teachings presented in the foregoing descriptions and the associated drawings. Therefore, it is to be understood that the fuel storage casks described herein are not to be limited to the specific embodiments disclosed and that modifications and other embodiments are intended to be included within the scope of the appended claims. Although specific terms are employed herein, they are used in a generic and descriptive sense only and not for purposes of limitation. As used herein, the term “thermally coupled” means that thermal energy may be exchanged between various components described herein. Thermally coupled components may be in direct or in indirect contact with one another. Fuel storage casks are used to store spent nuclear fuel, such as spent nuclear fuel rods that may result from electrical power generation from nuclear power. Although the spent nuclear fuel may have been removed from a nuclear reaction, the spent nuclear fuel may continue to generate heat within the fuel storage cask, and it is desirable to dissipate heat from the spent nuclear fuel to maintain the fuel storage cask at an acceptable temperature. Fuel storage casks as described herein include a shell that defines a cooling circuit that assists in dissipating heat from the fuel storage cask. Referring initially to FIG. 1, a perspective view of a fuel storage cask 100 is schematically depicted. The fuel storage cask 100 includes an outer shell 110 including a first end 102 and a second end 104 positioned opposite the first end 102. The fuel storage cask 100 has a length 106 evaluated between the first end 102 and the second end 104. The length 106 may be selected to such that a spent nuclear fuel rod may be positioned within and encapsulated by the fuel storage cask 100. While in the embodiment depicted in FIG. 1 the fuel storage cask 100 and the outer shell 110 have a generally cylindrical shape, it should be understood that the fuel storage cask 100 and the outer shell 110 may have any suitable shape for storing spent nuclear fuel. As non-limiting examples, the cask and shell may be include a rectangular prism shape, a cubical shape, a conical shape, or a spherical shape. The outer shell 110 may be formed from any suitable construction materials or combination of materials, including but not limited to, concrete, cement, cermet, metal, composites, or the like. Referring to FIG. 2, a top view of the fuel storage cask 100 of FIG. 1 is schematically depicted. The fuel storage cask 100 further includes a lid 120 that is coupled to the first end 102 of the outer shell 110. When installed, the lid 120 covers an opening of the outer shell 110, such that the lid 120 may encapsulate an interior of the outer shell 110. In some embodiments, the lid 120 may cover the only opening that allows access the interior of the outer shell 110. In other embodiments, the fuel storage cask 100 may also include another lid coupled to the second end 104 (FIG. 1) of the outer shell 110, covering a second opening positioned at the second end of the outer shell 110. The lid 120 or lids may be coupled to the outer shell 110 by any suitable methodology, including but not limited to, welding, brazing, mechanical fasteners, structural adhesives, or the like. Referring to FIG. 3A, a section view of the fuel storage cask 100 along section 3A-3A of FIG. 2 is schematically depicted. The fuel storage cask 100 is in a “vertical” orientation, such that the first end 102 of the fuel storage cask 100 is positioned above the second end 104 of the fuel storage cask 100 in a vertical direction. The outer shell 110 defines an outer perimeter 112 that extends around the outer shell 110. The outer perimeter 112 may optionally include fins 115 that assist in transferring heat from the outer shell 110 to an ambient medium 10 outside of the outer shell 110. In embodiments, the fins 115 may include any suitable geometry and may be oriented in any suitable matter to assist in transferring heat energy from the outer shell 110 to the ambient medium 10. The outer shell 110 further defines an inner perimeter 114 that defines and circumscribes an inner cavity 116. The inner perimeter 114 is positioned between the outer perimeter 112 and the inner cavity 116. In embodiments, the inner perimeter 114 and the outer perimeter 112 include similar and concentric shapes. For example, in the embodiment shown in FIG. 3A, the inner perimeter 114 and the outer perimeter 112 include concentric circular shapes. In other embodiments, the inner perimeter 114 and the outer perimeter may include different and/or non-concentric shapes. For example and without limitation, one of the inner perimeter 114 and the outer perimeter 112 may include a circular shape, while the other of the inner perimeter 114 and the outer perimeter 112 includes a square or rectangular shape. The inner perimeter 114 and the outer perimeter 112 may include any suitable shape to accommodate spent nuclear fuel rods stored within the inner cavity 116 of the outer shell 110. In embodiments, the outer shell 110 of the fuel storage cask 100 includes a shielding 117 that restricts and/or prevents radiation from spent fuel rods within the inner cavity 116 from passing through the outer shell 110. The shielding 117 may include various materials that restrict and/or prevent radiation, such as may be emitted from spent nuclear fuel rods, from passing through the shielding 117, and may be formed from materials such as lead, iron, concrete, or the like. The shielding 117 may be positioned to encapsulate the inner cavity 116, such that shielding 117 is positioned between the inner cavity 116 and the outer perimeter 112 of the outer shell 110, so as to prevent a “direct path,” or route for radiation emitted from within the inner cavity 116 to reach areas outside of the outer shell 110 without first passing through the shielding. In other words, shielding may be positioned throughout the outer shell 110 so as to fully encapsulate the inner cavity 116. In the embodiment depicted in FIG. 3A, the outer shell 110 of the fuel storage cask 100 includes a central axis 111 extending through the outer shell 110, and the outer shell 110 includes a generally cylindrical shape that is symmetrical about the central axis 111. In other embodiments, the outer shell may be asymmetrical about the central axis 111. The fuel storage cask 100 includes a cooling circuit 130 that is positioned between the inner perimeter 114 and the outer perimeter 112 of the outer shell 110, and that extends circumferentially around at least the inner perimeter 114 of the outer shell 110. In the embodiment shown in FIG. 3A, the cooling circuit 130 is generally symmetrical about the central axis 111. The cooling circuit 130 assists in dissipating heat generated by spent fuel rods positioned within the fuel storage cask 100. Referring to FIG. 3B, an enlarged view of the region 3B shown in FIG. 3A is schematically depicted. The cooling circuit 130 includes an inner passage 132 and an outer passage 134 that is positioned outward from the inner passage 132. In embodiments where the outer shell 110 includes a cylindrical shape, the outer passage 134 is positioned radially outward from the inner passage 132. While only a portion of the inner passage 132 and the outer passage 134 is shown, it should be understood that in the embodiment shown in FIG. 3B, the inner passage 132 and the outer passage 134 extend circumferentially around the inner cavity 116. In embodiments, the shielding 117 is positioned between the inner passage 132 and the outer passage 134 and is positioned so as to prevent a “direct path,” or route for radiation emitted from within the inner cavity 116 to reach areas outside of the outer shell 110 without first passing through the shielding. Alternatively, the shielding 117 may be positioned outward of the outer passage 134 and/or inward of the inner passage 132 to prevent radiation emitted from within the inner cavity 116 from reaching areas outside of the outer shell 110. The inner passage 132 and the outer passage 134 each include a generally annular shape that is symmetrical about the central axis 111 (FIG. 3A) of the outer shell 110. Alternatively, the inner passage 132 and the outer passage 134 may include shapes that are asymmetrical about the central axis 111 (FIG. 3A). The cooling circuit 130 includes an exit passage 136 that connects and is in fluid communication with the inner passage 132 and the outer passage 134. In the embodiment depicted in FIG. 3B, the exit passage 136 is positioned at the first end 102 of the outer shell 110 and includes an annular shape that extends circumferentially around the outer shell 110. A coolant 140 is provided within the inner passage 132 and the outer passage 134, and the coolant 140 assists in dissipating heat generated by a heat source such as spent fuel rods positioned within the inner cavity 116 of the outer shell 110. The cooling circuit 130 may be hermetically sealed, such that the coolant 140 is contained within the cooling circuit 130. The inner passage 132 of the cooling circuit 130 is thermally coupled to the inner cavity 116 such that heat generated by spent fuel rods within the inner cavity 116 may be transferred to the inner passage 132, and more particularly to the coolant 140 within the inner passage 132. In the embodiment shown in FIG. 3B, the coolant 140 flows upward through the inner passage 132, where it absorbs heat energy from the inner cavity 116. The upward flow of the coolant 140 may be induced by convective flow of the coolant 140 resulting from the absorbed energy from the inner cavity 116. For example, as the coolant 140 absorbs heat energy from the inner cavity 116, a temperature of the coolant 140 may increase and a density of the coolant 140 may decrease, causing the coolant 140 to rise as a result of natural convection. Alternatively or additionally, the flow of the coolant 140 through the inner passage 132 may be induced, such as with a pump, and the pump may induce flow of the coolant 140 upward or downward in the vertical direction within the inner passage 132. Upon reaching the top of the inner passage 132, the coolant 140 flows radially outward through the exit passage 136 toward the outer passage 134. The coolant 140 then flows downward through the outer passage 134, dissipating heat to an ambient medium 10 surrounding the outer shell 110. The ambient medium 10 may include gas, liquid, and/or a solid surrounding the outer shell, such as ambient air. The downward flow of the coolant 140 through the outer passage 134 may similarly be induced by convective flow of the coolant 140 as energy from the coolant 140 is transferred to the ambient medium 10. In particular, the coolant 140 within the outer passage 134 is positioned distal from the inner cavity 116 as compared to the coolant 140 within the inner passage 132, reducing the amount of heat absorbed by the coolant 140 within the outer passage 134 as compared to the inner passage 132. Further, the outer passage 134 is thermally coupled to the ambient medium 10 surrounding the outer shell 110, such that heat from the coolant 140 within the outer passage 134 is transferred to the ambient medium 10. As the coolant 140 transfers heat energy to the ambient medium 10, the temperature of the coolant 140 may decrease and the density of the coolant 140 may increase, causing the coolant 140 to move downward as a result of natural convection. Referring to FIG. 3C an enlarged view of the region 3C shown in FIG. 3A is schematically depicted. At the second end 104 of the outer shell 110, the outer passage 134 is in fluid communication with the inner passage 132 through a return passage 138. While only a portion of the return passage 138 is shown in FIG. 3C, it should be understood that the return passage 138 includes an annular shape that extends circumferentially around the outer shell 110. As the coolant 140 flows downward through the outer passage 134, the coolant 140 continues to dissipate heat to the ambient medium 10, thereby lowering the temperature of the coolant 140. Alternatively or additionally, the flow of the coolant 140 through the outer passage 134 may be induced, such as with a pump, and the pump may induce flow of the coolant 140 upward or downward in the vertical direction within the outer passage 134. When the coolant 140 reaches the second end 104, the coolant 140 flows radially inward through the return passage 138 toward the inner passage 132. In some embodiments, the return passage 138 may include an optional wick 142 that assists in moving coolant 140 from the outer passage 134 toward the inner passage 132, such as through capillary action. However, in other embodiments, convective flow of the coolant 140 alone induces movement of the coolant 140 radially inward through the return passage 138. Upon reaching the inner passage 132 through the return passage 138, the coolant 140 begins to flow upward again through the inner passage 132, again absorbing heat from the inner cavity 116. Referring to FIG. 4, the overall flow of coolant 140 through the cooling circuit 130 is schematically depicted. As described above, when the outer shell 110 is in a vertical orientation, the coolant 140 flows upward through the inner passageway 132 toward the first end 102, absorbing heat from the inner cavity 116, such as from spent fuel rods positioned within the inner cavity 116. As the coolant 140 flows upward, the coolant 140 reaches the first end 102 of the outer shell 110, and moves outward through the exit passage 136. After passing through the exit passage 136, the coolant 140 flows downward through the outer passage 134, and dissipates heat to the ambient medium 10. After cooling, the coolant 140 reaches the second end 104 and flows inward through the return passage 138 back to the inner passage 132 to again absorb heat from the inner cavity 116. The fuel storage cask 100 optionally includes the wick 142 positioned in the outer passage 134, the return passage 138, and/or the inner passage 132, and may assist in moving coolant 140 through the return passage 138 to the inner passage 132, for example, when the outer shell 110 is not in the vertical orientation. In embodiments, the coolant 140 may include a gas, a liquid, or a gas/liquid mix that absorbs heat from the inner cavity 116. For example, in some embodiments, the coolant 140 includes helium or the like. As the inner passage 132 and the outer passage 134 include annular shapes that extend circumferentially around the outer shell, the inner passage 132 and the outer passage 134 may assist in dissipating heat from the fuel storage cask 100. For example, as the inner passage 132 includes an annular shape that extends circumferentially around the inner perimeter 114 of the inner cavity 116, the inner passage 132 may fully encapsulate the inner cavity 116. As the inner passage 132 encapsulates the inner cavity 116, the amount of heat that may be transferred from the inner cavity 116 may be increased as the inner passage 132 may have a relatively high amount of surface area exposed to the inner cavity 116 as compared to an inner passage that does not fully encapsulate the inner perimeter 114. Similarly, in embodiments, the outer passage 134 includes an annular shape that extends circumferentially around the outer shell 110, which may increase the amount of heat that may be transferred from coolant 140 within the outer passage 134 to the ambient medium 10 as compared to an outer passage that does not have an annular shape that extends around the outer shell 110. Referring to FIG. 5, a front view of the lid 120 of the fuel storage cask 100 is schematically depicted. The lid 120 generally a cooling circuit 122, and the lid 120 optionally includes fins 115 positioned on top of the cooling circuit 122 and a heat sink 121 positioned below the cooling circuit 122. Referring to FIG. 6, a section view of the lid 120 of the fuel storage cask 100 along 6-6 is schematically depicted. In the embodiment depicted in FIG. 6, the lid 120 includes the lid cooling circuit 122 positioned above the heat sink 121. The heat sink 121 may include fins 115 that are configured to thermally couple the outer shell 110 (FIG. 4) to the lid cooling circuit 122, such as through conduction. The lid 120 may further include shielding 117 and a neutron absorber 124 positioned between the heat sink 121 and the cooling circuit 122. The shielding 117 and the neutron absorber 124 may prevent radiation from passing from the interior of the outer shell 110 (FIG. 4) to the cooling circuit 122. In some embodiments, the lid 120 may optionally include heat pipes positioned around a perimeter of the lid 120 to assist with the dissipation of heat. The lid cooling circuit 122 defines a vapor passage 123 that is in fluid communication with a lid outer passage 125. When installed to the outer shell 110, the vapor passage 123 is thermally coupled to the inner cavity 116 (FIG. 4) of the outer shell 110, while the lid outer passage 125 is thermally coupled to the ambient medium 10, and a coolant 140 is positioned within the vapor passage 123 and the lid outer passage 125. Similar to the cooling circuit 130 of the outer shell 110 (FIG. 4), the lid cooling circuit 122 assists in dissipating heat generated from spent fuel rods stored within the inner cavity 116 of the outer shell 110. Referring collectively to FIGS. 6 and 7, the section view of the lid and a section view of the lid with arrows showing the direction of flow of coolant 140 are depicted, respectively. The coolant 140 within the vapor passage 123 absorbs heat from the inner cavity 116 (FIG. 4) and flows upward, such as through convective flow. The coolant 140 then flows through a lid exit passage 127 that is positioned above the vapor passage 123. The coolant 140 then flows radially outward through lid exit passage 127 to the lid outer passage 125, and exchanges heat energy with the ambient medium 10. Similar to the upward flow of the coolant 140 through the vapor passage 123, the outward flow of the coolant 140 through the lid outer passage 125 may result from convective flow of the coolant 140. Alternatively or additionally, flow of the coolant 140 through the lid outer passage 125 and/or the vapor passage 123 may be induced by a pump that may induce flow of the coolant 140 in any suitable direction through lid cooling circuit 122. In some embodiments, the lid outer passage 125 and the lid exit passage 127 may include a wick or other porous surface that is configured to encourage coolant flow 140 through the lid outer passage 125 and the lid exit passage 127. As the coolant 140 flows through the lid outer passage 125 and exchanges heat with the ambient medium 10, the coolant 140 cools and flows downward to the vapor passage 123 through a lid return passage 129 that is positioned below the lid exit passage 127 and the vapor passage 123. Flowing through the lid return passage 129, the coolant 140 absorbs heat from the inner cavity 116 of the outer shell 110 and returns to the vapor passage 123. In some embodiments, the lid return passage 129 may include a wick or other porous surface that is configured to induce inward flow of the coolant 140 to the vapor passage 123. In this way, the lid cooling circuit 122 may assist in dissipating heat generated by spent nuclear fuel positioned within the inner cavity 116. Similar to the cooling circuit 130 of the outer shell (FIG. 4), the coolant 140 include a gas, a liquid, or a gas/liquid mix that absorbs heat from the inner cavity 116. For example, in some embodiments, the coolant 140 includes helium or the like. As described above, the lid 120 is coupled to a first end 102 of the outer shell 110, and when the outer shell 110 is positioned in a vertical orientation, the lid 120 is positioned proximate to the exit passage 136 (FIG. 4) of the outer shell 110. As the coolant 140 (FIG. 4) absorbs heat, the temperature of the coolant 140 rises, and the coolant 140 within the exit passage 136 may have a higher temperature than coolant 140 at other positions within the cooling circuit 130 (FIG. 4). Put another way, the coolant 140 (FIG. 4) may be at its hottest point within the cooling circuit 130 at the exit passage 136 at the first end 102 of the outer shell 110. Accordingly, by including a lid 120 including a cooling circuit 122, heat from the coolant 140 within the cooling circuit 130 of the outer shell 110 (FIG. 4), as well as heat generated from the inner cavity 116 of the outer shell 110 may be dissipated. Referring collectively to FIGS. 8 and 9, another embodiment of the fuel storage cask 100 is depicted. Similar to the embodiment depicted in FIG. 1 and described above, the fuel storage cask 100 includes the outer shell 110 having the first end 102 and the second end 104 positioned opposite the first end 102. The fuel storage cask 100 further includes the lid 120 coupled to the first end 102, and may include a second lid coupled to the second end 104 of the outer shell 110. Referring to FIG. 10, a section view of the fuel storage cask 100 is depicted along section 8-8 of FIG. 7. Similar to the embodiment described above with respect to FIG. 3A, the outer shell 110 includes a cooling circuit 130 that is positioned between the outer perimeter 112 of the outer shell 110 and the inner perimeter 114. The outer shell 110 includes shielding 117 that restricts and/or prevents radiation from spent nuclear fuel rods within the inner cavity 116 from passing through the outer shell 110. The shielding 117 may include various materials that restrict and/or prevent radiation from passing through the shielding, such as lead, iron, concrete or the like. The shielding may be positioned to encapsulate the inner cavity 116, such that shielding is positioned between the inner cavity 116 and the outer perimeter 112 of the outer shell 110, so as to prevent a “direct path,” or route for radiation emitted from within the inner cavity 116 to reach areas outside of the outer shell 110 without first passing through the shielding. In other words, shielding may be positioned throughout the outer shell 110 so as to fully encapsulate the inner cavity 116. Referring collectively to FIGS. 11 and 12, a section view of the outer shell 110 is depicted along section 9-9 and 10-10 of FIG. 9 is schematically depicted, respectively. In the configuration depicted in FIGS. 11 and 12, the outer shell 110 is oriented in a horizontal direction, such that the first end 102 and the second end 104 (FIG. 9) are similarly positioned in the vertical direction, as opposed to the first end 102 being positioned above the second end 104 in the vertical direction. The cooling circuit 130 includes the inner passage 132 that extends circumferentially around the inner perimeter 114 and includes a generally annular shape. Similarly, the cooling circuit 130 includes the outer passage 134 that extends circumferentially around the inner passage 132 and includes a generally annular shape. Similar to the embodiment described above and depicted in FIGS. 3A-3C, the shielding 117 is positioned between the inner passage 132 and the outer passage 134 and is positioned so as to prevent a “direct path,” or route for radiation emitted from within the inner cavity 116 to reach areas outside of the outer shell 110 without first passing through the shielding. Alternatively, the shielding 117 may be positioned outward of the outer passage 134 and/or inward of the inner passage 132 to prevent radiation emitted from within the inner cavity 116. The coolant 140 is positioned in the inner passage 132 and the outer passage 134 and assists in dissipating heat generated by spent fuel rods positioned within the inner cavity 116 of the outer shell 110. In particular, the inner passage 132 of the cooling circuit 130 is thermally coupled to the inner cavity 116 such that heat generated by spent fuel rods within the inner cavity 116 may be transferred to the inner passage 132, and more particularly to the coolant 140 within the inner passage 132. In the embodiment shown in FIGS. 9 and 10, the coolant 140 flows upward and circumferentially around the inner passage 132, where it absorbs heat energy from the inner cavity 116. The upward flow of the coolant 140 may be induced by convective flow of the coolant 140 resulting from the absorbed heat energy from the inner cavity 116. Alternatively or additionally, the flow of the coolant 140 through the inner passage 132 may be induced, such as with a pump, and the pump may induce flow of the coolant 140 upward or downward in the vertical direction within the inner passage 132. Upon reaching the top of the inner passage 132, the coolant 140 flows upward through the exit passage 136 toward the outer passage 134, which is in fluid communication with the inner passage 132 through the exit passage 136. While only a portion of the exit passage 136 is shown in FIGS. 11 and 12, it should be understood that the exit passage 136 extends along the length 106 (FIG. 8) of the outer shell 110. By including an exit passage 136 that extends along the length 106 (FIG. 8) of the outer shell 110, the exit passage 136 allows upward flow of the coolant 140 to the outer passage 134 from the inner passage 132 when the outer shell 110 is in the horizontal orientation as shown in FIGS. 11 and 12, as compared to the embodiment shown in FIG. 3B, in which the outer shell 110 is in the vertical orientation. Flowing upward through the exit passage 136, the coolant 140 then flows circumferentially around and downward through the outer passage 134, dissipating heat to the ambient medium 10 surrounding the outer shell 110. The ambient medium 10 may include gas, liquid, and/or a solid surrounding the outer shell, such as ambient air. The downward flow of the coolant 140 through the outer passage 134 may similarly be induced by convective flow of the coolant 140 as energy from the coolant 140 is transferred to the ambient medium 10. In particular, the coolant 140 within the outer passage 134 is positioned distal from the inner cavity 116 as compared to the coolant 140 within the inner passage 132, reducing the amount of heat absorbed by the coolant 140 within the outer passage 134 as compared to the inner passage 132. Further, the outer passage 134 is thermally coupled to the ambient medium 10 surrounding the outer shell 110, such that heat from the coolant 140 is transferred to the ambient medium 10. Alternatively or additionally, the flow of the coolant 140 through the outer passage 134 may be induced, such as with a pump, and the pump may induce flow of the coolant 140 upward or downward in the vertical direction within the outer passage 134. As the coolant 140 cools and flows downward and circumferentially around the outer passage 134, the coolant reaches the bottom of the outer passage 134. The coolant 140 then flows upward through the return passage 138 that is in fluid communication with the inner passage 132 and the outer passage 134. While only a portion of the return passage 138 is shown, it should be understood that the return passage 138 extends along the length 106 (FIG. 8) of the outer shell 110. By including a return passage 138 that extends along the length 106 (FIG. 8) of the outer shell 110, the return passage 138 allows upward flow of the coolant 140 to the inner passage 132 from the outer passage 134 when the outer shell 110 is in the horizontal orientation as shown in FIGS. 11 and 12, as compared to the embodiment shown in FIG. 3B, in which the outer shell 110 is in the vertical orientation. In some embodiments, the fuel storage cask 100 may include an optional wick that is positioned within the return passage 138, the outer passage 134, and/or the inner passage 132 to assist in moving the coolant 140 upward through the return passage 138 toward the inner passage 132. Referring to FIG. 13, a cross-section of the outer shell 110 is depicted showing the flow of the coolant 140 through the cooling circuit 130. As described above, when the outer shell 110 is in a horizontal orientation, the coolant 140 flows upward and circumferentially around the inner passageway 132 toward the exit passage 136, absorbing heat from the inner cavity 116, such as heat energy that may be generated from spent fuel rods positioned within the inner cavity 116. The coolant 140 then moves upward through the exit passage 136 toward the outer passage 134. After passing through the exit passage 136, the coolant 140 flows downward and circumferentially around the outer passage 134, and dissipates heat to the ambient medium 10. After cooling, the coolant 140 reaches the return passage 138 and flows upward back to the inner passage 132 to again absorb heat from the inner cavity 116. The fuel storage cask 100 optionally includes the wick 142 positioned in the outer passage 134, the return passage 138, and/or the inner passage 132, and the wick 142 may assist in moving coolant 140 through the return passage 138 to the inner passage 132. In embodiments, the coolant 140 may include a gas, a liquid, or a gas/liquid mix that absorbs heat from the inner cavity 116. For example, in some embodiments, the coolant 140 includes helium or the like. Referring to FIG. 14, another embodiment of the fuel storage cask 100 is schematically depicted. The fuel storage cask 100 includes the outer shell 110 with a cooling circuit 130, similar to the above-described embodiments. In the embodiment depicted in FIG. 14, a central cooling member 160 extends through the center of the outer shell 110. The central cooling member 160 provides cooling to the center of the inner cavity 116 (FIG. 13). Referring to FIG. 15, the outer shell 110 is shown in hidden lines for clarity. A basket 117 may optionally be positioned within the inner cavity 116, and may assist in aligning and storing spend fuel rods within the inner cavity 116. The central cooling member 160 extends through a center portion 113 of the inner cavity 116 and the central cooling member 160 may assist in dissipating heat from the center portion 113 of the inner cavity 116. As described above and as depicted in the embodiments shown in FIGS. 4 and 13, the cooling circuit 130 includes the inner passage 132 (FIG. 13) which is positioned around the inner perimeter 114, and acts to absorb heat from the inner cavity 116 around the inner perimeter 114. However, since the cooling circuits 130 depicted in FIGS. 4 and 13 extend around the inner perimeter 114 of the inner cavity 116, the cooling circuits 130 may have difficulty absorbing heat from the center portion 113 of the inner cavity 116, as the center portion 113 is positioned radially inward and distal from the inner perimeter 114. However, in the embodiment depicted in FIG. 15, the central cooling member 160 extends through the center portion 113 of the inner cavity 116 and absorbs heat from the center portion 113 of the inner cavity 116. Referring to FIG. 16, the central cooling member 160 is depicted in isolation. The central cooling member 160 includes one or more evaporator passages 162 that are in fluid communication with one or more condenser passages 164, and the coolant 140 is positioned within the evaporator passages 162 and the condenser passages 164. The evaporator passages 162 are thermally coupled to the inner cavity 116 (FIG. 15) of the outer shell 110, such that heat energy, such as may be generated by spent fuel rods positioned within the inner cavity 116, is transmitted to the evaporator passages 162, and more particularly to the coolant 140 positioned within the evaporator passages 162. As the coolant 140 within the evaporator passages 162 absorbs heat energy from the inner cavity 116 (FIG. 15), the coolant 140 flows upward through the evaporator passages 162, and outward to the condenser passages 164. The condenser passages 164 are thermally coupled to the ambient medium 10 (FIG. 15), and heat energy from the coolant 140 within the condenser passages 164 is dissipated to the ambient medium 10. As the coolant 140 within the condenser passages 164 dissipates heat, the coolant 140 cools and flows downward through the condenser passages 164 in the vertical direction, until the coolant reaches the bottom of the condenser passages 164. Upon reaching the bottom of the condenser passages 164, the coolant 140 flows inward toward towards the evaporator passages 162, and again absorbs heat from the inner cavity 116 (FIG. 15). The coolant 140 may move through the evaporator passages 162 and the condenser passages 164 through convective flow of the coolant 140 resulting from the absorption and dissipation of heat energy of the coolant 140. Alternatively or additionally, the flow of the coolant 140 through the evaporator passages 162 and the condenser passages 164 may be induced, such as with a pump, and the pump may induce flow of the coolant 140 upward or downward in the vertical direction within the evaporator passages 162 and the condenser passages 164. The central cooling member 160 may be used in conjunction with the cooling circuit 130 described above and depicted in FIGS. 4 and 11, which may assist in dissipating heat from both the inner perimeter 114 of the inner cavity 116 (FIG. 4), as well the center portion 113 of the inner cavity 116 (FIG. 15). In some embodiments, the evaporator passages 162 and/or the condenser passages 164 of the central cooling member 160 may be in fluid communication with the inner passage 132 and/or the outer passage 134 of the cooling circuit 130 described above and depicted in FIGS. 4 and 13. In other words, the coolant 140 within the central cooling member 160 may be in fluid communication with the coolant 140 within the cooling circuit 130 described above and depicted in FIGS. 4 and 13, such that the central cooling member 160 is integral with the cooling circuit 130. Alternatively, the central cooling member 160 may be separate and/or separable from the cooling circuit 130 (FIGS. 4, 13). In such embodiments, the central cooling member 160 may be in fluid communication with the cooling circuit 130, or may not be in fluid communication with the cooling circuit 130, and may be hermetically sealed, operating independently of the cooling circuit 130 described above and depicted in FIGS. 4 and 13. In some embodiments, the central cooling member 160 operate as a stand-alone cooling member 160, for example, in fuel storage casks that do not include a cooling circuit 130. Accordingly, it should now be understood that fuel storage casks according to the present disclosure include a cooling circuit that dissipates heat from an inner cavity of an outer shell of a fuel storage cask. The cooling circuit may be hermetically sealed and extend around an inner perimeter of the inner cavity of the fuel storage cask. Coolant within the cooling circuit flows through an inner passage that is thermally coupled the inner cavity of the fuel storage cask, and may absorb heat energy from spent fuel rods positioned within the inner cavity. The inner passage may include an annular shape that extends circumferentially around the inner cavity of the fuel storage cask to maximize the surface area of coolant exposed to the heat generated from within the inner cavity, which may assist in maximizing the amount of heat that may be absorbed by the coolant. The coolant flows from the inner passage to an outer passage of the cooling circuit, and the outer passage is thermally coupled to an ambient medium. The outer passage may include an annular shape that extends circumferentially around the outer shell of the fuel storage cask, which may maximize the surface area of coolant exposed to the ambient medium, which may assist in maximizing the amount of heat that may be dissipated to the ambient medium from the coolant. In this way, the cooling circuit forms a “closed loop” circuit for cooling the fuel storage cask that is not exclusively dependent on external airflow over the fuel storage cask to draw heat away from the fuel storage cask. By reducing the dependency on external airflow to cool the fuel storage cask, the cooling circuit may maintain the fuel storage cask at an operable temperature without requiring external vents to direct airflow, which may reduce maintenance and operating costs associated with the fuel storage cask and reduce risk of the fuel storage cask overheating in the instance of an external vent being blocked.
047626666
description
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS Reference will now be made on detail to the presently preferred embodiment of the invention, an example which is illustrated in the accompanying drawings. In FIG. 2, nozzle cap 40 and seal loading bolts 50 are essentially the same as those shown in FIG. 1. Interposed between the nozzle cap 40 and nozzle 10, however, is the housing 60 of an automatically closing swing gate closure assembly according to the present invention. This closure assembly includes an inlet 70, an outlet 80, and a through bore 90 which provides a path of fluid communication between the inlet 70 and outlet 80. The housing 60 also defines an upper chamber 100 disposed above the through bore 90. This upper chamber 100 is in fluid communication with inlet 70 through orifice 110. Orifice 110 is located in swing gate 120. Swing gate 120 includes an upper planar surface 130 which defines a bottom surface for upper chamber 100. It also includes an arcuate surface 140 facing outlet 80 and a concave surface 150 facing the inlet 70. In the embodiment shown in FIG. 2, the concave surface 150 is partially convolute to form an upward projection 155. The convolution is about an axis parallel to the pivot axis of the swing gate 120. As will be explained more fully below, the flow in the area under swing gate 120 while it is closing is largely vortexing. The concave surface 150 establishes boundary conditions tending to support such flow. The swing gate is arranged to pivot about a pivot 160 arranged on a horizontal axis above through bore 90, adjacent inlet 70, and perpendicular to a central axis of through bore 90. As will be described in more detail below, the center of curvature of arcuate surface 140 is displaced from pivot 160. The inside of the closure assembly housing includes a seat 170 which receives the surface 140 of swing gate 120. Seat 170 may be in the form of an insert, as shown. The sealing surfaces of the closure assembly's gate and seat 170 are preferably precision fit with metal-to-metal arc contact. Sleeve 180 is upstream from seat 170. The arrangement shown in FIG. 2 also includes a locking plug or plunger 175 which is received in an aperture in swing gate 120 and locks it in the position in FIG. 2. It also includes a nozzle seal 190, a collar 200, an access cover 210, an omega seal 220, and attachment bolts 230. Shown in FIG. 3 is a fuel charge 240 passing out of nozzle 10 and just encountering swing gate 120. Swing gate 120, however, cannot be moved into the position shown in phantom due to the engagement of locking plunger 175 therewith. FIG. 4 is an end-on view of the arrangement of FIG. 3. The right hand side of FIG. 4 is along crooked line BB of FIG. 3, i.e., the line passing through the access cover 210 and upper chamber 100, veering right through the pivot 160, and then veering back left to pass through seat 170. The left hand side of FIG. 4 is a view taken along line CC in FIG. 3. Considered as a whole, FIG. 4 thus shows the upper access cover 210, omega seals 220 and the placement of attachment bolts 230. FIG. 4 also shows the relative vertical positions and dimensions of upper chamber 100 and pivot 160. The left hand side shows web 250 of swing gate 120. Web 250 imparts structural rigidity to swing gate 120 and is also the element which engages a fuel spacer entering through bore 90 and transmits the force from the fuel charge which moves or "cams" swing gate 120 out of through bore 90. This function requires that web 250 have a continuous edge running from the top right hand part to the bottom left hand part through bore 90 under swing gate 120. In the presently preferred embodiment, web 250 has a simple linear edge. It will be apparent, however, that other shapes could be used to obtain satisfactory results. FIG. 5 is in essence a partially broken away plan view of the embodiment of FIG. 3. The relative lengthwise positioning of elements is visible in FIG. 5. It can be seen in FIG. 5 that web 250 is centrally disposed lengthwise on swing gate 120. After the locking plunger has been disengaged from the swing gate, the swing gate is free to move to its upper position in the upper chamber, as shown in FIG. 6. Also as shown in FIG. 6, the swing gate center of curvature 260 is preferably displaced upward from the axis of horizontal pivot 160. This is advantageous in that the motion of the gate does not become obstructed by particulate contaminants, and in that the gate, when in the lower position, forms a superior seal with minimal scrubbing. FIG. 7 is included to show the position of the locking plunger just before it enters swing gate 120, or just after it has left it. As can be seen, seal loading bolts 50 in the swing gate closure assembly according the present invention are used to load the locking plunger 175 and keep it securely engaged with swing gate 120. FIG. 8 shows the swing gate closure assembly according to the present invention as part of an overall tip off assembly, including tip off chute 270. Fuel elements and spacers comprising a fuel charge discharged from nozzle 10 pass through the swing gate closure assembly, through the chute, and into a proper receptacle. FIG. 9 is a schematic diagram included for the purposes of illustrating the application and positioning of a swing gate closure assembly according to the present invention in a nuclear reactor. The nuclear reactor shown in FIG. 9 includes a process area 280. Inside process area 280 is a process tube 290. Water is introduced into one end of the process tube, and flows through the other, to set up a flow within the tube. This flow tends to drive a fuel charge contained in the process tube 290 out through either one of the closure assembly bodies 60. As mentioned above, the primary function of the closure assembly is to provide a pressure boundary seal during reactor operation, and to prevent the spray of radioactive water during the fuel charging process. During the low pressure reactor refueling mode, nozzle cap 40 and locking plunger 175 may be manually removed from the closure assembly. The swing gate 120 preferably remains tightly closed until cammed open by the chain action of a new fuel charge. After the last fuel spacer of the fuel charge has exited the tube, the gate automatically closes against a pressure head of up to 30 psig. This automatic closing feature permits maintenance operations to be performed without subjecting personnel to radioactive water spray. As mentioned above, the pivot point for the gate's rotation is located upstream from the seating surface and offset from the common arc construction centers. This geometry allows the gate 120 to separate from its seat 170 during opening and to operate without jamming in the presence of foreign particle build up, and to engage the seat 170 during closing with a minimum amount of scrubbing. The offset also provides a hydraulic torque on the gate which is necessary for final closing as well as for maintaining a static force and thus sealing. FIG. 10 shows dynamic flow patterns in a swing gate closure assembly according to the present invention. A unique feature is that gate 120 can swing closed against a flow stream. In essence, the swing gate and upper chamber together are analogous in operation to the operation of a piston in a cylinder. The driving forces that initiate and sustain automatic closing are derived from a combination of the gate's weight and hydraulic forces that act on the gate. The hydraulic forces are developed by a vortex flow pattern underneath the swing gate 120 and fed by the flow stream. This vortex tends to expel fluid into upper chamber 100, thus developing pressure therein which pushes downward against upper planar surface 130. These forces tend to accelerate the gate at a continuous rate until the gate is almost closed. The closing motion of the gate increases the volume of the upper chamber above the gate and tends to create a negative pressure therein. The pressure drop across orifice 110 is controlled by selecting the size of orifice 110 to provide the damping which is required to slow the gate to a desired closing speed. An excessively fast closure of the gate would not only damage closure assembly components, but would also induce a tremendous water hammer pressure surge that would potentially damage upstream piping components. Thus, closure is effected rapidly and reliably through the controlled interaction of at least three effects. First, the offset of the center of hydraulic pressure at 260 from the pivot center 160 creates a closing force. Second, the pressure drop across the top surface of swing piston 130, as regulated by orifice 110, results in a force which urges the gate closed. Finally, the weight of gate 120 also urges the gate into the closed position, assuming, as will normally be the case, that the closure assembly is oriented as shown in the drawings. The upward projection 155 on the center of the web of concave surface of swing gate 120 is included to engage a maintenance tool used to close gate 120 if it should ever stick open. The present invention has been described above in terms of at least one preferred embodiment. These embodiments have been described in detail merely to provide an understanding of the invention. The invention itself, however, transcends these particular embodiments, so that many departures from and modifications to these embodiments are possible without departing from the scope of the invention. The invention should therefore not be regarded as limited to the foregoing embodiments, but instead should be regarded as being fully commensurate in scope with the following claims.
summary
description
The present application for patent claims priority under 35 U.S.C. § 119 from U.S. provisional patent application Ser. No. 62/326,648, filed Apr. 22, 2016, the subject matter of which is incorporated herein by reference in its entirety. The described embodiments relate to x-ray metrology systems and methods, and more particularly to methods and systems for improved measurement accuracy. Semiconductor devices such as logic and memory devices are typically fabricated by a sequence of processing steps applied to a specimen. The various features and multiple structural levels of the semiconductor devices are formed by these processing steps. For example, lithography among others is one semiconductor fabrication process that involves generating a pattern on a semiconductor wafer. Additional examples of semiconductor fabrication processes include, but are not limited to, chemical-mechanical polishing, etch, deposition, and ion implantation. Multiple semiconductor devices may be fabricated on a single semiconductor wafer and then separated into individual semiconductor devices. Metrology processes are used at various steps during a semiconductor manufacturing process to detect defects on wafers to promote higher yield. A number of metrology based techniques including scatterometry and reflectometry implementations and associated analysis algorithms are commonly used to characterize critical dimensions, film thicknesses, composition and other parameters of nanoscale structures. Traditionally, scatterometry critical dimension measurements are performed on targets consisting of thin films and/or repeated periodic structures. During device fabrication, these films and periodic structures typically represent the actual device geometry and material structure or an intermediate design. As devices (e.g., logic and memory devices) move toward smaller nanometer-scale dimensions, characterization becomes more difficult. Devices incorporating complex three-dimensional geometry and materials with diverse physical properties contribute to characterization difficulty. For example, modern memory structures are often high-aspect ratio, three-dimensional structures that make it difficult for optical radiation to penetrate to the bottom layers. Optical metrology tools utilizing infrared to visible light can penetrate many layers of translucent materials, but longer wavelengths that provide good depth of penetration do not provide sufficient sensitivity to small anomalies. In addition, the increasing number of parameters required to characterize complex structures (e.g., FinFETs), leads to increasing parameter correlation. As a result, the parameters characterizing the target often cannot be reliably decoupled with available measurements. In one example, longer wavelengths (e.g. near infrared) have been employed in an attempt to overcome penetration issues for 3D FLASH devices that utilize polysilicon as one of the alternating materials in the stack. However, the mirror like structure of 3D FLASH intrinsically causes decreasing light intensity as the illumination propagates deeper into the film stack. This causes sensitivity loss and correlation issues at depth. In this scenario, SCD is only able to successfully extract a reduced set of metrology dimensions with high sensitivity and low correlation. In another example, opaque, high-k materials are increasingly employed in modern semiconductor structures. Optical radiation is often unable to penetrate layers constructed of these materials. As a result, measurements with thin-film scatterometry tools such as ellipsometers or reflectometers are becoming increasingly challenging. In response to these challenges, more complex optical metrology tools have been developed. For example, tools with multiple angles of illumination, shorter illumination wavelengths, broader ranges of illumination wavelengths, and more complete information acquisition from reflected signals (e.g., measuring multiple Mueller matrix elements in addition to the more conventional reflectivity or ellipsometric signals) have been developed. However, these approaches have not reliably overcome fundamental challenges associated with measurement of many advanced targets (e.g., complex 3D structures, structures smaller than 10 nm, structures employing opaque materials) and measurement applications (e.g., line edge roughness and line width roughness measurements). Atomic force microscopes (AFM) and scanning-tunneling microscopes (STM) are able to achieve atomic resolution, but they can only probe the surface of the specimen. In addition, AFM and STM microscopes require long scanning times. Scanning electron microscopes (SEM) achieve intermediate resolution levels, but are unable to penetrate structures to sufficient depth. Thus, high-aspect ratio holes are not characterized well. In addition, the required charging of the specimen has an adverse effect on imaging performance. X-ray reflectometers also suffer from penetration issues that limit their effectiveness when measuring high aspect ratio structures. To overcome penetration depth issues, traditional imaging techniques such as TEM, SEM etc., are employed with destructive sample preparation techniques such as focused ion beam (FIB) machining, ion milling, blanket or selective etching, etc. For example, transmission electron microscopes (TEM) achieve high resolution levels and are able to probe arbitrary depths, but TEM requires destructive sectioning of the specimen. Several iterations of material removal and measurement generally provide the information required to measure the critical metrology parameters throughout a three dimensional structure. But, these techniques require sample destruction and lengthy process times. The complexity and time to complete these types of measurements introduces large inaccuracies due to drift of etching and metrology steps. In addition, these techniques require numerous iterations which introduce registration errors. Transmission, Small-Angle X-Ray Scatterometry (T-SAXS) systems have shown promise to address challenging measurement applications. Current T-SAXS tools employ a beam forming slits to form the illumination beam incident on the specimen under measurement. A beam divergence shaping slit is located in the beam path before or after the focusing optics to define the divergence angle of the beam. A beam shaping slit is located in the beam path after the beam divergence shaping slit to define the size of the beam spot incident on the wafer. Unfortunately, available x-ray sources have a finite dimension in directions orthogonal to the direction of beam propagation. Due to finite source size, the beam spot incident on the specimen will be defined by the size of the beam shaping slit and the angular dimension of the source from the optics (e.g., focusing optics, collimating optics, etc.). For example, the size of the image of an x-ray source in a focal plane of an optical system is defined by its actual size and the magnification of the optics. The magnification of the optics is the ratio of the distance from the focusing optic to the image and the distance from the focusing optic to the source. In addition, slope and figure errors of the focusing optics will further increase the beam spot size. Current systems do not meet the requirements for measurements of metrology targets located in scribe lines where a beam spot size of 50 micrometers, or less, is required. To address this problem, it is possible to reduce the size of the beam shaping slit. However, this results in a dramatic reduction of photon flux, which makes renders the measurements ineffective. Furthermore, reducing the size of the beam shaping slit does not completely solve the problem because beam divergence always contributes to beam spread at the point of incidence with the specimen under measurement. For example, in typical T-SAXS systems the beam shaping slit is more than 250 millimeters from the surface of specimen under measurement. For typical beam divergence present in these systems, a beam spot size of 30-40 micrometers is expected even if the size of the beam shaping slit were infinitesimally small. Of course, this arrangement is impractical because an infinitesimally small amount of illumination would be projected onto the specimen if a measurement system were configured in such a manner. The impact of beam divergence on beam spot size can be reduced by locating the beam shaping slit closer to the specimen. However, in current practice, this has not been achieved for T-SAXS systems. An effective T-SAXS metrology system performs measurements of a specimen oriented at different angles of incidence with respect to the incoming beam. In other words, the specimen is tilted with respect to the incoming beam such that a surface normal of the specimen is oriented from the beam axis of the illumination beam by as much as 30 degrees, or more. Under these conditions, traditional beam shaping slits mechanically interfere with the specimen if they are not spaced apart from the specimen by a significant distance. In current systems implemented by KLA-Tencor Corporation, the distance between the beam shaping slit and the specimen under measurement is 260 millimeters. U.S. Pat. No. 7,406,153 describes a grazing incidence tool which employs knife edge beam blocks in close proximity to the specimen under measurement. However, the disclosed beam blocks are only functional in the context of a grazing incidence tool, not a T-SAXS tool where normal illumination, or illumination at angles up to 50 degrees from normal are required. To further improve device performance, the semiconductor industry continues to focus on vertical integration, rather than lateral scaling. Thus, accurate measurement of complex, fully three dimensional structures is crucial to ensure viability and continued scaling improvements. Future metrology applications present challenges for metrology due to increasingly small resolution requirements, multi-parameter correlation, increasingly complex geometric structures including high aspect ratio structures, and increasing use of opaque materials. Thus, methods and systems for improved T-SAXS measurements are desired. Methods and systems for reducing the effect of finite source size on illumination beam spot size for Transmission, Small-Angle X-ray Scatterometry (T-SAXS) measurements are described herein. In one aspect, a beam shaping slit is located in close proximity to the specimen under measurement. The beam shaping slit has a slender profile that does not interfere with wafer stage components even at large angles of incidence (e.g., angles of incidence up to 60 degrees) of the T-SAXS measurement system. By locating the beam shaping slit in close proximity to the specimen, the effect of beam divergence on beam spot size is minimized. In addition, beam placement accuracy on a metrology target is improved because the beam profile of the incident beam spot is sharply defined with minimal shadow zones at the edges. In some embodiments, a beam shaping slit mechanism includes multiple, independently actuated beam shaping slits. In one embodiment, four independently actuated beam shaping slits are employed to effectively block a portion of an incoming x-ray beam and generate an output beam having a box shaped illumination cross-section. In a further aspect, each of the slits of a beam shaping slit mechanism are located at different distances from the surface of specimen along the beam axis. In this manner, the slits spatially overlap as viewed along the beam axis without mechanical interference. In another further aspect, a beam shaping slit mechanism is configured to rotate about the beam axis in coordination with the orientation of the specimen to optimize the profile of the incident beam for each angle of incidence, azimuth angle, or both. In this manner, the beam shape is matched to the shape of the metrology target. In another aspect, the measurement quality and performance of a T-SAXS system is estimated based on properties of the measured zero order beam. The measured properties of the zero order beam include, but are not limited to beam shape, intensity, location, profile, tilt, rotation, asymmetry, or any combination thereof. In a further aspect, the measurement quality and performance of the metrology system is controlled based on the measured zero order beam. In some examples, the estimates of measurement quality and performance described hereinbefore are provided as input to a feedback controller. The feedback controller communicates control commands that result in changes in state of one or more elements of the metrology system that improves measurement system quality and performance. In another further aspect, a metrology system is configured to generate a structural model (e.g., geometric model, material model, or combined geometric and material model) of a measured structure of a specimen, generate a T-SAXS response model that includes at least one geometric parameter from the structural model, and resolve at least one specimen parameter value by performing a fitting analysis of measurement data with the response model. In this manner, a comparison of simulated T-SAXS signals with measured data enables the determination of geometric as well as material properties such as electron density and elemental identification and composition of the sample. In a further aspect, an initial estimate of values of one or more parameters of interest is determined based on T-SAXS measurements performed at a single orientation of the incident x-ray beam with respect to the measurement target. The initial, estimated values are implemented as the starting values of the parameters of interest for a regression of the measurement model with measurement data collected from measurements at multiple orientations. In this manner, a close estimate of a parameter of interest is determined with a relatively small amount of computational effort, and by implementing this close estimate as the starting point for a regression over a much larger data set, a refined estimate of the parameter of interest is obtained with less overall computational effort. In a further aspect, T-SAXS measurement data is used to generate an image of a measured structure based on the measured intensities of the detected diffraction orders. In some embodiments, a response function model is generalized to describe the scattering from a generic electron density mesh. Matching this model to the measured signals, while constraining the modelled electron densities in this mesh to enforce continuity and sparse edges, provides a three dimensional image of the sample. The foregoing is a summary and thus contains, by necessity, simplifications, generalizations and omissions of detail; consequently, those skilled in the art will appreciate that the summary is illustrative only and is not limiting in any way. Other aspects, inventive features, and advantages of the devices and/or processes described herein will become apparent in the non-limiting detailed description set forth herein. Reference will now be made in detail to background examples and some embodiments of the invention, examples of which are illustrated in the accompanying drawings. Methods and systems for reducing the effect of beam divergence defined by finite source size on illumination beam spot size for Transmission, Small-Angle X-ray Scatterometry (T-SAXS) measurements are described herein. Practical T-SAXS measurements in a semiconductor manufacturing environment require measurements over a large range of angles of incidence with respect to the surface of a specimen (e.g., semiconductor wafer) and small beam spot size (e.g., less than 50 micrometers across the effective illumination spot). In one aspect, a beam shaping slit is located in close proximity to the specimen under measurement (i.e., less than 100 millimeters). The beam shaping slit has a slender profile that does not interfere with wafer stage components over the full operating range of angles of incidence of the T-SAXS measurement system (e.g., angles of incidence up to 60 degrees). By locating the beam shaping slit in close proximity to the specimen, the effect of beam divergence on beam spot size is minimized. In addition, beam placement accuracy on a metrology target is improved because the beam profile of the incident beam spot is sharply defined with minimal shadow zones at the edges. In some embodiments, the metrology target characterized by T-SAXS measurements as described herein is located within a scribe line of the wafer under measurement. In these embodiments, the metrology target is sized to fit within the width of the scribe line. In some examples, the scribe line width is less than eighty micrometers. In some examples, the scribe line is less than fifty micrometers. In general, the width of the scribe lines employed in semiconductor manufacturing is trending smaller. In some embodiments, the metrology target characterized T-SAXS measurements as described herein is located within an active die area of the wafer under measurement and is a part of a functional integrated circuit (e.g., memory, image sensor, logic device, etc.). In general, it is preferred that the illumination beam spot size closely match the lateral dimensions of the metrology target under measurement to minimize contamination signals from structures surrounding the metrology target under measurement. In some embodiments, the metrology target under measurement is less than 50 micrometers in any lateral dimension. In some embodiments, the metrology target under measurement is less than 30 micrometers in any lateral dimension. In some embodiments, the beam spot size is less than 50 micrometers. In some embodiments, the beam spot size is less than 30 nanometers. This enables controlled illumination of a metrology target located within a scribe line. FIG. 1 illustrates an embodiment of a T-SAXS metrology tool 100 for measuring characteristics of a specimen in accordance with the exemplary methods presented herein. As shown in FIG. 1, the system 100 may be used to perform T-SAXS measurements over an inspection area 102 of a specimen 101 illuminated by an illumination beam spot. In the depicted embodiment, metrology tool 100 includes an x-ray illumination source 110 configured to generate x-ray radiation suitable for T-SAXS measurements. In some embodiments, the x-ray illumination system 110 is configured to generate wavelengths between 0.01 nanometers and 1 nanometer. In general, any suitable high-brightness x-ray illumination source capable of generating high brightness x-rays at flux levels sufficient to enable high-throughput, inline metrology may be contemplated to supply x-ray illumination for T-SAXS measurements. In some embodiments, an x-ray source includes a tunable monochromator that enables the x-ray source to deliver x-ray radiation at different, selectable wavelengths. In some embodiments, one or more x-ray sources emitting radiation with photon energy greater than 15 keV are employed to ensure that the x-ray source supplies light at wavelengths that allow sufficient transmission through the entire device as well as the wafer substrate. By way of non-limiting example, any of a particle accelerator source, a liquid anode source, a rotating anode source, a stationary, solid anode source, a microfocus source, a microfocus rotating anode source, and an inverse Compton source may be employed as x-ray source 110. In one example, an inverse Compton source available from Lyncean Technologies, Inc., Palo Alto, Calif. (USA) may be contemplated. Inverse Compton sources have an additional advantage of being able to produce x-rays over a range of photon energies, thereby enabling the x-ray source to deliver x-ray radiation at different, selectable wavelengths. Exemplary x-ray sources include electron beam sources configured to bombard solid or liquid targets to stimulate x-ray radiation. Methods and systems for generating high brightness, liquid metal x-ray illumination are described in U.S. Pat. No. 7,929,667, issued on Apr. 19, 2011, to KLA-Tencor Corp., the entirety of which is incorporated herein by reference. X-ray illumination source 110 produces x-ray emission over a source area having finite lateral dimensions (i.e., non-zero dimensions orthogonal to the beam axis. Focusing optics 111 focuses source radiation onto a target. The finite lateral source dimension results in finite spot size 102 on the target 101 defined by the rays 117 coming from the edges of the source. In some embodiments, focusing optics 111 includes elliptically shaped focusing optical elements. A beam divergence control slit 112 is located in the beam path between focusing optics 111 and beam shaping slit mechanism 120. Beam divergence control slit 112 limits the divergence of the illumination provided to the specimen under measurement. An additional intermediate slit 113 is located in the beam path between beam divergence control slit 112 and beam shaping slit mechanism 120. Intermediate slit 113 provides additional beam shaping. In general, however, intermediate slit 113 is optional. Beam shaping slit mechanism 120 is located in the beam path immediately before specimen 101. In one aspect, the slits of beam shaping slit mechanism 120 are located in close proximity to specimen 101 to minimize the enlargement of the incident beam spot size due to beam divergence defined by finite source size. In some embodiments, the slits of beam shaping slit mechanism 120 are located within 50 millimeters of the location of beam incidence with specimen 101. In some embodiments, the slits of beam shaping slit mechanism 120 are located within 30 millimeters of the location of beam incidence with specimen 101. In some embodiments, the slits of beam shaping slit mechanism 120 are located within 10 millimeters of the location of beam incidence with specimen 101. In one example, expansion of the beam spot size due to shadow created by finite source size is approximately one micrometer for a 10 micrometer x-ray source size and a distance of 25 millimeters between the beam shaping slits and specimen 101. The beam shaping slit mechanism 120 is shaped such that there is no mechanical interference with specimen positioning system 140 when specimen 101 is oriented over a broad range of angles of incidence. In some embodiments, the illumination beam is provided to the surface of specimen 101 at an angle of incidence that varies as much as 60 degrees from normal incidence. FIG. 2 depicts T-SAXS system 100 when specimen positioning system 140 positions specimen 101 at a non-normal angle of incidence with respect to the beam of incident illumination light. For purposes of illustration, the light scattered from specimen 101 is not illustrated in FIG. 2. As illustrated in FIG. 2, a surface normal of specimen 101 is oriented at an angle, a, with respect to the beam axis of the incident illumination light. In some embodiments, beam shaping slit mechanism 120 is shaped such that there is no mechanical interference with specimen positioning system 140 when specimen 101 is oriented at an angle, α, of 20 degrees, or more. In some embodiments, beam shaping slit mechanism 120 is shaped such that there is no mechanical interference with specimen positioning system 140 when specimen 101 is oriented at an angle, α, of 40 degrees, or more. In some embodiments, beam shaping slit mechanism 120 is shaped such that there is no mechanical interference with specimen positioning system 140 when specimen 101 is oriented at an angle, α, of 60 degrees, or more. In general, x-ray optics shape and direct x-ray radiation to specimen 101. In some examples, the x-ray optics include an x-ray monochromator to monochromatize the x-ray beam that is incident on the specimen 101. In one example, a crystal monochromator such as a Loxley-Tanner-Bowen monochromator is employed to monochromatize the beam of x-ray radiation. In some examples, the x-ray optics collimate or focus the x-ray beam onto inspection area 102 of specimen 101 to less than 1 milliradian divergence using multilayer x-ray optics. In these examples, the multilayer x-ray optics function as a beam monochromator, also. In some embodiments, the x-ray optics include one or more x-ray collimating mirrors, x-ray apertures, x-ray beam stops, refractive x-ray optics, diffractive optics such as zone plates, Montel optics, specular x-ray optics such as grazing incidence ellipsoidal mirrors, polycapillary optics such as hollow capillary x-ray waveguides, multilayer optics or systems, or any combination thereof. Further details are described in U.S. Patent Publication No. 2015/0110249, the content of which is incorporated herein by reference it its entirety. X-ray detector 119 collects x-ray radiation 114 scattered from specimen 101 and generates an output signals 135 indicative of properties of specimen 101 that are sensitive to the incident x-ray radiation in accordance with a T-SAXS measurement modality. In some embodiments, scattered x-rays 114 are collected by x-ray detector 119 while specimen positioning system 140 locates and orients specimen 101 to produce angularly resolved scattered x-rays. In some embodiments, a T-SAXS system includes one or more photon counting detectors with high dynamic range (e.g., greater than 105) and thick, highly absorptive crystal substrates that absorb the direct beam (i.e., zero order beam) without damage and with minimal parasitic backscattering. In some embodiments, a single photon counting detector detects the position and number of detected photons. In some embodiments, the x-ray detector resolves one or more x-ray photon energies and produces signals for each x-ray energy component indicative of properties of the specimen. In some embodiments, the x-ray detector 119 includes any of a CCD array, a microchannel plate, a photodiode array, a microstrip proportional counter, a gas filled proportional counter, a scintillator, or a fluorescent material. In this manner the X-ray photon interactions within the detector are discriminated by energy in addition to pixel location and number of counts. In some embodiments, the X-ray photon interactions are discriminated by comparing the energy of the X-ray photon interaction with a predetermined upper threshold value and a predetermined lower threshold value. In one embodiment, this information is communicated to computing system 130 via output signals 135 for further processing and storage. In a further aspect, a T-SAXS system is employed to determine properties of a specimen (e.g., structural parameter values) based on one or more diffraction orders of scattered light. As depicted in FIG. 1, metrology tool 100 includes a computing system 130 employed to acquire signals 135 generated by detector 119 and determine properties of the specimen based at least in part on the acquired signals. In some examples, metrology based on T-SAXS involves determining the dimensions of the sample by the inverse solution of a pre-determined measurement model with the measured data. The measurement model includes a few (on the order of ten) adjustable parameters and is representative of the geometry and optical properties of the specimen and the optical properties of the measurement system. The method of inverse solve includes, but is not limited to, model based regression, tomography, machine learning, or any combination thereof. In this manner, target profile parameters are estimated by solving for values of a parameterized measurement model that minimize errors between the measured scattered x-ray intensities and modeled results. In a further aspect, T-SAXS measurements are performed over a range of angles of incidence that provide sufficient resolution and depth of penetration to characterize high aspect ratio structures through their entire depth. In some embodiments, it is desirable to perform measurements at different orientations described by rotations about the x and y axes indicated by coordinate system 146 depicted in FIG. 1. This increases the precision and accuracy of measured parameters and reduces correlations among parameters by extending the number and diversity of data sets available for analysis to include a variety of large-angle, out of plane orientations. Measuring specimen parameters with a deeper, more diverse data set also reduces correlations among parameters and improves measurement accuracy. For example, in a normal orientation, T-SAXS is able to resolve the critical dimension of a feature, but is largely insensitive to sidewall angle and height of a feature. However, by collecting measurement data over a broad range of out of plane angular positions, the sidewall angle and height of a feature can be resolved. Measurements of the intensity of diffracted radiation as a function of x-ray incidence angle relative to the wafer surface normal are collected. Information contained in the multiple diffraction orders is typically unique between each model parameter under consideration. Thus, x-ray scattering yields estimation results for values of parameters of interest with small errors and reduced parameter correlation. As illustrated in FIG. 1, metrology tool 100 includes a specimen positioning system 140 configured to both align specimen 101 and orient specimen 101 over a large range of angles of incidence with respect the beam axis of the scatterometer. In other words, specimen positioning system 140 is configured to rotate specimen 101 over a large angular range about one or more axes of rotation aligned in-plane with the surface of specimen 101. In some embodiments, specimen positioning system 140 is configured to rotate specimen 101 within a range of at least 120 degrees about one or more axes of rotation aligned in-plane with the surface of specimen 101. In some embodiments, specimen positioning system is configured to rotate specimen 101 within a range of at least 90 degrees about one or more axes of rotation aligned in-plane with the surface of specimen 101. In this manner, angle resolved measurements of specimen 101 are collected by metrology system 100 over any number of locations on the surface of specimen 101. In one example, computing system 130 communicates command signals to motion controller 145 of specimen positioning system 140 that indicate the desired position of specimen 101. In response, motion controller 145 generates command signals to the various actuators of specimen positioning system 140 to achieve the desired positioning of specimen 101. By way of non-limiting example, as illustrated in FIG. 1, specimen positioning system 140 includes an edge grip chuck 141 to fixedly attach specimen 101 to specimen positioning system 140. A rotational actuator 142 is configured to rotate edge grip chuck 141 and the attached specimen 101 with respect to a perimeter frame 143. In the depicted embodiment, rotational actuator 142 is configured to rotate specimen 101 about the x-axis of the coordinate system 146 illustrated in FIG. 1. As depicted in FIG. 1, a rotation of specimen 101 about the z-axis is an in plane rotation of specimen 101. Rotations about the x-axis and the y-axis (not shown) are out of plane rotations of specimen 101 that effectively tilt the surface of the specimen with respect to the scatterometry elements of metrology system 100. Although it is not illustrated, a second rotational actuator is configured to rotate specimen 101 about the y-axis. A linear actuator 144 is configured to translate perimeter frame 143 in the x-direction. Another linear actuator (not shown) is configured to translate perimeter frame 143 in the y-direction. In this manner, every location on the surface of specimen 101 is available for measurement over a range of out of plane angular positions. For example, in one embodiment, a location of specimen 101 is measured over several angular increments within a range of −45 degrees to +45 degrees with respect to the normal orientation of specimen 101. In general, specimen positioning system 140 may include any suitable combination of mechanical elements to achieve the desired linear and angular positioning performance, including, but not limited to goniometer stages, hexapod stages, angular stages, and linear stages. In general, the focal plane of the illumination optics system is optimized for each measurement application. In this manner, system 100 is configured to locate the focal plane at various depths within the specimen depending on the measurement application. In one example, the specimen positioning system 140 is configured to move specimen 101 in the z-direction to locate the wafer within the focal plane of the optical system at the desired depth within specimen 101. FIG. 3 depicts an embodiment of beam shaping slit mechanism 120 in at least one novel aspect. Beam shaping slit mechanism 120 includes multiple, independently actuated beam shaping slits. In the embodiment depicted in FIG. 3, beams shaping slit mechanism 120 includes four independently actuated beam shaping slits 126-129. These four beams shaping slits effectively block a portion of incoming beam 115 and generate an output beam having a box shaped illumination cross-section. FIG. 5 depicts a top-down view of beam shaping slit mechanism 120 depicted in FIG. 3. As illustrated in FIGS. 5 and 6, the beam axis is perpendicular to the drawing page. As depicted in FIG. 5, incoming beam 115 has a large cross-section. In some embodiments, incoming beam 115 has a diameter of approximately one millimeter. Furthermore, the location of incoming beam 115 within slits 126-129 may have an uncertainty of approximately three millimeters due to beam pointing errors. To accommodate the size of the incoming beam and the uncertainty of the beam location, each slit has a length, L, of approximately six millimeters. As depicted in FIG. 5, each slit is moveable in a direction perpendicular to the beam axis. In the illustration of FIG. 5, slits 126-129 are located at a maximum distance from the beam axis (i.e., the slits are fully open and they are not restricting the light passing through beam shaping slit mechanism 120. FIG. 6 depicts slits 126-129 of beam shaping slit mechanism 120 in positions that block a portion of incoming beam 115, such that outgoing beam 116 delivered to the specimen under measurement has reduced size and well-defined shape. As depicted in FIG. 6, each of slits 126-129 has moved inward, toward the beam axis to achieve the desired output beam shape. As depicted in FIG. 3, by way of example, slit 126 is coupled to a slender pivot arm structure 125. Pivot arm structure 125 pivots about pivot joint 124 causing slit 126 to move in a direction perpendicular to the beam axis as described with reference to FIGS. 5 and 6. An actuator 123 positions pivot arm structure 125 and slit 126 by rotating pivot arm structure 125 and slit 126 about pivot joint 124. In the embodiment depicted in FIG. 3, actuator 123 is a linear, piezoelectric actuator that provides high stiffness positioning capability. In some embodiments, actuator 123 positions slit 126 in a direction perpendicular to the beam axis with a positioning uncertainty of less than ten micrometers. In some embodiments, actuator 123 positions slit 126 in a direction perpendicular to the beam axis with a positioning range of approximately two millimeters, or more. A measurement system 122 precisely measures the position of pivot arm structure 125 and slit 126. In the embodiment depicted in FIG. 3, measurement system 122 is a linear encoder that measures the displacement of actuator 123. In this manner, closed loop position control of pivot arm structure 125 and slit 126 is realized. In one aspect, slender pivot arm structure 125 locates slit 126 a distance, S, from the pivot joint 124. In some embodiments, S is greater than one hundred millimeters. In some embodiments, S is greater than one hundred fifty millimeters. In another aspect, slender pivot arm structure 125 locates slit 126 a distance from actuator 123 that is greater than distance, S. In this manner, the profile of beam shaping slit mechanism 120 is small near the surface of specimen 101. If the actuators were located close to the surface of specimen 101 (e.g., less than 100 millimeters), the profile of beam shaping slit mechanism 120 would be too large and would interfere with components of specimen positioning system 140, particularly during measurements at large angles of incidence (e.g., a greater than 30 degrees). In some embodiments, the distance, D, between slit 126 and the surface of specimen 101 is less than fifty millimeters. In some embodiments, the distance, D, between slit 126 and the surface of specimen 101 is less than thirty millimeters. In some embodiments, the distance, D, between slit 126 and the surface of specimen 101 is less than ten millimeters. As depicted in FIG. 3, pivot joint 124 is configured such that pivot arm structure 125 rotates with respect to frame 121, actuator 123 moves pivot arm structure 125 with respect to frame 121, and measurement system 122 measures the position of pivot arm structure 125 with respect to frame 121. Similarly, pivot arm structures associated with slits 127-129 also rotate with respect to frame 121, actuators associated with slits 127-129 move the respective pivot arms structures with respect to frame 121, and measurement systems associated with slits 127-129 move measure the position of the respective pivot arm structures with respect to frame 121. For example, slit 127 and its associated pivot arm structure rotate about axis, B, and slit 128 and its associated pivot arm structure rotate about axis, A. The specific mechanism depicted in FIG. 3 is provided by way of non-limiting example. In general, any mechanism, and associated actuation and measurement techniques, suitable for locating the beam shaping slits close to the surface of specimen 101 and locating the actuators further away from specimen 101 are contemplated within the scope of this patent document. For example, rather than a pivot joint, a linear joint (e.g., linear bearing structure or flexure mechanism) may be employed to allow slender arm structure 125 and slit 126 to move in a direction perpendicular to the beam axis. In these embodiments, a linear actuator may be configured to move slender arm structure 125 and slit 126 in the direction perpendicular to the beam axis. In another embodiment, a rotary actuator may be employed to rotate slender arm structure 125 and slit 126 about pivot joint 124. In some embodiments, the position of each slit is controlled independently (i.e., an actuator moves each slit independently). In some other embodiments, a single actuator controls the position of more than one slit. In some of these embodiments, a single actuator controls the gap between slits, and another actuator controls the offset position of the slit gap with respect to the specimen under measurement (i.e., the position of all of the slits in a direction perpendicular to the incident x-ray beam). In some embodiments, each of the actuators of beam shaping slit mechanism 120 is located at least five times further from specimen 101 than any of the beam shaping slits 126-129. In other words, if the distance between each of the beam shaping slits 126-129 in the direction aligned with the beam axis is closer than X, then the distance between each of the actuators and specimen 101 in the direction aligned with the beam axis is at least 5×. Slits 126-129 are constructed from materials that minimize scattering and effectively block incident radiation. Exemplary materials include single crystal materials such as Germanium, Gallium Arsenide, Indium Phosphide, etc. Typically, the slit material is cleaved along grain boundaries, rather than sawn, to minimize scattering across structural boundaries. In addition, the slit is oriented with respect to the incoming beam such that the interaction between the incoming radiation and the internal structure of the slit material produces a minimum amount of scattering. The crystal material is attached to blades made of high density material (e.g., tungsten) for complete blocking of the x-ray beam on one side of the slit. As depicted in FIG. 3, the each slit has a rectangular cross-section (visible in FIG. 3 for slits 126 and 129) having a height, H, and a width, W. In some embodiments, the width is approximately 0.5 millimeters and the height is approximately 1-2 millimeters. As depicted in FIG. 5, the length, L, of a slit is approximately 6 millimeters. In a further aspect, each of the slits of beam shaping slit mechanism 120 are located in different distance from the surface of specimen 101 along the beam axis. As illustrated in FIG. 3, each of slits 126-129 is located out of plane with respect to the other. In this manner, slits 126-129 can spatially overlap as viewed along the beam axis without mechanical interference. In another further aspect, beam shaping slit mechanism 120 is configured to rotate about the beam axis in coordination with the orientation of the specimen to optimize the profile of the incident beam for each angle of incidence, azimuth angle, or both. In this manner, the beam shape is matched to the shape of the metrology target. As depicted in FIG. 3, frame 121 is coupled to rotary actuator 147. Rotary actuator 147 rotates frame 121 and all attached mechanisms, actuators, sensors, and slits about axis C. Axis C is nominally aligned with the beam axis of incoming beam 115. Axis C is a mechanically defined axis, but the beam axis is defined by the beam itself, which is subject to change due to beam pointing errors, fluctuations, etc. In a perfect world, axis C and the beam axis are perfectly aligned, however, in practice, nominal deviations exist. In some embodiments, beam shaping slit mechanism 120 is rotatable about axis C by at least ninety degrees. In some embodiments, beam shaping slit mechanism 120 is rotatable about axis C to any orientation. In some embodiments, x-ray illumination source 110, focusing optics 111, slits 112 and 113, or any combination thereof, are maintained in the same atmospheric environment as specimen 101 (e.g., gas purge environment). However, in some embodiments, the optical path length between and within any of these elements is long and X-ray scattering in air contributes noise to the image on the detector. Hence in some embodiments, any of x-ray illumination source 110, focusing optics 111, and slits 112 and 113 are maintained in a localized, vacuum environment separated from one another and the specimen (e.g., specimen 101) by vacuum windows. Similarly, in some embodiments, x-ray detector 119 is maintained in the same atmospheric environment as specimen 101 (e.g., gas purge environment). However, in some embodiments, the distance between specimen 101 and x-ray detector 119 is lengthy and X-ray scattering in air contributes noise to the detected signals. Hence in some embodiments, one or more of the x-ray detectors is maintained in a localized, vacuum environment separated from the specimen (e.g., specimen 101) by a vacuum window. FIG. 7 is a diagram illustrative of a vacuum chamber 160 containing x-ray illumination source 110, vacuum chamber 162 containing focusing optics 111, and vacuum chamber 163 containing slits 112 and 113. The openings of each vacuum chamber are covered by vacuum windows. For example, the opening of vacuum chamber 160 is covered by vacuum window 161. Similarly, the opening of vacuum chamber 163 is covered by vacuum window 164. The vacuum windows may be constructed of any suitable material that is substantially transparent to x-ray radiation (e.g., Kapton, Beryllium, etc.). A suitable vacuum environment is maintained within each vacuum chamber to minimize scattering of the illumination beam. A suitable vacuum environment may include any suitable level of vacuum, any suitable purged environment including a gas with a small atomic number (e.g., helium), or any combination thereof. In this manner, as much of the beam path as possible is located in vacuum to maximize flux and minimize scattering. In some embodiments, the entire optical system, including specimen 101, is maintained in vacuum. However, in general, the costs associated with maintaining specimen 101 in vacuum are high due to the complexities associated with the construction of specimen positioning system 140. In another further aspect, beam shaping slit mechanism 120 is mechanically integrated with vacuum chamber 163 to minimize the beam path length subject to the atmospheric environment. In general, it is desirable to encapsulate as much of the beam as possible in vacuum before incidence with specimen 101. In some embodiments, the vacuum beam line extends into a hollow, cylindrically shaped cavity at the input of beam shaping slit mechanism 120. Vacuum window 164 is located at the output of vacuum chamber 163 within beam shaping slit mechanism 120 such that incoming beam 115 remains in vacuum within a portion of beam shaping slit mechanism 120, then passes through vacuum window 164 before interaction with any of slits 126-129 and specimen 101. As described herein, T-SAXS measurements are performed at multiple orientations of the illuminating x-ray beam relative to the surface normal of the semiconductor wafer. Each orientation is described by any two angular rotations of wafer 101 with respect to the x-ray illumination beam, or vice-versa. In one example, the orientation can be described with respect to a coordinate system fixed to the wafer. FIG. 4 depicts x-ray illumination beam 116 incident on wafer 101 at a particular orientation described by angles ϕ and θ. Coordinate frame XYZ is fixed the metrology system and coordinate frame X′Y′Z′ is fixed to wafer 101. Y is aligned with an axis in plane with the surface of wafer 101. X and Z are not aligned with the surface of wafer 101. Z′ is aligned with an axis normal to the surface of wafer 101, and X′ and Y′ are in a plane aligned with the surface of wafer 101. As depicted in FIG. 4, x-ray illumination beam 116 is aligned with the Z-axis and thus lies within the XZ plane. Angle, ϕ, describes the orientation of the x-ray illumination beam 116 with respect to the surface normal of the wafer in the XZ plane. Furthermore, angle, θ, describes the orientation of the XZ plane with respect to the X′Z′ plane. Together, θ and ϕ, uniquely define the orientation of the x-ray illumination beam 116 with respect to the surface of wafer 101. In this example, the orientation of the x-ray illumination beam with respect to the surface of wafer 101 is described by a rotation about an axis normal to the surface of wafer 101 (i.e., Z′ axis) and a rotation about an axis aligned with the surface of wafer 101 (i.e., Y axis). In some other examples, the orientation of the x-ray illumination beam with respect to the surface of wafer 101 is described by a rotation about a first axis aligned with the surface of wafer 101 and another axis aligned with the surface of wafer 101 and perpendicular to the first axis as described with reference to FIG. 1. In another aspect, the measurement quality and performance of the T-SAXS system is estimated based on properties of the measured zero order beam. The measured properties of the zero order beam include, but are not limited to beam shape, intensity, location, profile, tilt, rotation, asymmetry, or any combination thereof. In some examples, the total flux of the illumination source is estimated based on a summation of all light detected by the detector. In the absence of external perturbations, the total measured flux depends on target absorption only. In some examples, the measurement is performed without a target. In some examples, the transmission efficiency of the system is estimated based on a summation of all light detected by the detector. In these examples, light emitted by the illumination source is measured as it exits the illumination source, but before interaction with the illumination optics. In addition, the light detected by the detector is summed. The ratio of flux between the light detected at the detector and the light emitted by the illumination source provides an estimate of the transmission efficiency of the optical system. In some examples, the measurement is performed without a target. In some other examples, a target having known absorption characteristics is employed. In some examples, the relative alignment of the detector to the beam axis is estimated based on the location of incidence of the zero order beam on the detector. In some examples, defects or misalignments in the optical subsystem are estimated based on the shape (e.g., asymmetries, roughness, rotations) of the zero order beam measured at the detector. Defects or misalignment of beam shaping optics, slits, apertures, illumination source, etc., may be characterized in this manner. In many examples, errors in the slope of an illumination optic manifest themselves as fine structures of the beam shape detected at the detector. Small variations in the detected beam shape correspond to the position of the beam on the illumination optic. In addition, the position of the beam on the slits is ascertained by monitoring the locations of fine structures due to optic slope errors relative to the location of sharp edges due to the slits. In a further aspect, the measurement quality and performance of the metrology system is controlled based on the measured zero order beam. In some examples, the estimates of measurement quality and performance described hereinbefore are provided as input to a feedback controller (e.g., computing system 130). The feedback controller communicates control commands that result in changes in state of one or more elements of the metrology system that improves measurement system quality and performance. In some examples, the control commands are provided to the illumination source. In response, the illumination source is adjusted to change the scanned spot size and shape, illumination power, spot offsets, incident angles, etc. In one example, the parameters of the electron beam incident on the source target are adjusted to change the scanned spot size and shape, illumination power, spot offsets, incident angles, etc. In some examples, the control commands are provided to one or more positioning devices that control the location of one or more optical elements of the metrology system. In response, the one or more positioning devices changes a position/orientation of one or more optical elements to adjust the incidence angles, focal distance between the illumination source and illumination optics, beam positioning, beam spot size, location of the beam spot on the optic to minimize the effects of surface roughness, etc. In general, the estimates and control of measurement quality and performance as described herein may be performed with or without a target present in the beam path. In another further aspect, computing system 130 is configured to generate a structural model (e.g., geometric model, material model, or combined geometric and material model) of a measured structure of a specimen, generate a T-SAXS response model that includes at least one geometric parameter from the structural model, and resolve at least one specimen parameter value by performing a fitting analysis of T-SAXS measurement data with the T-SAXS response model. The analysis engine is used to compare the simulated T-SAXS signals with measured data thereby allowing the determination of geometric as well as material properties such as electron density of the sample. In the embodiment depicted in FIG. 1, computing system 130 is configured as a model building and analysis engine configured to implement model building and analysis functionality as described herein. FIG. 8 is a diagram illustrative of an exemplary model building and analysis engine 150 implemented by computing system 130. As depicted in FIG. 8, model building and analysis engine 150 includes a structural model building module 151 that generates a structural model 152 of a measured structure of a specimen. In some embodiments, structural model 152 also includes material properties of the specimen. The structural model 152 is received as input to T-SAXS response function building module 153. T-SAXS response function building module 153 generates a T-SAXS response function model 155 based at least in part on the structural model 152. In some examples, the T-SAXS response function model 155 is based on x-ray form factors,F({right arrow over (q)})=∫ρ({right arrow over (r)})e−i{right arrow over (q)}·{right arrow over (r)}d{right arrow over (r)}  (2)where F is the form factor, q is the scattering vector, and ρ(r) is the electron density of the specimen in spherical coordinates. The x-ray scattering intensity is then given byI({right arrow over (q)})=F*F.  (3)T-SAXS response function model 155 is received as input to fitting analysis module 157. The fitting analysis module 157 compares the modeled T-SAXS response with the corresponding measured data to determine geometric as well as material properties of the specimen. In some examples, the fitting of modeled data to experimental data is achieved by minimizing a chi-squared value. For example, for T-SAXS measurements, a chi-squared value can be defined as χ SAXS 2 = 1 N SAXS ⁢ Σ j N SAXS ⁢ ( s j SAXS ⁢ ⁢ model ⁡ ( v 1 , … , v L ) - s j SAXS ⁢ ⁢ experiment ) 2 σ SAXS , j 2 ( 4 ) Where, SjSAXS experiment is the measured T-SAXS signals 126 in the “channel” j, where the index j describes a set of system parameters such as diffraction order, energy, angular coordinate, etc. SjSAXS model(v1, . . . , vL) is the modeled T-SAXS signal Sj for the “channel” j, evaluated for a set of structure (target) parameters v1, . . . , vL, where these parameters describe geometric (CD, sidewall angle, overlay, etc.) and material (electron density, etc.). σSAXS,j is the uncertainty associated with the jth channel. NSAXS is the total number of channels in the x-ray metrology. L is the number of parameters characterizing the metrology target. Equation (4) assumes that the uncertainties associated with different channels are uncorrelated. In examples where the uncertainties associated with the different channels are correlated, a covariance between the uncertainties, can be calculated. In these examples a chi-squared value for T-SAXS measurements can be expressed as χ SAXS 2 = 1 N SAXS ⁢ ( S → j SAXS . ⁢ model ⁡ ( v 1 , … , v M ) - S → j SAXS . ⁢ experiment ) T ⁢ V SAXS - 1 ⁡ ( S → j SAXS . ⁢ model ⁡ ( v 1 , … , v M ) - S → j SAXS . ⁢ experiment ) ( 5 ) where, VSAXS is the covariance matrix of the SAXS channel uncertainties, and T denotes the transpose. In some examples, fitting analysis module 157 resolves at least one specimen parameter value by performing a fitting analysis on T-SAXS measurement data 135 with the T-SAXS response model 155. In some examples, χSAXS2 is optimized. As described hereinbefore, the fitting of T-SAXS data is achieved by minimization of chi-squared values. However, in general, the fitting of T-SAXS data may be achieved by other functions. The fitting of T-SAXS metrology data is advantageous for any type of T-SAXS technology that provides sensitivity to geometric and/or material parameters of interest. Specimen parameters can be deterministic (e.g., CD, SWA, etc.) or statistical (e.g., rms height of sidewall roughness, roughness correlation length, etc.) as long as proper models describing T-SAXS beam interaction with the specimen are used. In general, computing system 130 is configured to access model parameters in real-time, employing Real Time Critical Dimensioning (RTCD), or it may access libraries of pre-computed models for determining a value of at least one specimen parameter value associated with the specimen 101. In general, some form of CD-engine may be used to evaluate the difference between assigned CD parameters of a specimen and CD parameters associated with the measured specimen. Exemplary methods and systems for computing specimen parameter values are described in U.S. Pat. No. 7,826,071, issued on Nov. 2, 2010, to KLA-Tencor Corp., the entirety of which is incorporated herein by reference. In some examples, model building and analysis engine 150 improves the accuracy of measured parameters by any combination of feed sideways analysis, feed forward analysis, and parallel analysis. Feed sideways analysis refers to taking multiple data sets on different areas of the same specimen and passing common parameters determined from the first dataset onto the second dataset for analysis. Feed forward analysis refers to taking data sets on different specimens and passing common parameters forward to subsequent analyses using a stepwise copy exact parameter feed forward approach. Parallel analysis refers to the parallel or concurrent application of a non-linear fitting methodology to multiple datasets where at least one common parameter is coupled during the fitting. Multiple tool and structure analysis refers to a feed forward, feed sideways, or parallel analysis based on regression, a look-up table (i.e., “library” matching), or another fitting procedure of multiple datasets. Exemplary methods and systems for multiple tool and structure analysis is described in U.S. Pat. No. 7,478,019, issued on Jan. 13, 2009, to KLA-Tencor Corp., the entirety of which is incorporated herein by reference. In another further aspect, an initial estimate of values of one or more parameters of interest is determined based on T-SAXS measurements performed at a single orientation of the incident x-ray beam with respect to the measurement target. The initial, estimated values are implemented as the starting values of the parameters of interest for a regression of the measurement model with measurement data collected from T-SAXS measurements at multiple orientations. In this manner, a close estimate of a parameter of interest is determined with a relatively small amount of computational effort, and by implementing this close estimate as the starting point for a regression over a much larger data set, a refined estimate of the parameter of interest is obtained with less overall computational effort. In another aspect, metrology tool 100 includes a computing system (e.g., computing system 130) configured to implement beam control functionality as described herein. In the embodiment depicted in FIG. 1, computing system 130 is configured as a beam controller operable to control any of the illumination properties such as intensity, divergence, spot size, polarization, spectrum, and positioning of the incident illumination beam 116. As illustrated in FIG. 1, computing system 130 is communicatively coupled to detector 119. Computing system 130 is configured to receive measurement data 135 from detector 119. In one example, measurement data 135 includes an indication of the measured response of the specimen (i.e., intensities of the diffraction orders). Based on the distribution of the measured response on the surface of detector 119, the location and area of incidence of illumination beam 116 on specimen 101 is determined by computing system 130. In one example, pattern recognition techniques are applied by computing system 130 to determine the location and area of incidence of illumination beam 116 on specimen 101 based on measurement data 135. In some examples, computing system 130 communicates command signals 137 to x-ray illumination source 110 to select the desired illumination wavelength, or redirect the x-ray emission. In some examples, computing system 130 communicates command signals 136 to beam shaping slit mechanism 120 to change the beam spot size such that incident illumination beam 116 arrives at specimen 101 with the desired beam spot size and orientation. In one example, command signals 136 cause rotary actuator 147, depicted in FIG. 3, to rotate beam shaping slit mechanism 120 to a desired orientation with respect to specimen 101. In another example, command signals 136 cause actuators associated with each of slits 126-129 to change position to reshape the incident beam 116 to a desired shape and size. In some other examples, computing system 130 communicates a command signal to wafer positioning system 140 to position and orient specimen 101 such that incident illumination beam 116 arrives at the desired location and angular orientation with respect to specimen 101. In a further aspect, T-SAXS measurement data is used to generate an image of a measured structure based on the measured intensities of the detected diffraction orders. In some embodiments, a T-SAXS response function model is generalized to describe the scattering from a generic electron density mesh. Matching this model to the measured signals, while constraining the modelled electron densities in this mesh to enforce continuity and sparse edges, provides a three dimensional image of the sample. Although, geometric, model-based, parametric inversion is preferred for critical dimension (CD) metrology based on T-SAXS measurements, a map of the specimen generated from the same T-SAXS measurement data is useful to identify and correct model errors when the measured specimen deviates from the assumptions of the geometric model. In some examples, the image is compared to structural characteristics estimated by a geometric, model-based parametric inversion of the same scatterometry measurement data. Discrepancies are used to update the geometric model of the measured structure and improve measurement performance. The ability to converge on an accurate parametric measurement model is particularly important when measuring integrated circuits to control, monitor, and trouble-shoot their manufacturing process. In some examples, the image is a two dimensional (2-D) map of electron density, absorptivity, complex index of refraction, or a combination of these material characteristics. In some examples, the image is a three dimensional (3-D) map of electron density, absorptivity, complex index of refraction, or a combination of these material characteristics. The map is generated using relatively few physical constraints. In some examples, one or more parameters of interest, such as critical dimension (CD), sidewall angle (SWA), overlay, edge placement error, pitch walk, etc., are estimated directly from the resulting map. In some other examples, the map is useful for debugging the wafer process when the sample geometry or materials deviate outside the range of expected values contemplated by a parametric structural model employed for model-based CD measurement. In one example, the differences between the map and a rendering of the structure predicted by the parametric structural model according to its measured parameters are used to update the parametric structural model and improve its measurement performance. Further details are described in U.S. Patent Publication No. 2015/0300965, the content of which is incorporated herein by reference it its entirety. Additional details are described in U.S. Patent Publication No. 2015/0117610, the content of which is incorporated herein by reference it its entirety. In a further aspect, model building and analysis engine 150 is employed to generate models for combined x-ray and optical measurement analysis. In some examples, optical simulations are based on, e.g., rigorous coupled-wave analysis (RCWA) where Maxwell's equations are solved to calculate optical signals such as reflectivities for different polarizations, ellipsometric parameters, phase change, etc. Values of one or more parameters of interest are determined based on a combined fitting analysis of the detected intensities of the x-ray diffraction orders at the plurality of different angles of incidence and detected optical intensities with a combined, geometrically parameterized response model. The optical intensities are measured by an optical metrology tool that may or may not be mechanically integrated with an x-ray metrology system, such as systems 100 depicted in FIG. 1. Further details are described in U.S. Patent Publication No. 2014/0019097 and U.S. Patent Publication No. 2013/0304424, the contents of each are incorporated herein by reference it their entirety. In general, a metrology target is characterized by an aspect ratio defined as a maximum height dimension (i.e., dimension normal to the wafer surface) divided by a maximum lateral extent dimension (i.e., dimension aligned with the wafer surface) of the metrology target. In some embodiments, the metrology target under measurement has an aspect ratio of at least twenty. In some embodiments, the metrology target has an aspect ratio of at least forty. It should be recognized that the various steps described throughout the present disclosure may be carried out by a single computer system 130 or, alternatively, a multiple computer system 130. Moreover, different subsystems of the system 100, such as the specimen positioning system 140, may include a computer system suitable for carrying out at least a portion of the steps described herein. Therefore, the aforementioned description should not be interpreted as a limitation on the present invention but merely an illustration. Further, the one or more computing systems 130 may be configured to perform any other step(s) of any of the method embodiments described herein. In addition, the computer system 130 may be communicatively coupled to the x-ray illumination source 110, beam shaping slit mechanism 120, and detector 119 in any manner known in the art. For example, the one or more computing systems 130 may be coupled to computing systems associated with the x-ray illumination source 110, beam shaping slit mechanism 120, and detector 119, respectively. In another example, any of the x-ray illumination source 110, beam shaping slit mechanism 120, and detector 119 may be controlled directly by a single computer system coupled to computer system 130. The computer system 130 may be configured to receive and/or acquire data or information from the subsystems of the system (e.g., x-ray illumination source 110, beam shaping slit mechanism 120, detector 119, and the like) by a transmission medium that may include wireline and/or wireless portions. In this manner, the transmission medium may serve as a data link between the computer system 130 and other subsystems of the system 100. Computer system 130 of the metrology system 100 may be configured to receive and/or acquire data or information (e.g., measurement results, modeling inputs, modeling results, etc.) from other systems by a transmission medium that may include wireline and/or wireless portions. In this manner, the transmission medium may serve as a data link between the computer system 130 and other systems (e.g., memory on-board metrology system 100, external memory, or external systems). For example, the computing system 130 may be configured to receive measurement data (e.g., signals 135) from a storage medium (i.e., memory 132 or 180) via a data link. For instance, spectral results obtained using detector 119 may be stored in a permanent or semi-permanent memory device (e.g., memory 132 or 180). In this regard, the measurement results may be imported from on-board memory or from an external memory system. Moreover, the computer system 130 may send data to other systems via a transmission medium. For instance, specimen parameter values 170 determined by computer system 130 may be stored in a permanent or semi-permanent memory device (e.g., memory 180). In this regard, measurement results may be exported to another system. Computing system 130 may include, but is not limited to, a personal computer system, mainframe computer system, workstation, image computer, parallel processor, or any other device known in the art. In general, the term “computing system” may be broadly defined to encompass any device having one or more processors, which execute instructions from a memory medium. Program instructions 134 implementing methods such as those described herein may be transmitted over a transmission medium such as a wire, cable, or wireless transmission link. For example, as illustrated in FIG. 1, program instructions stored in memory 132 are transmitted to processor 131 over bus 133. Program instructions 134 are stored in a computer readable medium (e.g., memory 132). Exemplary computer-readable media include read-only memory, a random access memory, a magnetic or optical disk, or a magnetic tape. In some embodiments, a scatterometry analysis as described herein is implemented as part of a fabrication process tool. Examples of fabrication process tools include, but are not limited to, lithographic exposure tools, film deposition tools, implant tools, and etch tools. In this manner, the results of a T-SAXS analysis are used to control a fabrication process. In one example, T-SAXS measurement data collected from one or more targets is sent to a fabrication process tool. The T-SAXS measurement data is analyzed as described herein and the results used to adjust the operation of the fabrication process tool. Scatterometry measurements as described herein may be used to determine characteristics of a variety of semiconductor structures. Exemplary structures include, but are not limited to, FinFETs, low-dimensional structures such as nanowires or graphene, sub 10 nm structures, lithographic structures, through substrate vias (TSVs), memory structures such as DRAM, DRAM 4F2, FLASH, MRAM and high aspect ratio memory structures. Exemplary structural characteristics include, but are not limited to, geometric parameters such as line edge roughness, line width roughness, pore size, pore density, side wall angle, profile, critical dimension, pitch, thickness, overlay, and material parameters such as electron density, composition, grain structure, morphology, stress, strain, and elemental identification. In some embodiments, the metrology target is a periodic structure. In some other embodiments, the metrology target is aperiodic. In some examples, measurements of critical dimensions, thicknesses, overlay, and material properties of high aspect ratio semiconductor structures including, but not limited to, spin transfer torque random access memory (STT-RAM), three dimensional NAND memory (3D-NAND) or vertical NAND memory (V-NAND), dynamic random access memory (DRAM), three dimensional FLASH memory (3D-FLASH), resistive random access memory (Re-RAM), and phase change random access memory (PC-RAM) are performed with T-SAXS measurement systems as described herein. FIG. 9 illustrates a method 200 suitable for implementation by the metrology system 100 of the present invention. In one aspect, it is recognized that data processing blocks of method 200 may be carried out via a pre-programmed algorithm executed by one or more processors of computing system 130. While the following description is presented in the context of metrology system 100, it is recognized herein that the particular structural aspects of metrology system 100 do not represent limitations and should be interpreted as illustrative only. In block 201, an amount of x-ray radiation is generated over a finite emission area. In block 202, a beam of the generated amount of x-ray radiation incident on a specimen under measurement is shaped by positioning a plurality of beam shaping slits in a beam path of the beam of x-ray radiation. Each of the plurality of beams shaping slits is located within one hundred millimeters of the specimen under measurement in a direction aligned with the beam of x-ray radiation. In block 203, the specimen under measurement is positioned with respect to the incident beam of x-ray radiation at a plurality of angles of incidence. The plurality of angles of incidence range between normal incidence to at least forty degrees from normal incidence. In block 204, one or more intensities associated with an amount of radiation scattered from the specimen under measurement are detected in response to the incident beam of x-ray radiation at each of the plurality of angles of incidence. In block 205, a value of a parameter of interest associated with a measurement target disposed on the specimen under measurement is determined based on the detected intensities. As described herein, the term “critical dimension” includes any critical dimension of a structure (e.g., bottom critical dimension, middle critical dimension, top critical dimension, sidewall angle, grating height, etc.), a critical dimension between any two or more structures (e.g., distance between two structures), and a displacement between two or more structures (e.g., overlay displacement between overlaying grating structures, etc.). Structures may include three dimensional structures, patterned structures, overlay structures, etc. As described herein, the term “critical dimension application” or “critical dimension measurement application” includes any critical dimension measurement. As described herein, the term “metrology system” includes any system employed at least in part to characterize a specimen in any aspect, including critical dimension applications and overlay metrology applications. However, such terms of art do not limit the scope of the term “metrology system” as described herein. In addition, the metrology systems described herein may be configured for measurement of patterned wafers and/or unpatterned wafers. The metrology system may be configured as a LED inspection tool, edge inspection tool, backside inspection tool, macro-inspection tool, or multi-mode inspection tool (involving data from one or more platforms simultaneously), and any other metrology or inspection tool that benefits from the measurement techniques described herein. Various embodiments are described herein for a semiconductor processing system (e.g., an inspection system or a lithography system) that may be used for processing a specimen. The term “specimen” is used herein to refer to a wafer, a reticle, or any other sample that may be processed (e.g., printed or inspected for defects) by means known in the art. As used herein, the term “wafer” generally refers to substrates formed of a semiconductor or non-semiconductor material. Examples include, but are not limited to, monocrystalline silicon, gallium arsenide, and indium phosphide. Such substrates may be commonly found and/or processed in semiconductor fabrication facilities. In some cases, a wafer may include only the substrate (i.e., bare wafer). Alternatively, a wafer may include one or more layers of different materials formed upon a substrate. One or more layers formed on a wafer may be “patterned” or “unpatterned.” For example, a wafer may include a plurality of dies having repeatable pattern features. A “reticle” may be a reticle at any stage of a reticle fabrication process, or a completed reticle that may or may not be released for use in a semiconductor fabrication facility. A reticle, or a “mask,” is generally defined as a substantially transparent substrate having substantially opaque regions formed thereon and configured in a pattern. The substrate may include, for example, a glass material such as amorphous SiO2. A reticle may be disposed above a resist-covered wafer during an exposure step of a lithography process such that the pattern on the reticle may be transferred to the resist. One or more layers formed on a wafer may be patterned or unpatterned. For example, a wafer may include a plurality of dies, each having repeatable pattern features. Formation and processing of such layers of material may ultimately result in completed devices. Many different types of devices may be formed on a wafer, and the term wafer as used herein is intended to encompass a wafer on which any type of device known in the art is being fabricated. In one or more exemplary embodiments, the functions described may be implemented in hardware, software, firmware, or any combination thereof. If implemented in software, the functions may be stored on or transmitted over as one or more instructions or code on a computer-readable medium. Computer-readable media includes both computer storage media and communication media including any medium that facilitates transfer of a computer program from one place to another. A storage media may be any available media that can be accessed by a general purpose or special purpose computer. By way of example, and not limitation, such computer-readable media can comprise RAM, ROM, EEPROM, CD-ROM or other optical disk storage, magnetic disk storage or other magnetic storage devices, or any other medium that can be used to carry or store desired program code means in the form of instructions or data structures and that can be accessed by a general-purpose or special-purpose computer, or a general-purpose or special-purpose processor. Also, any connection is properly termed a computer-readable medium. For example, if the software is transmitted from a website, server, or other remote source using a coaxial cable, fiber optic cable, twisted pair, digital subscriber line (DSL), or wireless technologies such as infrared, radio, and microwave, then the coaxial cable, fiber optic cable, twisted pair, DSL, or wireless technologies such as infrared, radio, and microwave are included in the definition of medium. Disk and disc, as used herein, includes compact disc (CD), laser disc, XRF disc, digital versatile disc (DVD), floppy disk and blu-ray disc where disks usually reproduce data magnetically, while discs reproduce data optically with lasers. Combinations of the above should also be included within the scope of computer-readable media. Although certain specific embodiments are described above for instructional purposes, the teachings of this patent document have general applicability and are not limited to the specific embodiments described above. Accordingly, various modifications, adaptations, and combinations of various features of the described embodiments can be practiced without departing from the scope of the invention as set forth in the claims.
claims
1. A detector arrangement for an X-ray phase contrast system, the detector arrangement comprising:a scintillator configured to convert X-ray radiation into optical radiation;an optical grating configured to be an analyzer grating which is adapted to a phase-grating of an X-ray phase contrast system; anda detector configured to detect the optical radiation, wherein the optical grating is located between the scintillator and the detector, wherein an optical path between the optical grating and the scintillator is free of focusing elements for the optical radiation, and wherein the optical grating is configured to be electronically adjustable. 2. The detector arrangement according to claim 1, further comprising an LCD pixel array configured to provide the optical grating; and wherein the LCD pixel array is configured to provide a stepping of the optical grating. 3. The detector arrangement according to claim 2, further comprising an optical polarizing unit positioned between the optical grating and the scintillator; andwherein the LCD pixel array is configured to dynamically change a polarization of the analyzer grating. 4. A system for X-ray phase contrast imaging, the system comprising:an X-ray interferometer comprising:a radiation source for emitting X-ray radiation;an object receiving space for arranging an object to be imaged;a phase grating; anda detector arrangement comprising:a scintillator configured to convert X-ray radiation into optical radiation;an optical grating configured to be an analyzer grating which is adapted to a phase-grating of an X-ray phase contrast system; anda detector configured to detect the optical radiation, wherein the optical grating is located between the scintillator and the detector, wherein an optical path between the optical grating and the scintillator is free of focusing elements for the optical radiation, and wherein the optical grating is configured to be electronically adjustable. 5. The system according to claim 4, wherein the optical grating comprises a pitch being adapted to the phase grating and to a distance between the optical grating and the radiation source. 6. The system according to claim 4, further comprising an LCD pixel array configured to provide the optical grating and at least one processor configured to control the LCD pixel array. 7. The system according to claim 4, wherein the radiation source is a point-like radiation source for emitting the X-ray radiation. 8. The system according to claim 4, wherein the radiation source is a large-focused radiation source for emitting the X-ray radiation; and wherein the system further comprises an absorption grating located between the radiation source and the object receiving space. 9. A method for X-ray phase contrast imaging, the method comprising:converting X-ray radiation to optical radiation using a scintillator;subsampling the optical radiation using an optical grating resulting in a subsampled optical radiation pattern, wherein an optical path between the optical grating and the scintillator is free of focusing elements for the optical radiation; anddetecting the subsampled optical radiation pattern using a detector. 10. The method according to claim 9, further comprising:providing the optical grating by a pixel array; and changing a position of the optical grating on the pixel array by at least one processor configured to control the pixel array. 11. The method according to claim 9, further comprising:polarizing the optical radiation resulting in a polarized optical radiation; andabsorbing a portion of the polarized optical radiation with a polarization mask pattern on an LCD pixel array providing the optical grating. 12. The method according to claim 11, further comprising:changing a relative phase position of the polarization mask pattern by at least one processor configured to control the LCD pixel array. 13. A non-transitory computer-readable medium having one or more executable instructions stored thereon, which, when executed by at least one processor, cause the at least one processor to perform a method for X-ray phase contrast imaging, the method comprising:converting X-ray radiation to optical radiation using a scintillator;subsampling the optical radiation using an optical grating resulting in a subsampled optical radiation pattern, wherein an optical path between the optical grating and the scintillator is free of focusing elements for the optical radiation; anddetecting the subsampled optical radiation pattern using a detector.
description
This application claims the benefit of U.S. Provisional Application No. 62/669,665 filed May 10, 2018, which is incorporated herein by reference in its entirety. The present invention relates generally to casks used to transport and store spent nuclear fuel created by nuclear generating plants or other facilities, and more particularly to such casks which incorporate a radiation does attenuation device. In the operation of nuclear reactors, the nuclear energy source is in the form of hollow zircaloy tubes filled with enriched uranium, collectively arranged in assemblages referred to as fuel assemblies. When the energy in the fuel assembly has been depleted to a certain predetermined level, the fuel assembly is removed from the nuclear reactor. The standard structure used to package used or spent fuel assemblies discharged from light water reactors for off-site shipment or on-site dry storage is known as the fuel basket. The fuel basket is essentially an assemblage of prismatic storage cells each of which is sized to store one fuel assembly that comprises a plurality of individual spent nuclear fuel rods. The fuel basket is arranged inside a metallic storage canister which is placed into a ventilated outer overpack or cask for safe transport or storage of the multiple spent fuel assemblies within the inner fuel basket. Such outer casks are generally constructed to provide enhanced radiation shielding including metallic inner and outer cylindrical walls with a concrete mass or liner disposed therebetween for radiation shielding. The spent nuclear fuel (“SNF”) in the fuel assemblies is still highly radioactive and produces considerable heat which must be dissipated, in addition to concomitantly emitting dangerous ionizing neutron and gamma photons (i.e. neutron and gamma radiation) requiring protective shielding. Thus, great caution must be exercised when the fuel assemblies are handled, transported, packaged and stored. Neutron radiation may be effectively attenuated with metallic and polymeric shielding materials containing boron which may be incorporated into the storage cells of the spent nuclear fuel baskets. These boron-containing materials however are not effective at attenuating and shielding gamma radiation emitted from the fuel baskets. Effective gamma radiation shielding requires very dense materials, such as lead or others. Heretofore, spent nuclear fuel baskets have not addressed the issue of gamma radiation shielding. The fuel assembly storage canister held inside the outer cask provides an opportunity to enhance gamma radiation shielding. The cross sectional opening of the fuel basket storage cell, each of which hold a single spent fuel assembly, is typically at least 6 mm, and sometimes as large as 10 mm greater than the fuel assembly's square cross section to facilitate its insertion and removal into the reactor and eventual placement in the fuel basket storage cell taking into account the bow and camber that some fuel assemblies may suffer and develop during their irradiation in the reactor. Some peripheral clearance in the storage cell is also typically required to accommodate a damaged fuel assembly that has been physically damaged and is no longer in-tact for normal handling. A need exists for gamma radiation shielding of spent nuclear fuel canisters which preferably also takes into account the need to effectively dissipate the excessive heat still generated by the decaying nuclear fuel in the canister during transport or storage. The present application is directed to a storage system for spent nuclear fuel which seeks to exploit the existing fuel assembly to fuel basket storage cell clearances cited above to provide gamma radiation shielding of the spent nuclear fuel canister. A radiation dose attenuation device is provided which is formed of a dense gamma-blocking material having a composition with high density and preferably a high thermal conductivity to effectively dissipate the substantial heat generated by the decaying nuclear fuel. The radiation does attenuation device is configured for insertion into the fuel storage cells of the fuel basket. The fuel basket is configured to be inserted into the cavity of the fuel storage canister, which may then be placed inside the outer overpacks or casks described above. The fuel basket may be a gridded structure comprising interlocking metallic plates or adjacent tubes which form a plurality of tightly packed and upwardly open prismatic fuel assembly storage cells. Each cell may have a transverse cross-sectional area configured to insert and accommodate no more than a single fuel assembly which has a rectilinear cross section (e.g. square or rectangular). The storage cells may therefore have a corresponding complementary configured rectilinear cross section to the fuel assemblies with a perimetrically extending gap or clearance provided between the fuel assembly and inside surface of walls of each cell. The gap may extend around the entire perimeter of the fuel assembly of each cell in some implementations. In one embodiment, the radiation dose attenuation device according to the present disclosure may be in the form of a radiation attenuation insert which is configured to occupy the available gap or clearance between the fuel assembly and inner storage cells walls in the fuel basket. The height of the attenuation insert tube may be substantially coextensive with the height of each storage cell in the fuel basket. The attenuation insert may be configured for either permanent fixation in one of spent fuel assembly storage cells, or removable and detachable securement in to the cell via self-locking retention or securement features as further described herein. The gamma radiation attenuation insert has a tubular body including open top and bottom, and sidewalls extending therebetween. The attenuation insert may be in the form of a thin walled open-ended rectangular cuboid box or tube with corresponding rectilinear square transverse cross-sectional shape. The tubular attenuation insert is configured and dimensioned for slideable insertion into a respective fuel basket storage cell of the canister. An ideal material for the present tubular radiation attenuation insert preferably is made of a material having the composition and characteristics of high density for effective gamma ray blocking and high thermal conductivity for residual nuclear fuel decay heat dissipation from the fuel basket. Metallic non-boron containing materials with one or both of these characteristics is preferred. Examples of suitable materials include some dense non-ferrous metals and their alloys. A preferred material is copper in one non-limiting embodiment having both desired characteristics. Lightweight metals with lower densities such as aluminum (e.g. densities less than 3 grams/cubic centimeter) are generally not preferred for the present gamma radiation shielding application in a nuclear fuel basket. Suitable materials preferably have a density of at least 7.0 g/cc, more preferably greater than 8.0 g/cc for effective gamma radiation shielding. It bears noting that lead, a common gamma blocking material sometimes used in the heavier outer overpack or cask construction, is generally not suitable for the present fuel basket application due to its relatively poor/low thermal conductivity and extreme density/weight which would unduly add to the overall weight of the canister. One non-limiting principal objective of the present is to provide absorption or shielding of the gamma radiation emanating outwards to the environment from the fuel basket and canister in the lateral direction via the gamma-blocking radiation attenuation inserts. Because most of the gamma radiation in the lateral direction is from the spent nuclear fuel assemblies located in the outermost peripheral cells of the canister fuel basket, the attenuation inserts in one embodiment may be installed only in those peripheral cells that have a high radiation emission rate in one non-limiting arrangement. In certain embodiments, all of the outermost peripheral fuel assembly storage cells of the fuel basket may include a radiation attenuation insert tube to construct a continuous lateral barrier against gamma ray emissions which encircles the entire circumference or perimeter of the fuel basket. In other embodiments, only some of the peripheral storage cells may include a attenuation insert which contain fuel assemblies emitting unduly high levels of gamma radiation. Adding the tubular dense radiation attenuation inserts or boxes in the outer peripheral storage cells advantageously has minimal effect on the reactivity of the basket. Advantageously, as noted above, copper's high thermal conductivity, as opposed to the less thermally conductive materials from which the fuel basket storage cells themselves may be formed (e.g. stainless steel, etc.), will ameliorate any loss of the heat dissipation capacity of the fuel basket and effectively reject heat emanating from the decaying nuclear fuel. Copper also has the attributes of high specific gravity and density, which makes it more efficient at gamma radiation attenuation than other possible materials. Heretofore, copper has typically not been used for gamma radiation attenuation in fuel baskets, and is underappreciated for the present gamma blocking application despite its unique combination of gamma radiation attenuation due to its high density and excellent thermal conductivity properties to dissipate heat from the decaying nuclear fuel in the fuel basket. This makes copper ideally suited for the present gamma radiation attenuation inserts for fuel baskets. As a basic principle in nuclear shielding, the effectiveness of the radiation attenuation insert box-like structure in attenuating the intensity of the accreted radiation from the fuel is underpinned by and corresponds to its proximity to the fuel assembly. The gamma radiation attenuation insert (dose attenuation device) is therefore preferably located between the fuel assembly and interior surfaces of the cell walls or plates. The thickness of the attenuation insert may be selected so that the spent nuclear fuel assembly insertion or withdrawal capability into and from the fuel basket storage cells of the storage canister is not adversely affected. In one aspect, a storage apparatus with gamma radiation shielding for spent nuclear fuel comprises: a fuel basket comprising a plurality of elongated fuel storage tubes extending along a longitudinal axis, each of the tubes defining a cell configured to hold a nuclear fuel assembly; a plurality of gamma radiation attenuation inserts each one of which is nested inside a respective cell of at least some of the storage tubes of the fuel basket; each radiation attenuation insert comprising a longitudinally elongated tubular body including an open top end, a bottom end, and plurality of sidewalls extending between the ends; wherein the radiation attenuation insert is composed of a dense material operable to block gamma radiation. The inserts may be formed of non-boron containing material, and more particularly copper in one non-limiting embodiment. In another aspect, a storage apparatus with gamma radiation shielding for spent nuclear fuel comprises: a canister comprising a baseplate and an elongated shell defining an internal cavity; a fuel basket disposed in the internal cavity, the fuel basket comprising a plurality of metal fuel storage tubes extending upwards from the baseplate along a longitudinal axis and each defining a fuel storage cell; a gamma radiation attenuation insert nested inside a first cell of a first tube of the fuel basket; the radiation attenuation insert comprising a longitudinally elongated cuboid body including open top and bottom ends, and a plurality of sidewalls extending between the ends; an upper securement feature of the radiation attenuation insert engaging a top end of the first tube; and an elastically deformable lower securement feature on the radiation attenuation insert engaging a bottom end portion of the first tube and configured to detachably affix the radiation attenuation insert to the first tube; wherein the radiation attenuation insert is composed of a dense material operable to block gamma radiation. The inserts may be formed of non-boron containing material, and more particularly copper in one non-limiting embodiment. In another aspect, a method for adding gamma radiation shielding to a fuel basket for storing spent nuclear fuel comprises: providing a fuel basket comprising a plurality of longitudinally elongated fuel storage tubes including peripheral outboard tubes arranged perimetrically around the fuel basket and inboard tubes, each of the tubes defining a cell configured to hold a nuclear fuel assembly; positioning a radiation attenuation insert over a selected outboard tube, the radiation attenuation insert including a plurality of elastically deformable locking members each movable laterally between an outward undeflected position and an inward deflected position; the locking members being in the undeflected position; lowering the radiation attenuation insert into the selected outboard tube; engaging the locking members with walls of the selected outboard tube, wherein the locking members are each moved to the deflected position; sliding the radiation attenuation downwards insert along the walls of the selected outboard tube; disengaging the locking members from the walls of the selected outboard tube near a bottom portion of the selected outboard tube, wherein the locking members each return to the undeflected position; and lockingly engaging each locking member with a corresponding locking edge formed on the bottom portion of the selected tube which resists axial withdrawal of the radiation attenuation insert from the selected outboard tube. Further areas of applicability of the present invention will become apparent from the detailed description provided hereinafter. It should be understood that the detailed description and specific examples, while indicating the preferred embodiment of the invention, are intended for purposes of illustration only and are not intended to limit the scope of the invention. All drawings are schematic and not necessarily to scale. Features shown numbered in certain figures are the same features which may appear un-numbered in other figures unless noted otherwise herein. The features and benefits of the invention are illustrated and described herein by reference to exemplary embodiments. This description of exemplary embodiments is intended to be read in connection with the accompanying drawings, which are to be considered part of the entire written description. Accordingly, the disclosure expressly should not be limited to such exemplary embodiments illustrating some possible non-limiting combination of features that may exist alone or in other combinations of features. In the description of embodiments disclosed herein, any reference to direction or orientation is merely intended for convenience of description and is not intended in any way to limit the scope of the present invention. Relative terms such as “lower,” “upper,” “horizontal,” “vertical,”, “above,” “below,” “up,” “down,” “top” and “bottom” as well as derivatives thereof (e.g., “horizontally,” “downwardly,” “upwardly,” etc.) should be construed to refer to the orientation as then described or as shown in the drawing under discussion. These relative terms are for convenience of description only and do not require that the apparatus be constructed or operated in a particular orientation. Terms such as “attached,” “affixed,” “connected,” “coupled,” “interconnected,” and similar refer to a relationship wherein structures are secured or attached to one another either directly or indirectly through intervening structures, as well as both movable or rigid attachments or relationships, unless expressly described otherwise. As used throughout, any ranges disclosed herein are used as shorthand for describing each and every value that is within the range. Any value within the range can be selected as the terminus of the range. In addition, all references cited herein are hereby incorporated by reference in their entireties. In the event of a conflict in a definition in the present disclosure and that of a cited reference, the present disclosure controls. FIG. 1 depicts a nuclear fuel storage system with spent fuel assembly storage canister having radiation attenuation inserts (dose attenuation devices) according to the present disclosure. Referring to FIG. 1, the system includes an outer overpack or cask 20 may be a double-walled vessel including cylindrical outer shell 22, inner shell 23, and a concrete mass or liner 24 disposed in an annular space therebetween for radiation blocking. A vertically-extending central cavity 28 of cylindrical configuration extends along a vertical centerline VA defined by the vertically elongated cask body. Cask 20 includes at its bottom end a circular bottom wall 25 which includes a cylindrical concrete base plug 26 having an upward facing top surface exposed to the cavity 28, and an open top end 27. A removable circular lid 21 is coupled to the top end 27 which closes the normally upwardly open cavity 28 when in place. A concrete top plug 29 is secured to the underside of the lid 21. The base plug 26 and top plug 29 provide radiation shielding in the vertical direction, whereas the concrete liner 24 provides radiation shielding in the lateral direction. Fuel assembly storage canister 40 is shown removably inserted and disposed in central cavity 28 of the outer cask 20. Referring to FIGS. 1-3, canister 40 generally comprises a vertically elongated cylindrical shell 41, baseplate 44 at bottom, and sealable/weldable lid 42 at top, which collectively form the confinement boundary for the stored fuel assemblies 50 (see, e.g. FIG. 6). The confinement boundary may be a completely seal-welded hermetically closed enclosure of all stainless steel construction in one embodiment to resist corrosion. Nuclear fuel assemblies 50 shown in FIG. 6 are well known in the art. They are vertically elongated box-like assemblies of square cross-sectional configuration generally comprising a top nozzle box 51, bottom nozzle box 52, and a plurality of fuel rods 53 extending therebetween which contain the uranium fuel pellets. The assembly is held together generally by a plurality of vertically spaced bands 54. The canister 40 defines a vertically-extending internal cavity 43 which holds a fuel basket 60 having a composite cell structure with a rectilinear honeycomb-like construction. In one embodiment, basket 60 may be formed by a plurality of tightly packed adjacent elongated open prismatic tubes 61 of rectangular cuboid shape and square transverse cross section. The tubes 61 may be formed by individual tubular elements as shown in FIG. 1, or by a welded rectilinear array of plates assembled and arranged to collectively form the tubes as shown in FIG. 2. In other embodiments, the tubes 61 may be formed by slotted interlocking plates as shown in commonly-owned U.S. Pat. Nos. 5,898,747 and 8,712,001, which are incorporated herein by reference. Accordingly, there are numerous ways that may be used to form the prismatic tubes which are not limiting of the invention and use of the radiation attenuation inserts disclosed herein. The tubes 61 each define elongated open top fuel assembly storage cells 62 therein each having a rectilinear square transverse cross section configured and dimensioned to insert and hold a single fuel assembly 50. The tubes 61 are supported by baseplate 44 at their bottom ends as further described herein. The fuel assemblies 50 may be inserted into the cells 62 and transferred from a fuel storage rack submerged in a spent fuel pool of a nuclear reactor containment structure to the canister 40 while underwater in the pool 60 to minimize radiation levels. The canister 40 may be a multi-purpose canister (MPC), which are further described in commonly-owned U.S. Pat. Nos. 7,096,600 and 5,898,747, which are incorporated herein by reference. Referring to FIGS. 1-3, fuel assembly storage canister 40 defines a vertical longitudinal axis LA and comprises a grid array of closely packed open storage cells 62 formed by the closely spaced storage tubes 61. The plurality of adjacent elongated storage tubes 61 are arranged in parallel axial relationship to each other. The fuel basket 60 comprises peripherally arranged exterior or outboard tubes 61A forming an annular array of tubes which define a perimeter of the fuel basket, and interior or inboard tubes 61B located in a central region of the basket inside the outboard tubes. Tubes 61A-B are coupled at their bottom ends to the planar top surface of baseplate 44 as previously described herein, and extend upwards in a substantially vertical orientation therefrom. In this embodiment, the vertical or central axis of each tube 61 is not only substantially vertical and parallel to longitudinal axis LA and each other, but also substantially perpendicular to the top surface of the baseplate 44. In one embodiment, tubes 61 may be fastened to baseplate 44 by welding and/or mechanical coupling such as bolting, clamping, threading, etc. Tubes 61 include an open top end 63 for insertion of fuel assemblies into the internal cavity 68 formed by the inner surfaces of the tube sidewalls 67 (cell walls), bottom end 66, and a plurality of longitudinally extending vertical sidewalls 67 (“cell walls”) between the ends and defining a tube or cell height. Each tube 61 defines an internal cell cavity 68 extending longitudinally between the top and bottom ends 63, 66. In the embodiment shown, four perpendicularly oriented tube sidewalls 67 are arranged in rectilinear polygonal relationship forming either a square tube as shown or rectangular tube in other embodiments in lateral or transverse cross section (i.e. transverse or orthogonal to longitudinal axis LA as seen in FIG. 3). Fuel assembly storage cells 62 and their internal cell cavities 68 accordingly have a corresponding rectilinear configuration in lateral cross section. Tubes 61 have a complementary configured transverse cross section to the fuel assemblies 50. Fuel assembly storage tubes 61 may be made of any suitable preferably corrosion resistant metallic material, such as without limitation stainless steel or others. Baseplate 44, shell 41, and lid 42 may be made of the same or a different preferably corrosion resistant metal. It will be appreciated that each tube 61 of the fuel basket 60 can be formed as a single unitary structural component that extends the entire desired total height H1 of the tube, or can be constructed of multiple partial height tubes that are vertically stacked and connected together such as by welding or mechanical means which collectively add up to the desired total height. It is preferred that the height H1 of the tubes 61 be sufficient so that the entire height of a fuel assembly 50 may be contained completely within the tube when the fuel assembly is inserted. The top ends 63 of tubes 61 may preferably but not necessarily terminate in substantially the same horizontal upper reference plane (defined perpendicular to longitudinal axis LA) so that the tops of the tube are level with each other. The baseplate 44 at the bottom ends 66 of the tubes terminate at and define a second horizontal lower reference plane. In one embodiment as shown in FIGS. 2 and 5, the top ends 63 of each tube sidewall 67 (cell walls) may be castellated or scalloped to define an upper flow cutout 64 used to initially dry the wetted canister 40 and fuel assemblies stored therein via air or an inert gas flow (e.g. nitrogen) after loading and removal of the assemblies from the spent fuel pool. The bottom ends 66 of tubes 61 may also similarly include air or gas lower flow cutouts 65. The flow cutouts 64, 65 may be upwardly and downward open respectively, as shown. In one embodiment, the cutouts may be semi-circular or arcuate in shape as shown; however, any suitable shaped cutouts may be used. In other embodiments, the cutouts may have a non-rectilinear polygonal (e.g. triangular, hexagonal, etc.) or rectilinear polygonal configuration such as a square or rectangular shape somewhat similar to the square cutouts in the radiation attenuation inserts seen in FIG. 7. A combination of shapes may be used for the flow cutouts. Gas dehydration systems suitable for drying the canister 40 include commonly-owned U.S. Pat. No. 7,210,247, which is incorporated herein for reference. The metallic radiation attenuation insert 80 (dose attenuation device) will now be further described with reference to FIGS. 3-4 and 7-9. Attenuation insert 80 has a vertically elongated prismatic tubular body and shape comprising an open top end 81, open bottom end 82, and four perpendicularly arranged vertically elongated sidewalls 83 extending between the ends. Insert 80 is completely closed-sided by the sidewalls 83 with no gaps therein forming a complete lateral enclosure for the fuel assembly 50. An internal chamber 84 defined by the sidewalls 83 extends preferably for the full length or height H2 of insert from end to end. Chamber 84 is configured and dimensioned in transverse cross section to hold a single fuel assembly 50. Height H2 of the attenuation insert 80 is preferably substantially coextensive with the height H1 of the fuel basket tubes 61 for full lateral radiation shielding. The bottom ends 82 of each attenuation insert 80 are preferably flat and linear to rest on the flat top surface of the baseplate 44 of the canister 40 adjacent to and inside the bottom ends of the tubes 61 in which the inserts are placed. The bottom ends of the inserts 80 and tubes 61 therefore terminate in the same horizontal plane defined by the top surface of the baseplate 44. Attenuation insert 80 preferably has a rectangular box-like or cuboid configuration with rectilinear transverse cross section complementary configured to the cross section of the tube 61 in which it is inserted. Each attenuation insert is configured to be inserted into and nest inside the perimetrically extending annular square gap G formed between a fuel assembly 50 and the inner surface of the vertical sidewalls 67 (cell walls) of each tube within its cell cavity 68 which forms the fuel assembly storage cell 62 (best shown in FIGS. 3 and 4). The attenuation insert 80 therefore functions as a thin liner a fuel basket tube 61 or cell 62 which takes advantage of the available space or gap G between the fuel assembly 50 and cell walls to attenuate radiation. The vertical centerline of the insert 80 is coaxial with the vertical centerline of the storage tube 61 when positioned therein. In some preferred embodiments, the insert 80 has a sidewall thickness which may be less than the thickness of the tube sidewalls 67 (see, e.g. FIG. 9). This is possible because the liner do not provide the majority of support for the fuel assembly 50 in contrast to the fuel basket tubes 61. In some examples, the thickness of the insert sidewalls 83 may be from about and including 10-gauge to 16-gauge (metal gauge). The tube sidewalls 67 are thus thicker than the insert gauge selected. The thickness of the insert sidewalls 83 will vary depending on the available cavity 68 to fuel assembly 50 clearance gap G, and the allowable weight of the waste fuel. To secure the attenuation insert 80 in axial position within and relative to its respective fuel basket storage tube 61, each insert includes an upper and lower axial retention or securement feature configured to engage and retain the insert in position inside the tube. In one embodiment, the upper axial securement feature may be formed by outwardly flared and angled securement flanges 85 extending generally upwards from the top end of the attenuation insert sidewalls 83 as best shown in FIGS. 7-9. The outwardly flared flanges 85 are arranged to engage the top edges of the fuel assembly storage tubes 61 at the top end 63 of each cell 62, thereby effectively locking the attenuation insert 80 in vertical axial position inside and relative to the cell. In one preferably construction, each attenuation insert sidewall 83 has a securement flange 85 to secure the top end of the insert to the storage tube 61 of the fuel basket 60. The inclined or sloped surfaces defined by the angled securement flanges further facilitates sliding entry of the fuel assembly 50 into the radiation attenuation insert 80 when lowered by overhead equipment. This helps center the fuel assembly relative to the insert. The lower securement feature of the attenuation inserts 80 in one embodiment may include one or more axial restraints configured to further lock and fixate the bottom end of each insert in vertical axial position within the storage cells 62 of the fuel basket 60. Referring to FIGS. 5 and 7-9, the axial restraints in one non-limiting embodiment may each be formed by elastically and resiliently deformable locking members which may be in the form of a metal leaf spring angle clips 87. Angle clips 87 each have an elongated and undulating body formed of corrosion resistant spring steel. Clips 87 each include an upper fixed end portion 88 rigidly attached to the exterior surface of a attenuation insert sidewall 83 and an opposite resiliently movable and cantilevered lower free-end locking portion 89. Fixed end portion 88 may be substantially flat and parallel to the longitudinal axis LA and insert sidewall 83. Fixed end portion 88 is fixedly attached to the exterior surface of the insert sidewall 83 by any suitable means, such as without limitation welding, riveting, brazing, or threaded or un-threaded fasteners (e.g. screws, rivets, etc.) in some non-limiting examples. Locking portion 89 of angle clip 87 extends downwardly from fixed end portion 88 and includes an obliquely angled locking protrusion 90 configured to engage the lower end portion of storage tube 61. The lower-most end of locking portion 89 may be substantially flat and parallel to the longitudinal axis LA and insert sidewall 83. Locking protrusion 90 may be located between this lower-most end and upper fixed end portion 88 as shown in some embodiments. Locking protrusion 90 of angle clip 87 may be generally triangular shaped in some embodiments as shown and projects laterally outwards from and beyond the sidewall 83 of the attenuation insert 80 to engage the storage tube 61. The triangular shape of the locking protrusion 90 advantageously allows it to automatically and detachably engage the storage tube 61 when fully inserted therein, and to be axially withdrawn (in a direction parallel to longitudinal axis LA) when a dedicated and sufficient axial force is applied to pull the insert upwards out of its storage tube. This also beneficially permits the radiation attenuation inserts 80 to be readily removed, re-used, and changed in position within a different cell 62 of the fuel basket 60 as needed based on the lateral radiation emission rates from different areas of the basket. The locking spring angle clips 87 are positioned on the lower half of the attenuation insert 80 and arranged to engage an available locking surface or edge 91 disposed on the lower half of the fuel assembly storage tubes 61 in the fuel basket 60. In one embodiment, the angle clips 87 may be proximate to and spaced above the bottom end 82 of the insert 80. Clips 87 are located and in a position to detachably but lockingly engage a free bottom locking edge 91 of the storage tube 61 when the insert is fully inserted into its respective storage tube 61 and abuts the top surface 44A of the common baseplate 44. In one embodiment, the bottom locking edge 91 of the tube 61 may be defined by its lower flow cutouts 65 (see also FIG. 5). Spring angle clips 87 may be laterally/horizontally centered between the vertical edges of each attenuation insert sidewall 83 as shown in FIGS. 7-9. In the illustrated embodiment, this coincides with the centered position of the flow cutouts 65. In one embodiment, the angle clips 87 are mounted proximate to the bottom end 82 of the attenuation insert 80 just above and at a downwardly open flow cutout 86. Angle clips 87 are vertically aligned with the flow cutouts 86. Each sidewall 83 of the radiation attenuation insert 80 may include a flow cutout 86 to promote uniform air or inert gas flow throughout the fuel basket 60 when the fuel assemblies 60 are dried after removal from the wet spent fuel pool. Preferably, the flow cutouts 86 are horizontally/laterally aligned with and overlap a respective lower flow cutout 65 formed in the storage tubes 61. This advantageously forms a continuous substantially linear lateral air/gas flow path through the sidewalls of the tube 61 and radiation attenuation insert 80 into the interior chamber 84 of each radiation attenuation insert from all sides in which the fuel assembly 60 to be dried is positioned. Accordingly, the placement of the flow cutouts 86 in radiation attenuation inserts 80 is one important feature which does not impede the flow of drying air/gas through the fuel basket assembly of tubes 61. FIG. 2 depicts air/gas flow arrows showing the direction thereof during normal drying of a wet fuel assembly storage canister 40. Air/gas is typically forced or drawn into the canister 40 through tube or valve fittings in the canister lid 42, and then flows downwards in the annular space between the fuel basket 60 and inner surface of the canister shell 41 as shown (see vertical flow arrows). The air/gas reaches the bottom of the canister 40 (baseplate 44), and then flows radially inwards through the lower flow cutouts 65 in the tube sidewalls 67 and mating through flow cutouts 86 in the radiation attenuation inserts 80 therein (not visible in FIG. 2). The air/gas flows upwards through the radiation attenuation inserts and fuel assemblies 50 eventually exiting the tops of the inserts and tubes 61 as shown from whence the air/gas is extracted back through the lid 42 to the dehydration or vacuum drying system as previously described herein. In one configuration, the radiation attenuation insert flow cutouts 86 may be substantially rectilinear in shape as seen in the illustrated embodiment (some rounding of the corners may be used as shown to eliminate stress concentration factors in the insert metal which could lead to cracking at these locations). The angle clip 87 protrudes downwards into the opening formed by flow cutout 86 to engage the locking edge 91 of the fuel assembly storage tube 61. Other shaped flow cutouts 86 may be used including any suitable non-rectilinear polygonal and non-polygonal configurations. It bears noting that the use of the insert flow cutouts 86 advantageously allows the radiation attenuation insert 80 to have a height substantially coextensive with the height of the tubes 61 in which the inserts are placed for optimum lateral radiation shielding, and further to be supported by the common canister baseplate 44 along with the tubes. Any suitable number of spring angle clips 87 may be provided. In one embodiment, each sidewall 83 of the attenuation insert 80 has a respective angle clip as shown for maximum securement of the bottom end 82 of the attenuation insert 80 to its respective storage tube 61. In other possible embodiments, however, only some of the sidewalls 83 may include an angle clip 87. If each sidewall 83 does not have its own angle clip, preferably at least two angle clips 87 are provided; each one being on opposite sidewalls of the insert 80 for balanced securement. The locking protrusion or spring angle clip 87 is resiliently movable and deformable between an inward deflected and retracted position for sliding the attenuation insert 80 into and vertically along the fuel assembly storage tube 61 or cell 62 in cavity 68, and an outward undeflected and extended position for engaging the locking edge 91 of storage tube 61. As previously described herein, the radiation attenuation inserts 80 are preferably inserted and secured in only the outermost peripheral outboard tubes 61A in one embodiment (see, e.g. FIGS. 3 and 4 showing inserts in some of the outboard tubes as an example). Because most of the radiation emanating from the fuel basket 60 in the lateral direction is from the fuel assemblies 50 located in these peripheral outboard tubes 61A and cells 62, the insert may be installed only in those peripheral cells that have a measured high radiation emission rate. This may includes some of the outboard tubes 61A, or all of the outboard tubes in various embodiments to form a complete radiation barrier around the entire perimeter of fuel basket 60 to absorb radiation emanating in the lateral direction from the basket 60. In some embodiments, certain ones of the inboard tubes 61B may also have a radiation attenuation insert 80 if they appear problematic from a lateral radiation emission standpoint in addition to some or all of the outboard tubes 61A. Operation of the attenuation insert securement features including the locking protrusion or spring clip 87 and angled flanges 85 will become evident by describing a method for installing the tubular radiation attenuation insert 80 in an example storage cell 62 of fuel basket 60. A suitable peripheral cell 62 candidate may first be selected for locking the insert 80 in the outboard tube 61A of the fuel basket 60 (see, e.g. FIG. 4). The canister 40 and fuel basket 60 may be still submerged in the spent fuel pool in some embodiments and radioactively active. In the following scenario, the fuel assembly 50 has not yet been installed in the selected outboard tube 61A, or if already present may first be removed. However, in other embodiments, the present attenuation insert 80 may advantageously be installed in a tube 61 with the fuel assembly 50 already positioned in the cell 62 if necessary. It bears noting that the following operations are typically performed by heavy equipment in the nuclear facility such as an overhead crane and/or hoist used to handle and maneuver the canister 40 with preinstalled fuel basket tubes 61, fuel assemblies 50, and radiation attenuation inserts. A radiation attenuation insert 80 is first positioned over and axially aligned with the selected peripheral outboard tube 61 and its storage cell 62. The locking spring angle clips 87 are initially in their outward undeflected and extended position (see, e.g. FIG. 7). An overhead hoist or crane may be used to deploy and maneuver the insert 80. The insert 80 is then slowly lowered vertically into the tube 61 and its cell cavity 68 through the open top end 63 of the tube. After the bottom end 82 of the attenuation insert 80 passes downward and through the tube top end 63, the locking spring angle clips 87 (locking portion 89) slideably engage the top end. This causes the angle clips 87 to compress and elastically deform inwards to the deflected and retracted positions against the inner surface of the storage tube sidewalls 67. As the absorber insert 400 continues to be lowered and slide farther downwards into the cell 62, the locking portions 89 of angle clips 87 remains in the compressed retracted position. When the angle clip locking portions 89 (i.e. locking protrusion 90) eventually passes beneath the locking edge 91 of storage tube 61A in the lower portion of the tube, the spring angle clips 87 will each snap back outwards via their elastic memory to their initial extended positions, thereby catching and lockingly engaging the locking edges 91 of the tube 61A formed by the tube's lower flow cutouts 65 (see, e.g. FIGS. 8 and 9). This locking engagement between the tube 61A and locking portion 89 of each angle clip 80 resists axially withdrawing the attenuation insert 80 from the storage tube 61A and cell 62 when not applying an intentionally strong upward pulling force on the attenuation insert 80 via the crane or hoist with the intention of removing the insert from the fuel basket 60. The bottom end 82 of the radiation attenuation insert 80 engages the top surface 44A of the canister baseplate 44 inside the bottom end 66 of the storage tube 61A (see, e.g. FIG. 9). In other possible embodiments, the radiation attenuation insert 80 may be provided with locking angle clips 87 and instead permanently installed inside the storage tube 61 via any suitable means, such as welding, soldering, brazing, fasteners such as rivets or screws/bolts, etc. As previously described herein, the gamma radiation attenuation insert 80 in some embodiments may preferably be formed of a non-boron containing material and non-ferrous metal due to their high specific gravity and concomitant high densities in contrast to other materials. A preferred metallic material selected also further preferably has a high thermal conductivity to dissipate heat emitting by the decaying nuclear fuel in the fuel basket. The material selected should preferably also be sufficiently rigid to form a self-supporting tubular construction for the gamma radiation attenuation inserts. In one preferred embodiment, copper may be used for insert 80 due to both its high thermal conductivity and high specific gravity which makes it more efficient at radiation attenuation and heat dissipation than other metals. If heat dissipation is not a major concern or criteria, however, then the insert 80 may be made of other less thermally conductive materials such as austenitic stainless steel which is not as good a conductor of heat and has a lower density (e.g. 7.5-8.0 g/cc) than copper (e.g. 8.9 g/cc). Other possible metallic materials which may be used as candidates for insert 80 if heat dissipation is not an overriding concern include Inconel® (e.g. 8.5 g/cc), Monel® (e.g. 8.4-8.8 g/cc), aluminum bronze (7.7-8.7 g/cc), Admiralty brass (e.g. 8.53 g/cc), copper-nickel alloy (cupronickel (e.g. 8.9 g/cc), and precipitation-hardened stainless steel (e.g. 7.8 g/cc). The foregoing densities are representative and not necessarily absolute values of all grades or alloys of the listed materials. While the inventive concepts described herein have been illustrated with a spent fuel assembly fuel basket formed of square shaped storage tubes/storage cells and complementary configured radiation attenuation inserts, the fundamental design concept disclosed herein is also applicable to fuel cells of different cross-sectional shapes such as for example without limitation rectangular, other polygonal cross-sectional shapes such as triangular, hexagonal, and non-polygonal cross-sectional shapes. An example of a fuel storage assembly with circular fuel tubes/cells is shown for example in commonly-owned U.S. Pat. No. 8,929,504 which is incorporated herein by reference. An example of a fuel storage assembly with hexagonal shaped tube for hexagonal shaped fuel assemblies commonly used in Russian PWRs is shown for example in commonly-owned U.S. Pat. No. 9,728,284, which is incorporated herein by reference. Regardless of the cross-sectional shape of the radiation attenuation inserts, they may include the same features such as the outwardly flared flanges and spring clips disclosed herein, or other retention/securement features. While the foregoing description and drawings represent some example systems, it will be understood that various additions, modifications and substitutions may be made therein without departing from the spirit and scope and range of equivalents of the accompanying claims. In particular, it will be clear to those skilled in the art that the present invention may be embodied in other forms, structures, arrangements, proportions, sizes, and with other elements, materials, and components, without departing from the spirit or essential characteristics thereof. In addition, numerous variations in the methods/processes described herein may be made. One skilled in the art will further appreciate that the invention may be used with many modifications of structure, arrangement, proportions, sizes, materials, and components and otherwise, used in the practice of the invention, which are particularly adapted to specific environments and operative requirements without departing from the principles of the present invention. The presently disclosed embodiments are therefore to be considered in all respects as illustrative and not restrictive, the scope of the invention being defined by the appended claims and equivalents thereof, and not limited to the foregoing description or embodiments. Rather, the appended claims should be construed broadly, to include other variants and embodiments of the invention, which may be made by those skilled in the art without departing from the scope and range of equivalents of the invention.
039376494
description
DESCRIPTION OF THE PREFERRED EMBODIMENT During the operation of an atomic reactor, radioactive tritium, H.sup.3, is produced as a side effect. Being such a light element, radioactive tritium becomes pervasive throughout the entire reactor, including the circulating reactor coolant (generally gaseous helium). Having a significant concentration of tritium is known as being tritiated. By processing the circulating reactor coolant continually, and removing the tritium from it, and then re-circulating the untritiated processed helium reactor coolant to absorb more radioactive tritium, the produced radioactive tritium may be effectively removed from the entire atomic reactor system. Since tritium is essentially a hydrogen atom, under the proper conditions of temperature and pressure it will combine with oxygen to form water vapor, a reaction which is exothermic and therefore self-sustaining. Utilizing this principle, the separation of tritiated water vapor from the helium reactor coolant involves combining it with gaseous oxygen under the appropriate temperature and pressure conditions, and then successively removing the water vapor and oxygen from the helium reactor coolant by fractional liquefaction steps; then returning the helium to the reactor to be re-circulated. The mechanism by which hydrogen and oxygen combine to form water is well known and is delineated, for example, in Combustion, Flames and Explosion of Gases, Louis N. Von Elbe, Second Edition, Academic Press, London, 1961, pages 22 to 64. Being exothermic, the reaction must be controlled so as neither to be overdamped, in which case the reaction will stop, nor be underdamped, in which case an explosion possibly would result. The reaction chain is most easily controlled by controlling the rate of flow of helium reactor coolant by means of a combination of temperature and pressure sensors, and appropriate controls. The reaction can be increased or decreased automatically to maintain the proper temperature and prevent the internal pressure from exceeding a specified amount. Referring to the figures, in FIG. 1 is shown a schematic flow diagram of the process and system for removing tritium of the present invention. A portion of the tritiated helium reactor coolant 10 is removed from circulating throughout the high temperature gas coolant atomic reactor and enters the normal purification train which removes the "hard" radiation. The coolant, now consisting only of helium and tritium, then enters the pre-heater 20 where it is heated from a temperature of approximately 200.degree.F to the approximately 900.degree.F temperature required for water vapor formation. The pre-heated tritiated helium 11 then enters water vapor formation section 21 where, under elevated temperature and pressure, it is combined with gaseous oxygen 12, resulting in tritiated water vapor being formed from the tritium in the helium and the gaseous oxygen. The helium, remaining gaseous oxygen, and tritiated water vapor mixture 13 then enters a water vapor removal section 22, where the mixture is cooled to a temperature sufficient to liquefy only the tritiated water vapor, which is then removed 14 to a safe radioactive waste disposal. The helium and oxygen mixture 15 then enters an oxygen removal section 23 in which the mixture is further cooled to liquefy only the oxygen, completing the second step of this two-step fractional liquefaction process. The liquefied oxygen 16 is then separated from the helium and removed to a liquid oxygen storage 24, where sufficient make-up liquid oxygen 17 is added to compensate for the oxygen consumed during the formation of water vapor, thus maintaining a constant level of oxygen. Liquid oxygen 18 from liquid oxygen storage is then used as cooling means in the water vapor removal section 22, during which the liquid oxygen 18 becomes gaseous oxygen, which is then used as the gaseous oxygen 12 supplied in the water vapor formation section 21. The cooled helium 19, at approximately a temperature of -300.degree.F, after the separation of the liquid oxygen, then enters a helium heater 25 is which the helium is heated to at least room temperature, in preparation for its being re-circulated through the reactor. After being sufficiently heated, the helium may be further treated by conventional adsorbents 83 after which it enters a helium storage 26 where it remains until re-introduction to the circulating helium reactor coolant. The heating of the cooled helium can be accomplished by a heat exchange with ordinary circulating water 30, which leaves the helium heater section 25 as chilled water 31, which is then available for use elsewhere in the reactor system and supporting environment for the many various uses to which chilled water is put in an industrial plant. A radiation monitor 27 is placed upon the flow of tritiated helium reactor coolant from the reactor, and another radiation monitor 28 is placed upon the flow of untritiated helium as it leaves the helium heater 25 for helium storage 26 before re-introduction to the circulating reactor coolant. By means of the radiation monitors, malfunctionings of the tritium process and removal system can be detected rapidly. Referring to FIG. 2, the water vapor formation section is schematically diagramed. Tritiated helium reactor coolant 11 from pre-heater 20 is introduced into water vapor formation mixing section pressure vessel 40, which may be lined with an appropriate catalyst 82, or which may be unlined. Also introduced into mixing section 40 is gaseous oxygen 12 coming from water vapor removal section 22. Partially perforated mixing baffle 81 prevents reactor coolant and gaseous oxygen from flowing out of the bottom of vessel 40 before becoming sufficiently mixed. Within mixing section 40, the tritiated helium and the oxygen combine under conditions of elevated temperature and pressure. Gaseous oxygen 12, varying with the flow rate, has a temperature in the vicinity of from room temperature to 500.degree.F. The temperature within mixing section vessel 40 is over 900.degree.F. The mixing of helium, gaseous oxygen, and tritiated water vapor 13, leaves pressure vessel 40 to water vapor removal section 22. Pressure sensor 35 and temperature sensor 36 within mixing section vessel 40 generate signals to an electronic signal analyzer 37 and to a meter display 38 in a control room. A signal from the pressure sensor 61, in oxygen removal section 23, acting through a signal conditioner 71, operates relay 39 which permits the signal from analyzer 37 to operate, through an electric-pneumatic converter 51, a Fail-Closed Fast-Acting (F.C. F.A.) pneumatic valve 52 which can quite quickly shut-off the flow of tritiated helium reactor coolant 11 into mixing section vessel 40 when necessary. The flow of tritiated helium reactor coolant can also be shut-off by means of manual valve 53. A pneumatic shut-off valve is used since it, in practice, operates more quickly then high inertia solenoid-activated electrically operated valves. Mixture 13 of helium, oxygen and tritiated water vapor also contains small amounts of hydrogen peroxide generated from an intermediate step in the water vapor formation reaction chain. Referring to FIG. 3, the water vapor removal section 22 is schematically diagramed. Mixture 13 of helium, oxygen and tritiated water vapor enters heat exchange vessel 41. Within vessel 41 are coils through which liquid oxygen 18 passes in cooling the mixture 13. In the cooling process, the liquid oxygen of approximately -300.degree.F temperature becomes gaseous oxygen at room temperature or above, depending upon the flow volume rate, and leaves as gaseous oxygen 12 to be used in the water vapor formation section 21. The mixture 13 is sufficiently cooled so that only tritiated water vapor is liquefied, which then collects in the bottom of vessel 41, and which is then removed as tritiated water 14 to be disposed of as radioactive waste material. Depending upon the amount of tritiated water, it may be diluted with other liquid radioactive waste material and disposed of in a usual manner or may be accumulated in a holding tank after which it will be solidified, for example, by mixing it with cement to form concrete which then will be shipped away for AEC approved burial. The mixture of untritiated helium and gaseous oxygen 15 is then removed to oxygen removal section 23. Vessel 41 may have either a float-operated high water sensor 55, as illustrated in FIG. 3, or a visual port for determining when the removal of tritiated water is appropriate for an opening of manual valve 56. A certain amount of tritiated water must remain in vessel 41 at all times to prevent the escape of gases through the tritiated water drain. A manually operated valve is preferred because of the small and gradual accumulation of tritiated water expected. The use of an automatic valve would make the system more complicated and more vulnerable to failures. The sensor 55 serves as a back-up to signal the need to remove some of the accumulated tritiated water. Temperature sensor 57 within the coil section of vessel 41 monitors the temperature within vessel 41 and through Fail-Closed, Fast-Acting pneumatic control valve 58, controls the flow of liquid oxygen through vessel 41 to prevent the condensated liquefied tritiated water from freezing. The flow rate of gaseous oxygen 12 out of vessel 41 is much higher than the flow rate of liquid oxygen 18 into the vessel. Referring to FIG. 4, oxygen removal section 23 is schematically diagramed. Mixture 15 of helium and gaseous oxygen enters oxygen removal vessel 42 within which mixture 15 is cooled to a temperature sufficient to liquefy only the oxygen. The liquid oxygen 16 then goes to the liquid oxygen storage section 24. The now untritiated cooled helium 19 is removed to helium heater 25. Pressure sensor 61 within vessel 42 is connected to signal conditioner 71 which operates relay 39. A level control sensor 73 is connected to electric-pneumatic converter 62 which operates Fail-Closed Fast-Acting pneumatic valve 63 to shut off the flow 16 of liquid oxygen should the pressure within vessel 42 become excessive. A high liquid oxygen level alarm 74 will alert the control room to a malfunctioning liquid oxygen removal system. The flow of helium 19 is assured of one-way progess by check valve 64 and manual valve 72 which provides complete system isolation. Radiation monitor 28 monitors the radiation level of the helium at this point. SAFETY The system of the present invention is designed to be quite safe. Considering as a design basis accident a situation when mixing vessel 40 ruptures, for some reason, helium reactor coolant would be released and the sudden pressure drop would cause excessive helium flow throughout the system. If unchecked, hot helium would pass over tritiated water, the water would instantly vaporize, and radiation would be expelled; and the increased helium flow could blow out the reaction chain. However, the temperature and pressure sensors 35 and 36 will close inlet valve 52 and signal the operator in the control room. As reactor coolant escapes, the low pressure sensor 61 in the oxygen removal section 23 will cause valve 52 to Fail-Closed. The lack of positive pressure in the oxygen removal vessel will also cause check valve 64 to close, thus preventing a loss of stored helium. Once valve 52 is closed, the temperature in water vapor removal section 22 will rapidly drop, which will cause temperature sensor 57 to close valve 58, thus shutting off the flow of liquid oxygen. It should be noted that the signal from 61, after conditioning, operates relay 39 which closes the contacts which electrically connect analyzer 37 with valve 51. If the circuit is broken (relay 39 becoming de-energized) valve 51 will automatically Fail-Close. This system will provide a higher response time than relying only on the output of 35 and 36. Generally, the activity of tritium in unprocessed reactor coolant will increase to several thousand curies. The activity due to tritium penetrating the steam generator will become several hundred curies. The initial radiation monitor upon the flow of helium reactor coolant from the circulating coolant is used to provide reference data; subsequent monitors being utilized to detect tritium which is not removed due to a system failure or improper calibration. It is assumed that substantially all radioactive materials have been removed prior to entry of the tritiated reactor coolant into the system of the present invention except for tritium Additional radiation monitors may be installed at various points to monitor the maximum radiation to be received by personnel calibrating or servicing the equipment. Control monitoring can be as simple as three lights and a switch or variable resistor, or as complicated as recorders, analyzers of chemical compositions and signal comparisons, digital displays, graphic or analytic projection analyses, or complete digital-analog computer analysis and control. The process and system of the present invention is readily adaptable to any type of controls desired. The process and system of the present invention have been designed to insure maximum safety of the operator and the vicinity. All piping, phase changing containments and all pressure vessels are to be constructed to the same specifications and classifications as helium purification systems, helium storage systems and helium surge systems. The material used for construction of all sections except the oxygen removal section, the drains, the liquid oxygen tubes, coils and valves should be incalloy 800. Incalloy 800 is basically 32% nickel, 21% chromium and 46% iron, and is generally manufactured and tested to ASME specification SB-407 and standard quality control tests. Other sections not constructed of incalloy 800 should be made of stainless steel in accordance with ASTM specification A423, (ASME specification SA-312) Grade TP 304L. The oxygen removal section should be a conventional liquefaction system modified as shown in FIG. 4 to include a pressure sensor, a gas removal line, a level control sensor, and high level alarm sensor. Sizes of tubings, sections, valves, and the like should be compatible. Should the rate of the tritium production in the reactor be in excess of the rate of the oxidation reaction of this method under the conditions selected, so that a buildup of tritium occurs, the rate of the reaction may be accelerated by various expedients. For example, the temperature and/or pressure in the mixing section may be increased to any practical degree desired, and the mobility of the reactants imparted by the thermal agitation may be enhanced by supplemental mechanical agitation. In order to provide sufficient dwell to consummate the oxidation reaction, the volume of the mixing section may be made as large as desired. The controlled temperature of the cooling section may be suitably maintained as low as necessary to reduce the water vapor content to as low a value as desired. The method of this application may be used in tandem with and to supplement prior are tritium removal methods using conventional adsorbents 83 such as potassium chloride, for example. The result of treatment of the reactor coolant by such combination of this method with the prior art method would be to minimize any tritium remaining in the helium dispatched to the helium storage, so that such remanent tritium would be less than would result from the use of either of the two methods alone. Although a specific form of the present invention has been selected for illustration and the drawings and the above detailed description is drawn in specific terms for the purpose of describing this form of the invention, this detailed description of the preferred embodiment is not intended to limit the scope of the present invention. It will be understood that various changes in the details, materials, and arrangement of parts which have been described and illustrated above in order to explain the nature of the present invention, may be made by those skilled in the art and yet still be within the principle and scope of the present invention as defined in the appended claims.
description
The present application is a divisional of U.S. application Ser. No. 13/212,483, filed on Sep. 21, 2011, entitled “System and Method for the Identification of Radiation in Contaminated Rooms,” which claims priority to U.S. Provisional Application 61/401,718 filed on Aug. 18, 2010, entitled “Position and Orientation Determination System for a Radiation Detector” and U.S. Provisional Application 61/403,813 filed on Sep. 22, 2010, entitled “Hot Cell/Glovebox Characterization Using PODS™, RDDS™, BRACE™, and SourceMarker™,” the disclosures of which are incorporated herein by reference. This invention was made with Government support under Contract No. DE-AC09-08SR22470 awarded by the United States Department of Energy. The Government has certain rights in the invention. The present invention relates generally to the characterization of areas such as shielded cells (hot cells), glove boxes, and rooms contaminated by radioactive materials involving gamma-ray, alpha-particle and neutron emitters. More particularly, the present application involves a directional shield, a position determination system, a back-projected radiation analyzer and cell evaluator method, and a system for visually highlighting contaminated areas on objects such as walls of a contaminated room. The use of radioactive material may result in the contamination of reactors, fuel and isotope processing facilities, laboratories, glove boxes, isolators, and other rooms. Decontamination efforts of these rooms benefit from knowledge of where in the room radioactive contamination is located. A worker may concentrate his or her decontamination efforts on portions of the room that are actually contaminated while avoiding those areas that are already clean thus saving time, effort, money and exposure to radiation. Identification of radioactive contamination in a room may be accomplished through the use of a collimator that includes a detector made of a radiosensitive detector material that is in the shape of a sphere. The detector is located within a collimator shield that has a series of through apertures. The collimator may be placed within a room that is contaminated with radioactive material for a time sufficient to allow portions of the detector to become opaque via exposure to the radiation contamination. The apertures of the collimator shield function to direct or channel the radiation into the spherical detector so that opaque lines or streaks are formed. The degree of opaqueness and the direction of the lines yield information on the intensity of the radiation and its direction. The collimator shield functions to block out radiation either completely or partially so that portions of the detector are not turned opaque to better allow this determination. The collimator is a passive device and thus cannot determine its position or orientation within the room. The user may remove the detector and examine same in order to determine radiation intensity and direction in much the same way that a medical professional will examine an X-ray. It may be the case that the sources of radiation that can be transferred through an aperture of the collimator shield are at too remote an angle to the aperture. Such radiation may cause opaque portions to be formed in association with the aperture that would be confusing or tend to be interpreted as noise thus hindering accurate identification of radiation intensity and location. Although techniques are available for ascertaining the location and intensity of radiation contamination within a room, such techniques are subjective in nature, costly, not efficient, limited in application, not automatic, and inaccurate. As such, there remains room for variation and improvement in the art. Repeat use of reference characters in the present specification and drawings is intended to represent the same or analogous features or elements of the invention. Reference will now be made in detail to embodiments of the invention, one or more examples of which are illustrated in the drawings. Each example is provided by way of explanation of the invention, and not meant as a limitation of the invention. For example, features illustrated or described as part of one embodiment can be used with another embodiment to yield still a third embodiment. It is intended that the present invention include these and other modifications and variations. It is to be understood that the ranges mentioned herein include all ranges located within the prescribed range. As such, all ranges mentioned herein include all sub-ranges included in the mentioned ranges. For instance, a range from 100-200 also includes ranges from 110-150, 170-190, and 153-162. Further, all limits mentioned herein include all other limits included in the mentioned limits. For instance, a limit of up to 7 also includes a limit of up to 5, up to 3, and up to 4.5. A collimator 10 can be used to measure intensity of radioactive material in a contaminated room. The collimator 10 may include a detector 14 that is made of a substance sensitive to radiation. Apertures 18 of the collimator 10 function to channel the direction of radiation exposure onto the detector 14 into lines so that one may more easily ascertain the location and intensity of present radiation. FIG. 1 illustrates a collimator 10 that can be used in accordance with one exemplary embodiment of the invention. The collimator 10 may be spherical in shape and can have a collimator shield 12 made of a generally thick and dense shielding material. A plurality of apertures 18 extend through the collimator shield 12 and extend around the outer surface of the collimator 10. The apertures 18 may be positioned around the entire outer surface of the collimator 10, or may extend around only portions of the outer surface while certain sections, such as the bottom, are not provided with apertures 18. Radiation, such as high energy gamma rays, may extend through the apertures 18 and form streaks or otherwise discolored areas within a detector 14 located within the collimator shield 12 for identification. The collimator 10 may include a plurality of directional shields 16 located at the apertures 18 for use in demarcating a field of view 22 to reduce noise that may otherwise be present within the detector 14 due to air gaps formed via the presence of the apertures 18. The detector 14, along with other portions of the collimator 10, may be as described in World Intellectual Property Organization International Publication No. WO 2009/063246 A2, the entire contents of which are incorporated by reference herein in their entirety for all purposes. An exemplary embodiment of a directional shield 16 is illustrated with reference to FIGS. 2, 4 and 5. The directional shield 16 may be made out of the same material as the material making up the collimator shield 12. The directional shield 16 may thus resist the transfer of certain types of radiation therethrough to the same extent as a comparable portion of the collimator shield 12. However, it is to be understood that in other arrangements that the directional shield 16 may be made of material that is more or less impervious to the transmission of various types of radiation therethrough. The directional shield 16 may include a base 28 that has an outer surface that is generally in the shape of a truncated cone having its larger portion above its smaller portion. The base 28 may be symmetrical about its axis which is also the axis 50 of the directional shield 16. Although described as being in the shape of a truncated cone, the bottom surface of the base 28 may be concave instead of flat. As shown more clearly with reference to FIGS. 3 and 5, a concave lower surface 30 of the base 28 is present and is symmetrical about axis 50. The concave lower surface 30 may be present in order to be complimentary to a curved outer surface of the collimator shield 12. In this regard, the concave lower surface 30 may match the curvature of the convex upper surface of the collimator shield 12 so that these two surfaces fit against one another with no air gap therebetween. However, in other arrangements, the concave lower surface 30 need not be present and the lower surface of the base 28 may be convex in shape or can be flat in shape. An upper portion of the directional shield 16 may extend upwards from the base 28 and may have a concave outer surface 26 that is symmetrical and extends completely 360° about the axis 50. The concave outer surface 26 has a shape that resembles a truncated cone, except for the fact that its outer surface is concave. Upon extending away from the base 28, the radial size of the concave outer surface 26 and hence the upper portion 25 decreases in size. The upper portion 25 demarcates the upper terminal end of the directional shield 16 at a top 24. A stem 32 extends downwards from the base 28 and is cylindrical in shape. A bottom terminal end of the stem 32 opposite from the base 28 defines the bottom 38 of the directional shield 16. The outer surface of the stem 32 is uniform and symmetrical in shape about axis 50. The longitudinal length of the stem 32 may be longer than the longitudinal lengths of the upper portion 25 and base 28 combined. The various portions of the direction shield 16 such as the upper portion 25, base 28, and stem 32 may be integrally formed with one another and hence a single piece, or may be multiple pieces connected to one another. Further, the upper portion 25, base 28, and stem 32 may all be made of the same material, or may be made of different material from one another in accordance with various exemplary embodiments. Further, although disclosed as having an upper portion 25, base 28, and stem 32, it is to be understood that additional components may be present in other embodiments. Likewise, other versions of the directional shield 16 exist in which one or more of the upper portion 25, base 28, and stem 32 are not present. With reference to FIGS. 3-5, it can be seen that the directional shield 16 is hollow. An aperture extends all the way through the directional shield 16 from the top 24 to the bottom 38. The aperture is made of two connected apertures 34 and 36. Aperture 34 is in the shape of a truncated cone with its larger portion located above its smaller portion. Aperture 34 extends from the top 24 through the upper portion 25 and also through base 28. Aperture 34 is symmetric about axis 50. The angle on the sides of aperture 34 is constant all the way through upper portion 25 and base 28. Aperture 34 may terminate at the bottom of base 28 and may be in communication with aperture 36. Aperture 36 is cylindrical in shape and extends from the top of the stem 32 adjacent base 28 to the bottom 38 of the directional shield 16. Aperture 36 may have a diameter that is the same as the smallest diameter of the aperture 34 which is the diameter at the bottom of aperture 34. Aperture 36 is symmetrical in shape such that the sides of aperture 36 are located the same distance from the axis 50 along their entire lengths from the top of stem 32 adjacent base 28 to the bottom 38. As shown with reference to FIG. 3, if the sides of aperture 34 were continued downward, they would intersect at a location within aperture 36. This location may be one half of the way along the longitudinal distance of the stem 32 along axis 50. The directional shield 16 functions to provide a field of view 22 to allow radiation, such as gamma radiation, within the field of view 22 to be imparted to the detector 14, while radiation, such as gamma radiation, outside of the field of view 22 is not imparted to the detector 14. As such gamma radiation through the aperture 18 may be regulated so that only gamma radiation within the field of view 22 is imparted through the aperture 18 and those outside the field of view are not imparted through aperture 18 into the detector 14. The directional shield 16 functions to increase the amount of attenuating material thickness based on the angle of incidence of the source of radiation. This configuration may afford a very abrupt transition point between in-field and out-of-field angles of incidence to allow for both detection of radiation and elimination of noise associated with radiation located at too remote an angle. As such, once the radiation source is beyond the field of view 22, instead of having a continuous reduction in readings, the detector 14 reading will remain essentially constant. The collimator 10 is constructed so that there is an equal amount of attenuating material between the detector 14 and the source of radiation at angles beyond the field of view 22. In other arrangements, a greater amount may be present. The additional attenuating material provided to the detector 14 takes into account the “air gap” introduced by the aperture 18. The shape of the directional shield 16 is such that the total thickness of material through the center of the aperture 18 and at angles beyond the field of view 22 is equal to the thickness of attenuating material in a solid (no aperture 18) sphere of attenuating material at the same angle. As shown in FIG. 3, a radiation source 20 is present that is situated at an angle within the field of view 22 of the directional shield 16. The aperture 34 is sized such that a first angle 42 extends from the axis 50 to one side wall of the upper portion 25 and base 28, and such that a second angle 44 extends from the axis 50 to an opposite side wall of the upper portion 25 and base 28 that is essentially in the opposite direction. If the side walls of the upper portion 25 and base 28 were extended, they would meet at a location in the stem 32 that would be the origin 52 of the two angles 42 and 44. Addition of the two angles 42 and 44 may yield the field of view 22 of the directional shield 16. The field of view 22 may be from 0°-45°, from 45°-90°, from 90°-120°, or up to 140° in accordance with various exemplary embodiments. Radiation source 20 projects a path 46 towards the directional shield 16 that is within the field of view 22 such that the angle of path 46 with respect to the axis 50 through the origin 52 is less than angle 42. Radiation source 20 will be “seen” by the detector 14 through the aperture 18 and be noted by the detector 14. A second radiation source 40 may be present and can be at a greater angle of incidence than the first radiation source 20. Second radiation source 40 may generate a path 48 towards the detector 14. Second radiation source 40 may be at a location beyond the field of view 22 such that the angle of path 48 through the origin 52 with respect to the axis 50 is greater than that of angle 42. As shown, path 48 must go through some amount of the upper portion 25 and base 28 of the directional shield 16 before entering the aperture 18 and air gap associated therewith. Further, the path 48 may extend through a portion of the material making up the stem 32 thus further attenuating the radiation. The presence of the directional shield 16 may prevent radiation from the second radiation source 40 from reaching the detector 14 through the air gap associated with the aperture 18. The detector 14 will thus not record radiation of the second radiation source 40 through the aperture 18. The dimensions of the directional shield 16 can be varied so that any sized field of view 22 is achieved. For instance, if the second radiation source 40 and path 48 were angled from the axis 50 through the origin 52 at an amount of 24° or greater then radiation from the second radiation source 40 through the aperture 18 would not be visible to the detector 14. In this arrangement, the first angle 42 would be 24°, and the second angle 44 could be a similar amount (24°) so that the field of view 22 would be 48°. With such an arrangement, if the first radiation source 20 and path 46 were situated at an angle of 12° from axis 50 through the origin 52 then it would be sensed by the detector 14 through the aperture 18. The shape of the directional shield 16 may be dependant on the diameter of the aperture 18, the shape of the collimator shield 12 such as planar or spherical, and the desired field of view 22. The collimator shield 12 may have an outer surface 54 that is convex in shape and an inner surface that is closer to the detector 14 and is concave in shape. The aperture 18 can be selected so that it is of a diameter that provides a desired line of sight. This line of sight can be selected so that very low energy gammas will still generate a dose rate into the detector 14 up to the maximum desired field of view 22. Next, starting at the 2D center of the aperture 18 the length of the air gap through the aperture 18 at angles beyond the field of view 22 can be determined. This allows for the determination of the amount of additional attenuating material needed on the outside of the aperture 18. This additional material can be added radially around the aperture 18 to produce a symmetric shape around aperture 18. With the directional shield 16 in place and with a second radiation source 40 outside the desired field of view 22, the detector 14 material in line with the aperture 18 and the second radiation source 40 has a similar amount of attenuating material to the second radiation source 40 as the neighboring detector 14 material under the solid portion of the collimator shield 12. The directional shield 16 can be included with all of the apertures 18 of the collimator shield 12, or may be included with less than all of the apertures 18. The directional shield 16 may work with any collimator shield 12 material type, collimator shield 12 thickness, aperture 18 diameters, and field of view 22. If the attenuating properties of the directional shield 16 do not match that of the collimator shield, the thickness of the directional shield 16 as measured radially from the axis 50 may be increased or decreased to match the attenuating properties of the solid portion of the collimator shield 12. The detector 14 may not contain electronics or other mechanisms capable of determining its location and orientation within a contaminated room. A position determination system 100 may be provided in order to help ascertain the location and orientation of the collimator 10 within a room. One exemplary embodiment of a position determination system 100 is illustrated in FIG. 6. The position determination system 100 may have a base 130 that may measure approximately 8″×8″ such that the footprint of the position determination system 100 is 8″×8″. The height of the position determination system 100 may be 16″ in accordance with certain exemplary embodiments. An LCD screen 122 is located on a side of the base 130 and may be touch sensitive so that the user can cycle through menus to obtain information and issue commands to the position determination system 100. A distance sensor 112 is included and is capable of rotating 360° about the position determination system 100. The distance sensor 112 is used in order to determine the distance from the position determination system 100 to a wall or other surface. Although described as being a distance sensor 112, it is to be understood that the sensor 112 may be variously configured in other arrangements. The distance sensor 112 may be an ultrasonic sensor in one embodiment. In another embodiment, sensor 112 may be a laser sensor. The distance sensor 112 is capable of rotating a distance of 360° without any obstruction in the way of the sensor 112 as it looks outward from its center of rotation. The system 100 may be constructed so that the sensor 112 is afforded an unobstructed view about its entire range of motion. A collimator cover 102 is located at the top of the system 100 and houses a collimator 10. Although covered, the collimator cover 102 may allow certain types of radiation to pass therethrough so that the detector 14 can in fact record radiation presence and intensity. The collimator 10 may thus be afforded an unobstructed view by the system 100 with respect to the radiation it is attempting to detect. With reference now to FIG. 7A, a schematic drawing of one exemplary embodiment of the system 100 is shown. The collimator 10 includes a base 11 that may be non-removably attached to the collimator shield 12. The collimator shield 12 can be disassembled such that its upper hemisphere can be removed from its lower hemisphere to access the detector 14 located within. The collimator cover 102 can surround the collimator 10 such that no portion of the collimator 10 is visible from outside of the collimator cover 102. The collimator 10 and collimator cover 102 can be arranged so that they do not rotate with respect to base 130. In this regard, a main shaft 104 may extend from the base 130 and can support the collimator 10 and collimator cover 102. The main shaft 104 can be arranged so that it does not rotate but is instead rigidly attached to the base 130. The distance sensor 112 can be rendered rotatable in a variety of manners. One such method is shown in FIG. 7A in which a rotating disk 108 is present and is rotatably mounted onto the main shaft 104 by way of a bearing 106. A mount 114 extends from the rotating disk 108, and the distance sensor 112 is attached to the end of the mount 114. The distance sensor 112 may be in wireless communication with a CPU 120 (central processing unit) or can be in communication therewith through a wired connection. A planetary gear arrangement 110 may be used to drive rotation of the rotating disk 108. The detector 14 may be located completely above the planetary gear arrangement 110. The planetary gear arrangement 110 may include an outer gear 111 that is rigidly attached to the bottom of the rotating disk 108. The outer gear 111 has gearing on its inner surface and can extend for 360° about the inner surface of the outer gear 111. A planetary gear 116 engages the gearing of the outer gear 111 and is driven by a stepper motor 118. The planetary gear 116 may be located so that it is not coaxial with the outer gear 111 and may be a pinion of the stepper motor 118. The planetary gear 116 is rigidly connected to the drive shaft of the stepper motor 118 so that rotation of the drive shaft causes a corresponding rotation of the planetary gear 116 which in turn causes rotation of the outer gear 111 through its geared arrangement. Since the distance sensor 112 is rigidly attached to the outer gear 111, it will be rotated as well upon rotation of the outer gear 111. The distance sensor 112 may thus be rotated about the stationary main shaft 104. The main shaft 104 and stepper motor 118 may both be mounted onto the base 130, and in the schematic diagram shown are mounted to a top plate of the base 130. Other mounting positions may be made in other arrangements. The position determination system 100 includes additional components that may be mounted or located in or on the base 130. A CPU 120 may be powered by a lithium-polymer battery 126. The battery 126 may be strong enough to power the system 100 so that it can determine the location and orientation of the detector 14 and store this data to a non-volatile memory 128 or otherwise transfer this data to a remote location. The lithium-polymer battery 126 may be capable of running the system 100 for 8 hours in accordance with certain exemplary embodiments. A digital compass 124 can be in communication with the CPU 120. Non-volatile memory 128 may likewise be in communication with the CPU 120 in order to store data generated by the position determination system 100. The LCD screen 122 may be in communication with the CPU 120 in order to both display output from the CPU 120 and to input commands from the user into the CPU 120. It is to be understood that the components shown in communication with the CPU 120 may also be in communication with one another directly, or through CPU 120, in other exemplary embodiments. Further, the stepper motor 118 and distance sensor 112 may be controlled by the CPU 120 and may send information back to the CPU 120. The various communications between the various components of the position determination system 100 may be accomplished through hard wired and/or wireless connections. Although described as having the detector 14 above the rotating disk 108, and generally vertically higher than the distance sensor 112, other arrangements are possible in which the detector 14 is located completely below the rotating disk 108 and completely vertically lower than the distance sensor 112. In still other versions, the distance sensor 112 can be located vertically at the midpoint of the detector 14 and can rotate around the midpoint of the detector 14. The system 100 can be variously configured so that it is capable of determining the distance from any component of the system 100 to the walls of the room the system 100 is in, or to objects located within the room. During rotation of the distance sensor 112, the software of the system 100 constantly monitors the distance data to determine the angle to the closest wall. As shown in FIG. 10, the distance sensor 112 may emit a cone shaped sound wave 142 to search for objects 140. The object 140 shown as being located is a wall 140, although the object 140 could be a chair, glovebox, computer, or any other object in other arrangements. The range of the cone of the distance sensor 112 may be from 20-765 centimeters. Due to this cone shape, and the distance sensor's one centimeter accuracy, it will report the same distance to the closest wall some distance before and after the actual closest point as it moves a specific angle. The true closest distance is half of this angle and is the point on the wall perpendicular to the distance sensor's 112 center line. This position is the one reported by the system's 100 software. With reference back to FIG. 7A in addition to FIG. 10, after completion of the scan, the distance sensor 112 is moved to point to the closest wall 140 and the compass angle reported by the digital compass 124 and distance to a point 141 of the wall 140 that is closest to the detector are displayed on the LCD screen 122 along with the digital compass 124 angle of the detector 14 orientation line 138. A 0° reference line 125 of the digital compass 124 can be noted and the various compass angles to the orientation line 138 and objects 140, such as the compass angle of the closest point 141 of wall 140, can be measured from this reference line 125. The distance sensor 112 may then rotate to a wall 90° from this angle, that is the angle of the closest wall, and the distance can be measured and displayed along with the digital compass 124 angle and distance. This second object 144 may be a second wall 144 that is 90° to the first wall 140 and a point 146 of the second wall 144 may be the distance that is measured by the system 100. The angular orientation of the point 146 of the second wall 144 may also be measured by the system 100 and reported along with the distance. The position and orientation information is stored on the non-volatile memory 128 for later retrieval, and can remain ready for subsequent retrieval even if the lithium-polymer battery 126 dies. The compass angle of the various points and lines may be an angle that informs one of the pitch and roll location of the points and lines. As shown in FIG. 10, a top view of a room is shown and the pitch and roll directions are the X-Y coordinates of the room such that the compass angle represents an orientation in a plane of the room. The orientation may also include the heading. The heading is the Z direction/up down direction in the room. It may be assumed in most instances that the orientation line 138 and other points and lines are horizontal so that they do not have a component in the Z or up/down direction. The compass angle would then yield the full orientation (heading, pitch, and roll) of the point or location. However, in other embodiments, the orientation line 138 may be pointed in an upward or downward direction so that its proper orientation must include a component in the X, Y and Z directions. The digital compass 124 may measure this component in the Z or up/down direction. As used therein the term angular position may simply be a position of an object 140 or line in the pitch and roll direction knowing that its heading is 0° or horizontal. However, the term angular position may also refer to the position of an object 140 or line in which the heading, pitch, and roll all have some component or in which one or more of them have a 0 component. As used herein, the term orientation may refer to the heading, pitch, and roll of the line or object. The term compass angle may refer to only two of the axes as the third, for example the heading, may be understood to be 0 or horizontal. However, the term compass angle may in other arrangements refer to the heading, pitch, and roll such that all of these have some component or in which one or more of them have a 0 component. The digital compass 124 or some other device may be used to determine the heading, pitch, and roll orientation. As such, the X, Y, and Z directional orientation of the orientation line 138, objects 140, closest points 141, or other objects 140, lines or points may be determined. The system 100 may recognize two special cases. The first special case may be when the detector 14 is placed equidistant between two walls. In this case, the system 100 must be able to separate measurement data to distinguish between the two walls. The second special case may be when the closest distance to a wall is at the start of the scan or is at the end of the scan. This situation results in the home position pointing towards the closest wall, and results in having to combine data from the start and end of the scan in order to find the correct perpendicular angle to the wall. The position determination system 100 may be set up so that when first turned on, a screen appears on the LCD screen 122 that gives the operator the ability to choose a “Count Down Time.” This “Count Down Time” introduces a time delay during which the system 100 can be deployed remotely via a crane, robot, etc. before it starts a scan. After the count down delay, the CPU 120 reads the digital compass 124 to record the orientation (heading, pitch, and roll) of the collimator 10 and included detector 14. This position may be classified as the “home position.” The CPU 120 then takes distance sensor 112 readings as the stepper motor 118 rotates the sensor 112 in very small increments (for example 1° increments). This data will indicate the distance from the walls or objects to the center of the position determination system 100. Once a 360° scan is complete, software run by the CPU 120 saves the distance data and performs a wall finding algorithm to determine the perpendicular distance, which may be from the center of the system 100 to each detected wall, and the corresponding compass angle. The wall distances, compass angles and the orientation information are used along with the detector 14 data in order to map the detector's data on the walls, floor and ceiling. All data is stored to the non-volatile memory 128 which may be a secure digital memory card. An exemplary embodiment for one algorithm performed by all or certain components of the system 100 for use in finding walls may be as follows: 1. The captured scan data is in polar form (angle, distance), so it must be converted to Cartesian (rectangular) coordinates (x, y). As this is being done, the greatest polar distance is noted and the corresponding Cartesian coordinate (called the “furthest point”) is saved for later use. 2. This “furthest point” is near a corner, so it is a good starting point for the algorithm. 3. Select the next N points to be processed. A moving set of N points will be generated as the distance sensor 112 moves across the wall. Each time a set of N points is processed, it contains N-1 points from the previous time with only one new point. One point is dropped and one is added to each new set of N points. The following line (y=mx+b) for the current N points may be calculated in which: a. Slope=m: is determined through linear regression b. Y Intercept=b: is determined through linear regression c. Correlation Coefficient=R2 d. Perpendicular distance from the center of the position determination system 100 (X=0, Y=0) to the line above. 4. If R2 is greater than or equal to the “R2 Threshold”, then the set of points fit well to a line and form the “current wall segment.” a. If the last set of points is a wall segment, then the “current wall segment” is part of that same wall segment. In this case, the new point will be added to the last wall segment, and a recalculation of the statistics will be performed. b. If the last set of points is NOT a wall segment, then a determination is made to ascertain if the “current wall segment” is on the same line as an “old wall segment”. This may be done by comparing the slope and y-intercept of all “old wall segments” to the “current wall segment”. i. If an “old wall segment” and the “current wall segment” are part of the same line, then the points of the “current wall segment” are added to the points of the “old wall segment”, and the statistics are recalculated. ii. If the “old wall segment” and “current wall segment” are not on the same line, then the “current wall segment” points are placed in a “new wall segment” and the statistics are recalculated. 5. If R2 is less than the “R2 Threshold”, then the set of points did not fit well to a line. An indication may be made that the last set of points is not a wall segment. 6. If all points have been processed, go to step 7, else go to Step 3. 7. All of the wall segments must be searched to find the true walls. a. Sort all wall segments by their slope to obtain parallel wall segments. b. Sort parallel wall segments by their y-intercept to obtain wall segments on each side of the room. Sort each of the side wall segments by distance to find the one that is furthest away which will be the true wall. 8. For each of the true walls found, calculate the compass angle in degrees of a line from the center of the system 100 [the origin (0,0)] and perpendicular to the true wall. At this point the algorithm has already calculated the distance from (0,0) to the wall. a. Calculate the equation of the line through point (0,0) that is perpendicular to the true wall line. i. Find the perpendicular slope (mp): This slope is the negative reciprocal of the true wall slope (mt): mp=−1/mt ii. Use the Point-Slope Line Form to find the equation of the perpendicular line y−y1=mp(x−x1). Here (x1, y1)=(0,0), and the equation is y=mpx b. Calculate the intersection point of the true wall line (y=mtx+bt) and the perpendicular line through (0,0). i. Set the equation of the true wall line equal to that of the perpendicular line and solve for x.mpx=mtx+bt  1.(mp−mt)x=bt  2.x=bt/(mp−mt)  3. ii. Substitute the x value into one of the line equations to find y. The equation that may be used for this is y=mpx. c. Use the Atan 2(x,y) function to calculate the angle θ from (0,0) to the intersection point (x,y). This calculation will result in an answer that will be −π≦θ≦π, where θ is in radians. d. Convert radians to degrees: degrees=radians*(180/π) 9. Therefore, for each true wall, the algorithm has determined the perpendicular distance from the origin to the wall, and the compass angle. It is to be understood that the aforementioned algorithm for determining the perpendicular distance from the origin to the wall and the compass angle is only exemplary and that other algorithms for ascertaining this information may be possible. The algorithm can be carried out by any portion of the system 100 such as the CPU 120, digital compass 124, LCD screen 122, distance sensor 112, rotating disk 108, stepper motor 118, and non-volatile memory 128. These components need not be used in other algorithms for use in obtaining the aforementioned information. The distance and angular readings may be used to determine the location and orientation of the detector 14, collimator 10, and/or system 100 within the room. As such, the measurements may be applicable to any of the portions of the system 100, including the carried collimator 10 and detector 14. The various measurements may be thought of as having the position determination system 100 as its origin. As such, if an object 140 is measured to be five feet, it will be five feet from the position determination system 100. However, it may be the case that a more precise origin is desired than the position determination system 100 in general. For example, the origin may be defined as being a center 15 of the detector 14. The detector 14 in one embodiment may be a radiosensitive detector material that is in the shape of a sphere that is sensitive to radiation, and the center 15 may be the physical center of this sphere. In other embodiments, the origin may be a face 113 of the distance sensor 112, a longitudinal axis of the main shaft 104, a center of rotation 109 of the rotating disk 108, or a mark or other location on the base 130 such as a center 131 of the base 130. Any part of the system 100 may be the origin in yet other arrangements. The detector 14, collimator 10, and/or position determination system 100 may have an orientation line 138. This line can be etched or otherwise noted on the collimator 10, detector 14, base 11, collimator cover 102, or any other portion of the system 100. This orientation line 138 may help to properly orient the detector 14 and collimator 10 with respect to the system 100 and/or the room into which it is placed. A reading from the digital compass 124 may indicate to which wall in the room the orientation line 138 is pointing. The orientation line 138 may be matched with a mark on the collimator cover 102 or other portion of the system 100 so that the proper positioning of the detector 14 is noted upon determining the wall and angular orientations via the algorithm. Since the relative position between a face 113 of the distance sensor 112 and the center 15 of the detector is known, the system 100 may function to determine the location of the center 15 of the detector 14 relative to the walls of the room it is in and the angle the orientation line 138 is pointing to in the room. It is to be understood that the arrangement illustrated in FIG. 7A of the position determination system 100 is only exemplary and that others are possible in accordance with other exemplary embodiments. For example, FIG. 7B discloses an alternative arrangement of the position determination system 100 in which the planetary gear arrangement 110 is varied from that shown in FIG. 7A. The stepper motor 118 is centrally located so that the pinion 116 rotates about a central axis of the position determination system 100 and is coaxial or in line with the center 15 of the detector 14. A main shaft 104 located at the center of the system 100 is not present. The pinion 116 rotates and in turn drives an idler gear 115 that is mounted to the base 130. An outer gear 111 engages the idler gear 115 and is driven by the idler gear 115. The outer gear 111 is rigidly attached to the rotating disk 108. A bearing 106 is present and the rotating disk 108 is mounted thereon so that the rotating disk 108 can rotate with respect to the base 130. A pair of main shafts 104 may be included and may be arranged to allow the collimator 10, base 11, and collimator cover 102 to remain stationary and thus rigidly attached to base 130 while the rotating disk 108 and sensor 112 rotate relative to the base 130 and the collimator cover 102. In other exemplary embodiments, the collimator 10, base 11, and collimator cover 102 are rigidly attached to the rotating disk 108 and thus rotate with respect to the base 130. Although not shown in FIG. 7B, the CPU 120, LCD screen 122, digital compass 124, lithium-polymer battery 126, and non-volatile memory 128 may also be present. The various components of the position determination system 100 may function and be arranged as those previously described with the embodiment of FIG. 7A and thus a repeat of this information is not necessary. The walls 140 described as the objects that are measured may be the side walls of a room into which the position determination system 100 is deployed. The position determination system 100 may be capable of detecting distances and orientations associated with the ceiling and floor of the room into which the position determination system 100 is located. Here, the distance sensor 112 may project sound waves onto the floor and ceiling in order to measure the floor and ceiling in a similar manner as previously described. As such, as used herein the objects 140 may include walls 140 of a room, and the walls 140 may include the side walls, ceiling, and/or floor in accordance with various exemplary embodiments. It is therefore the case that the term objects is broad enough to include walls and the term walls is broad enough to include ceilings and floors. In yet other arrangements, knowledge of the position and orientation of the side walls 140 allows the position determination system 100 to infer the location and orientation of the floor and ceiling because these two surfaces are contiguous with the side walls 140 of the room on all of their sides. As such, in other embodiments of the position determination system, the distance sensor 112 does not directly measure the ceiling and floor of the room. Although described as being used in connection with a detector 14, the system 100 may be used without the detector 14 and/or collimator 10 to determine wall and object distance and angular data. Other items, such as a camera or chemical detector, may be used in place of the detector 14 and/or collimator 10 in other exemplary embodiments. For example, the system 100 can be used in conjunction with any item or method in which data concerning position and/or orientation within a room is needed. The position determination system 100 can be placed into a room in a variety of manners. One such deployment is shown in FIG. 8 in which a remote controlled device 132 is used to position the system 100 to a desired location within a room. The remote controlled device 132 has a pair of tracks that can be remotely controlled through either a wireless connection or a wired/tethered connection so that the user can move the remote controlled device 132 forwards or backwards. The tracks may also be used to turn the remote controlled device 132 so that the system 100 can be moved to a desired position within the room without the user having to enter the room. The system 100 may be mounted to an upper surface 134 of the remote controlled device 132. The height of the upper surface 134 to the floor may be known and can be incorporated into the algorithm to know the elevation of the distance sensor 112 and center of the detector 14. The base 130 may be mounted to the upper surface 134 through the use of bolts or other mechanical fasteners or through a permanent/integral connection. The system 100 can be located on the upper surface 134 when the system 100 is used to obtain distance and angular measurements. Alternatively, a crane or other device may be used to remove the system 100 from the remote controlled device 132 before the measurements are taken. The system 100 may thus be rendered mobile via the remote controlled device 132 to provide greater functionality. An alternative exemplary embodiment is shown with reference to FIG. 9. Here, a remote controlled device 132 is present and can be moved remotely by the user as previously discussed. A vertical positioning system 136 extends upwards vertically from the upper surface 134. The base 130 of the position determination system 100 is mounted to the top of the vertical positioning system 136. The vertical positioning system 136 is present in order to increase the height of the system 100 to place the detector 14 and distance sensor 112 at an elevated location. Again, knowledge of the amount of elevation can be incorporated into the system 100 so that it knows its elevation from the floor. Elevation of the location of components of the system 100 such as the detector 14 and/or distance sensor 112 may allow them to more easily view radiation and/or walls without being obstructed. The vertical positioning system 136 can be rigid in natures such that its height does not change. As shown, the vertical positioning system 136 may include four columns, however it is to be understood that any number of members capable of elevating and supporting the system 100 can be used. In other embodiments, the vertical positioning system 136 may include one or more telescoping members that allow the user to adjust the vertical positioning of the system 100. A motor, piston, or other member can be attached to the upper surface 134, the base 130, and/or to the vertical positioning system 136 to effect raising and lowering of the system 100. A detector 14 may include material that provides a differential output based on exposure to radiation. This differential output may be changes in optical density, color, or temperature. The changes may also be in electron shell configurations, chemical composition, or some other physical or chemical alteration based on radiation exposure. The detector 14 materials may be 3D or 2D, and this selection may depend on the application, the means of extracting data, or on the final result desired. The materials of the detector 14 can be exposed bare or can be collimated to provide better directional sensitivity. An automated method may be provided in order to extract source location of radiation, energy of radiation, and radiation intensity from a collimated exposed detector 14 material. The method may work for nearly any detector 14 material that can be read or scanned into a computer data file, and the method may provide a 3D or 2D matrix of exposure values as integers or floating point numbers. Input information may be obtained from the position determination system 100 and/or the detector 14. In this regard, a user may place the position determination system 100 with included detector 14 into a room that features some amount of radiation contamination. The room may be an isolator, a shielded cell, a glove box, or a fume hood in accordance with various arrangements. After the position determination system 100 has obtained its distance and orientation readings, and after the detector has been exposed to the radiation for a sufficient amount of time, the position determination system 100 and/or detector 14 may be removed from the room for subsequent analysis. One such apparatus for analyzing the detector 14 may be shown with reference to FIG. 11. Here, the detector 14 may be removed from the collimator shield 12 and placed into an aquarium and rotation stage 204. Upon exposure to radiation, streaks 208 will be imparted into the detector 14 material and will be more opaque when exposed to a greater amount of radiation. The streaks 208 will point to or otherwise be in the direction of the radiation source or sources that contributed to their creation. The detector 14 may thus yield information on the intensity and location of radiation sources. An optical-CT scan may be conducted as shown in FIG. 11 in order to withdraw information from the detector 14. The detector 14 may be positioned between a telecentric light source 202 and a telecentric lens 206, and the optical-CT scan may return a matrix of radiation induced changes in optical attenuation coefficients. The change in degree of opaqueness is proportional to the locally absorbed does of radiation. A back-projected radiation analyzer and cell evaluator method 200 will now be described. Such method can be run on a computer and may be completely automatic, or primarily automatic requiring a minimum of human input. In other arrangements, the method 200 may require a significant or substantial amount of human input. The method 200 will first require the input of certain information. FIG. 12 illustrates various input steps that may be performed in order to provide the method 200 with processing information. Step 210 includes the input of the shape, size, and collimation characteristics of the exposed material of the detector 14. The exposed material of the detector 14 may be a spherical ball, and the diameter of such detector 14 can be input along with any other physical characteristics such as the size and location of any flat outer surfaces should the detector 14 not be completely spherical. The radiosensitive detector material characteristics of the detector 14 can be input if the detector 14 is in fact of such a composition. Also, if more than one detector 14 is used, the physical layout of this additional detector(s) may be provided to the method 200 as shown in step 212. An additional input step 214 may be performed in which the radio-characteristics of the exposed material of the detector 14 are provided to the method 200. These characteristics may be the output of the optical-CT scan of FIG. 11 in which the amount of opaque material along with its shape, direction, and intensity is determined. Input step 216 may be performed in which the location and orientation of the detector in the contaminated room is provided to the method 200. This input may be the output of the position determination system 100 in which the distance and orientation (heading, pitch, roll) to an origin and of an orientation line 138 are provided. The orientation line 138 may correspond to a particular orientation of the detector 14 so that the particular orientation of the detector 14 in the contaminated room may be determined. An additional input to the method 200 may be provided as shown in step 218 in which the dimensions of the contaminated room and the dimensions of any large objects in the contaminated room are provided. The large objects may be those large enough to accumulate surface contamination thereon. It is to be understood that the list of inputs in FIG. 12 is only exemplary and that others may be added or some of those shown may be deleted. For example, the location of the characterizer (origin point determined) and the dimensions of the contaminated room and large objects may be omitted from being provided as input. In these instances, the method 200 may still function to provide proper output, but the processing time may increase due to the algorithm cycling through all possible 3D locations in the contaminated room instead of just on the surfaces of objects. The method 200 may proceed to a series of processing steps shown for instance in FIG. 13 in which the input data is analyzed by an algorithm that can be carried out by a computer. In step 220, input data, for example input information as previously discussed with reference to FIG. 12, may be provided to the method 200. This input data may be data on the contaminated cell and objects inside of the cell such as tables and drums. The method 200 may cycle though every X,Y point on each wall and on the floor and/or ceiling depending upon the particular detector 14 deployment orientation. The X,Y points that are processed may also be X,Y points located on objects within the contaminated room. Moving to step 222, the method may then look at the current X,Y point and determine whether an object is between the detector 14 and the point, and if so the point on the object closest to the detector 14 is used. The X,Y point on the wall (or object if applicable) is then converted to an X,Y,Z point in the contaminated room. In accordance with certain exemplary embodiments, this step 222 is optional and need not be implemented. Instead, the method 200 may move directly from step 220 to step 224 without conducting step 222. The method 200 may then perform step 224 in which the method 200 determines which aperture 18 of the collimator 10 has the X,Y,Z point just determined within their particular field of view 22. The particular fields of view 22 may be input to the method 200 in a collimator editor of a software package used to implement the method 200. This step 224 may be performed by knowing the location and collimation data of the characterizer. The method 200 may then move onto step 226 in which for each aperture 18 within the field of view 22 a ray-trace is generated. The ray-trace may be a one-dimension array of exposure values obtained from the detector 14 material by a linear scan through the 3D material of the detector 14 or through layers of 2D material if such is used as the detector 14. The ray-trace may be generated through data obtained via analysis of the detector 14, such as that obtained through the set-up of FIG. 11, and can pass through the center of the aperture 18 and may terminate at the X,Y,Z coordinates of the point currently being evaluated. In certain embodiments, the ray-trace may extend from the center 15 of the detector 14 through the center of the aperture 18 and to the X,Y,Z coordinates of the point currently being evaluated. The method 200 may then perform a subsequent step 228 in which the maximum possible exposure that the ray-trace could have received is determined. With reference now to FIG. 15, a plot of the previously generated ray-trace is shown in which the data points 230 represent the exposure value of the ray-trace in view of the distance from the X,Y,Z point to the origin (center 15) of the detector 14. It may be assumed that the ray-trace has a continuous, although not necessarily linear, decrease in exposure as distance to the radiation source increases. The track shape of the ray-trace may be examined and a minimum fit line 232 or some other non-linear shape may be fitted to the ray-trace. The minimum fit line 232 may be a linear line that runs through the minimum dips or values of the data points 230 such that none of the data points 230 are located below the minimum fit line 232. The minimum fit line 232 may provide a maximum possible exposure value and a rough idea of the radiation source energy based upon the track shape of the ray-trace. The height of the minimum fit line 232 above the reference zero exposure value may be the maximum possible activity of a source at the X,Y,Z point. The X,Y,Z coordinate point is set to have a radioactivity level of the minimum possible exposure levels. This is because given a real source at this 3D location, it will add an exposure amount equally to all apertures 18 within its field of view 22. The fact that some of the ray-traces indicate a higher exposure can be attributed to noise and tracks from other radiation sources in the contaminated room. Stated another way, there is no way for a radiation source of X intensity to produce ray-traces with an exposure less than X, but it is possible for some ray-traces to show exposure greater than X because of contributions from other radiation sources. It is therefore the case that the value determined is the maximum possible intensity of source at the 3D location. Step 228 could alternatively be performed such that for the one-dimensional array previously generated in step 226, the method 200 calculates an inverse exponential fit line to the one-dimensional array data that is within the range of possible exposure energies. This minimum fit line provides the intensity of the radiation source based on the initial magnitude of the minimum fit line, and the energy of the source based upon the shape of the minimum fit line. From the list of inverse exponential fit lines, the line with the minimum source intensity is selected. This intensity value and energy is assigned to the X, Y point for the object/wall to which the ray-trace is directed. The algorithm may make an assumption in order to extract valid and relevant data from the detector 14 material. First, it is asserted that the detector 14 material will provide an inverse exponential response to a radiation field, along a line that is parallel to the direction of the source. This assertion is assumed valid due to the nature of how all matter shields gamma radiation. All matter will shield gamma radiation by an inverse exponential equation, with some constant attenuation coefficient, over some variable distance. The detector 14 material will provide a similar exponential attenuation of the gamma radiation as a function of the distance traveled through the material. The magnitude of the exponential response is not only dependent on the material but also on the energy of the gamma radiation. Low energy gammas will be shielded much more quickly through a material than higher energy gammas, but will still provide an inverse exponential decrease. The speed at which the gammas are attenuated provides the “shape” data of the one-dimension array. This shape can be matched or interpolated to the input shape data to the algorithm to extract the energy of the source. Calculation of the minimum inverse exponential line 232 may take into account any noise through the material along this line from other possible sources in the environment. It is asserted that an actual source in the direction of the line 232 will produce an inverse exponential line that cannot have any other “humps” or other anomalies midway through the line. The presence of any anomalies can be attributed to sources in other directions that are providing some radiation contribution through a small section of the line. These “humps” can be effectively ignored since an actual source in the direction of the line cannot produce a “hump” partially down the length of the line. The result of this analysis is a maximum possible intensity of a real source in the direction of the line and the likely energy of the source based on the shape of the line. The intensity value may be the maximum possible intensity of a real source in the direction of the line, and may not necessarily be the actual intensity of the source. This is because it is possible for the entire line to be flooded with noise from other sources in the environment. However, it is not possible for the source to be of greater intensity than the value derived, otherwise the magnitude of the inverse exponential fit line would have been greater. After determining the maximum possible intensity of each one-dimensional array through the multiple holes that are within the FOV of a particular point, the minimum intensity from this list may be chosen as the intensity of a source at the point. Again, this chosen value is the maximum possible intensity of a source at the point. As an example, a particular point on a wall 140 has three collimator holes 18 within the field of view 22, and the three minimum inverse exponential fit lines give maximum possible source intensities of 100 mR/hr, 1000 mR/hr, and 2000 mR/hr. If a real source were to be at the particular point on the wall 140, it would contribute the same total dose rate to each of the three holes 18 equally. Because of the additive nature of radiation dose to the detector 14 material, it is not possible for one of the holes 18 to indicate an exposure rate less than the actual source intensity, but it is possible for some of the holes 18 to indicate an exposure rate greater than the actual source intensity due to noise from other sources in the environment. In other words, an actual source on this point of the wall that has intensity of 1000 mR/hr could not leave a collimator hole's 18 intensity value of <1000 mR/hr because the hole would be exposed to 1000 mR/hr at a minimum from this single source. Choosing the minimum intensity value of the list of collimator holes 18 within the field of view 22 provides the maximum possible value of a real source at the particular point. The method 200 may move on to the next step 234 in which the method 200 then repeats the procedure for every X,Y,Z point that is input into the method 200. The steps 220, 222, 224 and 226 may be repeated for all X,Y points on each of the walls, ceiling and floor. Further, if objects other than the walls, ceiling and floor are in the contaminated room, X,Y points on the objects may be processed as well. After this processing, the method 200 may move onto the output stage as illustrated in FIG. 14. The output of the method 200 may include a step 236 in which a series of two-dimensional images of the intensities at each X,Y point on the wall, ceiling, floor, and/or object are generated. Step 238 may also be performed by the process 200 in which a series of two-dimensional images are generated of the energies at each X, Y point on the wall, ceiling, floor, and/or object. Further, the process 200 may generate a text file with a specific format at step 240 that describes how to draw two-dimensional line drawings of the contaminated area on the walls, floor, ceiling, and/or objects. Output of the method 200 may be illustrated as shown with respect to FIG. 16 in which radiation intensity is mapped on the walls and ceiling of a contaminated room in Sv/hr or any other desired dose rate unit. Although the floor is not illustrated, it may be mapped as well in other embodiments, along with objects located within the contaminated room. As shown, the radiation intensity is mapped such that a zone of low radiation intensity 242, a zone of medium radiation intensity 244, and a zone of high radiation intensity 246 is displayed. The various zones 242, 244 and 246 may be displayed in the form of colors, and a legend showing the strength of radiation intensity for the various colors may be provided as well. The areas between the borders demarcating zones 242, 244 and 246 may be filled with colors that show the various radiation intensities and their transition from one zone to the next. Although not specifically shown in FIG. 16, the colors may vary in strength within each one of the particular zones 242, 244 or 246. For example, the color in zone 246 can be of different shades or intensities at various locations within the zone 246 to show that the radiation is of different, particular intensity at the particular location within zone 246. As such, it is to be understood that the cross-hatching of zones 242, 244 and 246 in FIG. 16 dictates that different colors or intensities of colors can be found even within each zone 242, 244 or 246 to instruct one as to different locations and intensity of radiation within the zones 242, 244 and 246. It is to be understood that the graphical output illustrated in FIG. 16 is only one possible way to output information generated by the process 200 and that others are possible in accordance with other exemplary embodiments. The method 200 may execute such that the only human interaction required is the input data as the processing steps in FIG. 13 and the output steps in FIG. 14 may be performed automatically by a CPU. Further, some of this input data may be automated as well. In addition, much of the input data will remain constant for each characterization, specifically the data on the characterizer device 100. The algorithm removes a human element from the in-depth processing, which reduces error and eliminates the “human opinion” that will vary from one analyst to another, providing consistent and reproducible results. An apparatus 248 capable of implementing and performing the method 200 is disclosed in FIG. 17. The apparatus 248 may include a CPU 250 that can be a processor of a computer and may include random access memory, data storage, and other hardware and software components. The apparatus 248 may also include an input device 252 that may be a computer mouse, keyboard, disk drive, Internet connection, hard-wired connection, wireless connection, and/or computer screen. The CPU 250 may execute a collimator editor module 258 that can be manipulated by the user through use of the input device 252. The collimator editor module 258 may simplify the entry of collimator shield 12 thickness and aperture 18 data. A user-friendly 3D display of the data may be presented to the user to give visual confirmation that the collimator 10 is designed correctly for accurate processing by the method 200. The user may enter other information relevant to the collimator 10 such as the fields of view 22, collimator 10 dimensions, direction of orientation line 138, material making up the detector 14, shape of the detector 14, and/or directional shield 16 data. The various data may be automatically transferred to the apparatus 248 by, for example, the position determination system 100 or by some other automatic input. However, the collimator editor module 158 may allow the user to modify automatically input data, to enter data that has not been automatically provided, or to verify the accuracy of input information. Another module that may be run by the apparatus 248 is a cell editor module 260 that can be run by the CPU 250 and that can be modified by the input device 252. The cell editor module 260 allows the user to specify contaminated room dimensions as well as adding large objects 140 such as tables, drums and containers. A 3D display may be presented to the user to allow him or her to verify the contaminated room input information. The CPU 250 and input device 252 may also be used to run and manipulate a detector projection module 262. This module 262 may allow the user to locate the center 15 of the detector 14 in a raw scan file by looking at slices of the detector 14 from the top and sides. The module 262 may present the user with a screen in which he or she can rotate a data line through the center Z layer of the scan file to help determine the radius of the detector 14 in the raw scan. The module 262 may allow him or her to define the track shape and amplitude that can be used to determine the source activity and roughly source energy. The input steps disclosed in FIG. 12 may be performed by the user through use of the input device 252 and CPU 250, or through just the input device 252, or may be performed through an automatic transfer. The processing steps disclosed in FIG. 13 may be performed automatically by the CPU 250. The output steps disclosed in FIG. 14 may be implemented by being displayed on a display screen 256 and/or by being written to a file 254 or by being transferred in a variety of manners. The collimator 10 with direction shields 16, the position determination system 100, and the back-projected radiation analyzer and cell evaluator method 200 are capable of mapping radiation intensity onto objects 140 such as tables, cabinets, walls, floors, and ceilings of the scanned area in 3-D computer rendered models. A visual illustration system 300 allows a user to view the detected radiation in real time in the actual, physical room from which the radiation measurements were taken. Such a system 300 provides a mapping of the radiation onto the objects 140 so that the user has knowledge of the actual locations of contamination and in certain arrangements knowledge of the intensity of such radiation. The user may focus his or her decontamination efforts on these areas while the system 300 identifies such areas, or the user may mark them for subsequent decontamination without presence or running of the system 300. The system 300 makes radiation visible, which otherwise would remain invisible. With reference first to FIG. 18, the visual illustration system 300 is shown located inside of a contaminated room 302, which may be an actual physical room into which radioactive contamination is present. The visual illustration system 300 creates a projected image 308 onto object 140 which is a wall 304. The visual illustration system 300 may obtain output data from the method 200 and may include a laser projection system that uses same to draw an outline around the contaminated areas of the wall 304. The projected image 308 may include an outermost line that is a low radiation intensity boundary 310. Areas of the wall 304 between the boundary 310 and a medium radiation intensity boundary 312 may be contaminated with low intensity levels of radiation. These visual markers cue the user as to where the low intensity levels of radiation are in the contaminated room 302 so that they can decontaminate same. Additionally or alternatively, the user can mark this area of low intensity radiation level on wall 304 so that it can be decontaminated at a later date. As disclosed, the visual illustration system 300 is used to identify the location, and possibly additionally the intensity, of radioactive material on the walls 304 of the room. However, it is to be understood that this use of the visual illustration system 300 is only exemplary and that it need not be used to display the location of radioactive material location, and possibly intensity. The visual illustration system 300 may be used in order to visually identify any type of 2D or 3D sensor data obtained. It is therefore the case that the visual illustration system 300 may visually indicate the location of items other than radioactive material. The use of the visual illustration system 300 with radioactive material location identification is only for sake of example and convenience. As stated, the projected image 308 includes a medium radiation intensity boundary 312 that is surrounded by boundary 310. The area of the wall 304 bounded by the boundary 312 and a high radiation intensity boundary 314 is contaminated with radioactive materials with a medium radiation intensity level. The high radiation intensity boundary 314 completely encloses a perimeter of the wall 304 that is contaminated with high intensity radiation levels. Although shown as having the high radiation intensity boundary 314 completely contained within the perimeter of the medium radiation intensity boundary 312, which is likewise completely contained within the perimeter of the low radiation intensity boundary 310, this is only exemplary and may be varied in other embodiments. For example, the high radiation intensity boundary 314 may surround one or both of the low or medium radiation intensity boundaries 310 and/or 312. The areas between the boundary lines 310, 312 and 314 may not contain laser light such that they are blank or otherwise not filled in with laser light. These areas may simply be devoid of any of the laser light such that only the outlines of the boundary lines 310, 312 and 314 make up the projected image 308. The user can use the boundaries 310, 312, and 314 to identify low, medium, and high intensity radiation levels on the wall 304 so that these can be decontaminated. Additionally or alternatively, the user may mark the location of the radiation by physically marking one or more of the boundaries 310, 312, and/or 314 on the wall 304 so that this radiation can be later decontaminated. The system 300 may function to project the boundaries 310, 312 and 314 as lines so that the areas between these lines 310, 312 and 314 are not otherwise filled in with any light or projections from the system 300. The boundary lines 310, 312 and 314 may all be of the same color, or may be all different colors in accordance with various embodiments. When made of different colors, the user may be more easily able to distinguish between the low, medium and high levels of radiation. The system 300 can create a second projected image 316 onto a second object 140 that may be a second wall 306 contacting and oriented at a 90° angle to the first wall 304. The second projected image 316 may include low, medium, and high radiation intensity boundaries as previously discussed with respect to the first projected image 308 and a repeat of this information is not necessary. The second projected image 308 may indicate the presence of radiation on the second wall 306 and the intensity and shape of the contaminated area, and hence projected image 316, may be different than that of the first projected image 308 since radiation on the two walls 304 and 306 may be located thereon in different amounts, areas, and shapes. The system 300 may utilize the output from steps 236, 238 and/or 240 from the method 200 in addition to orientation and/or location output from the position determination system 100. The system 300 may generate the projected images 308 and 316 via lasers in order to create the outlines around contaminated areas in the contaminated room 302 to give a visual aid to workers as they mark and/or decontaminate the contaminated areas. The system 300 may make the decontamination process more efficient and may reduce radiation exposure to workers. An exemplary embodiment of a method of identifying radiation in accordance with one exemplary embodiment is illustrated with reference to FIG. 19. The method may first start at step 318 in which a detector 14 may be deployed into a contaminated room 302 in order to collect radiation data. Moving to step 320, an origin position and the orientation of the detector 14 in the contaminated room 302 may be determined. The origin position may be a center 15 of the detector 14, or can be any other location or point from which the relative positioning of the detector 14 may be determined. The origin position and orientation of the detector 14 can be obtained through a position determination system 100. Next, the data from the detector 14 may be read in step 322. Obtaining data from detector 14 may be accomplished via a telecentric light source 202, aquarium and rotation stage 204, and telecentric lens 206 arrangement as illustrated in FIG. 11. However, it is to be understood that other methods of obtaining data from the detector 14 can be employed in step 322. The method may then move on to step 324 in which radiation data is mapped to objects 140 in a three dimensional computer rendering of the contaminated room 302. This mapping may be done using input information obtained in steps 318, 320 and 322 and may potentially include information from detector 14, the position determination system 100, and the back-projected radiation analyzer and cell evaluator method 200. The radiation mapping may be to objects 140 such as walls, a ceiling, a floor, a table, a shelf, or another component of the room. The method may then convert the mapped data of step 324 into a format capable of being read by a laser projector as disclosed in step 326. Here, the back-projected radiation analyzer and cell evaluator method 200 may be used to generate a text file with a specific format capable of describing how to draw two dimensional line drawings of the contaminated area. Such a step is disclosed with reference to step 240 of FIG. 14 in which output from the method 200 is produced. Any of the output in FIG. 14 may be provided to the method in FIG. 19. The data converted into the desired format may then be downloaded to the visual illustration system 300. The software implementing step 326 may cause the image that is to be displayed to be an “outline image” in that the boundary lines 310, 312, and 314 will be displayed and created by laser light while the areas between these lines 310, 312 and 314 will not be filled in by laser light and thus otherwise devoid of light. Moving next to step 328, the visual illustration system 300 along with the position determination system 100 may be physically placed within the contaminated room 302. This arrangement is shown with reference to FIG. 18. The visual illustration system 300 is located on top of the position determination system 100, but could be located on any portion of the position determination system 100 or could be completely separate from the position determination system 100. Further, it is to be understood that in other embodiments the position determination system 100 need not be present in step 328 and that the visual illustration system 300 can be placed into the contaminated room 302 by itself. In step 330 the visual illustration system 300 may be oriented by way of input obtained from the position orientation system 100. In this regard, the position orientation system 100 may itself physically orient system 300, or system 300 may itself be capable of physically orienting itself. The system 300 could be oriented so that it is placed into the same physical orientation as the orientation line 138. The system 300 may obtain orientation data from the system 100 so that system 300 knows where the detector 14 is positioned and/or orientated so that the system 300 properly displays the projected image 308. Physical parts of the system 300 may be moved so that the system 300 is properly oriented via input obtained from the position orientation system 100. The system 300 may be placed at the same height as the origin or other feature of the system 100. In this regard, an origin point on the system 300 may be placed at the same height, or known offset from, a height of the system 100 as both are/were placed in the contaminated room 302. However, if the system 300 is not placed at the same height as the system 100, a distance sensor may be added to the system 100 to determine its height placement. In this regard, this information may be provided to the system 300 so that a user knows where to properly orient the height of the system 300. Alternatively, the system 300 may have mechanisms capable of adjusting its height, and its height may be set based upon this input data from system 100. The method may then execute step 332 in which the visual illustration system 300 adjusts the mapped data based upon position information provided by the position orientation system 100. This adjustment may be made so that scale and aspect ratio of the projected image 308 are correct when projected. The projected image 308 can be cast upon an object 140 such as a wall 304 in the contaminated room 302 in step 334. In step 336, the projected image 308 may be used to permanently mark contamination by the user. Additionally or alternatively, the projected image 308 may be used as a guide in the decontamination of the contaminated room 302. The visual illustration system 300 can be used to display two dimensional data onto walls, floors, ceilings, or other objects for location marking or removal. It is to be understood that radiation need only be one reason why the visual illustration system 300 can be employed, and that other reasons besides radiation source marking or decontamination may result in use of the visual illustration system 300. As such, the visual illustration system 300 can be used for other purposes besides radiation. One exemplary embodiment of the visual illustration system 300 is shown with reference to FIG. 20. The visual illustration system 300 is a customized laser projection system. The position determination system 100 may provide output of position and orientation information to a CPU 338. The position determination system 100 may be physically connected to the CPU 338 through a wired connection, or through a wireless connection, or the data may be input to the CPU 338 by way of a thumb drive 352 or other mechanism. The output from the position determination system 100 may be magnetic heading, pitch and roll of the orientation line 138, and distance from an origin to objects 140 of the contaminated room 302. The output from the position determination system 100 may also be the dimensions of the contaminated room 302 and any other objects 140 present therein such that the three dimensional model of the contaminated room 302 is provided to the CPU 338. The CPU 338 may use all of this information to properly scale and distort the “outline image” or projected images 308 that are to be displayed so that they maintain the correct scale and aspect ratio when projected onto the wall 304 via the hardware 340. The CPU 338 may include random access memory and a non-volatile memory and may include pan & tilt control software 344 that functions to provide instructions on moving and pivoting hardware according to input data. The pan & tilt control software 344 may allow the hardware 340 to properly orient itself via a pan and tilt feature such that these components implement step 330 of FIG. 18. The CPU 338 may also include a scan head control module 346 that functions to cause a laser scan head to actuate and display a projected image 308. The scan head control module 346 may be provided with instructions via scan head control software 348 that is also included in the CPU 338. The CPU 338 may further include a user interface module 350 that allows the user to make geometric corrections to the projected image 308. Such a module 350 may be helpful if the projected image 308 is not properly sized or fitted to the object 140 in question. The CPU 338 may include a processor that performs computing functions, a volatile memory for the temporary storage of information, a non-volatile memory for the permanent or long term storage of information, and other components commonly found in a standard desk top computer. The CPU 338 may include a Pangolin QM2000 PCI card, LD2000 software, and custom software and may receive the predefined point structures saved in a text file format. This structure may be converted when the system 300 is offline into a laser displayable format. A touch screen monitor 342 may be present and can be in communication with the CPU 338. The touch screen monitor 342 may allow the user to input certain commands that cause the CPU 338 to subsequently perform various functions for the visual illustration system 300. For example, the touch screen monitor 342 may allow the user to select a particular wall 140 of the contaminated room 302 for display of the projected image 308. If the user selects, for example, a “north wall” then the visual illustration system 300 will function to turn on all projected images 308 of the north wall while the other walls and objects of the contaminated room 302 are not provided with their projected images. This feature may be necessary when the visual illustration system 300 is only capable of pointing and displaying projected images 308 to one wall at a time. However, in other embodiments, the visual illustration system 300 can be so configured that it may display every projected image 308 in the contaminated room 302. The touch screen monitor 342 allows the user to control which projected images 308 are displayed onto the appropriate wall 140 or object 140. The touch screen monitor 342 may have soft keys that are left and right arrow buttons that will allow one to sequence through images such as north wall, east wall, south wall, west wall, ceiling, floor, and off. Further, if more than one projected image 308 is illuminated on a particular object 140, the touch screen monitor 342 may allow the user to turn certain ones on or off so that only a single projected image 308 is displayed on the object at a particular time. Aside from selecting a wall 140 or a projected image 308 for display, the touch screen monitor 342 may allow the user to tweak the projected image 308 if it is not exactly correct. For example, the touch screen monitor 342 may allow the user to adjust the projected image 308 via actuation of soft keys including rotate clockwise, rotate counter clockwise, pitch up, pitch down, roll right, roll left, zoom in, and zoom out. A thumb drive 352 may be included in the visual illustration system 300 that could include image data. The image data may be output from the back-projected radiation analyzer and cell evaluator method 200. The thumb drive 352 may include the processed information from the detector 14 or from the position determination system 100. In other arrangements, information input into the CPU 338 may not come from the thumb drive 352, but may instead come from a wireless or hard wired link from the method 200 or position determination system 100, or may be actually typed or otherwise manually input into the CPU 338. The visual illustration system 300 may include hardware 340 that is in communication with the CPU 338. One piece of the hardware 340 may be a pan & tilt mechanism 354 that receives commands from the pan & tilt control software 344. The pan & tilt mechanism 354 functions to move other hardware in the vertical and/or horizontal directions and may function to tilt other hardware up and down in a vertical direction or left and right in a horizontal direction. Information from the position determination system 100 may be used by the pan & tilt control software 344 to cause the pan & tilt mechanism 354 to properly orient itself in a pan and tilt manner. Again, this information may be magnetic heading, pitch and roll information, but may be other information in other embodiments. The CPU 338 may be located outside of the contaminated room 302 such that the CPU 338 communicates with the hardware 340 through a wireless communication. Alternatively, the CPU 338 may be located in the contaminated room 302 and communicate with the hardware 340 through a physical, hard wired connection. The hardware 340 may also include a scan head 356. The scan head 356 can include one or more lasers 358. The lasers 358 may be multiple color lasers so that the projected image 308 may have multiple colors therein. The multiple color lasers 358 may be a red laser and a green laser in accordance with one exemplary embodiment. Various exemplary embodiments exist in which from 1-5, from 5-10, or up to 20 different colored lasers 358 are present in the scan head 356. The scan head 356 may also include an X-axis scanner 360 and a Y-axis scanner 362. The X-axis scanner 360 may be a Cambridge scanner, and the Y-axis scanner 362 may be a Cambridge scanner in one embodiment. The X-axis scanner 360 may be responsible for locating the laser light at the correct location in the X direction, and the Y-axis scanner 362 may be responsible for locating the laser light at the proper location in the Y direction. The scan head 356 is controlled by a 2 axis driver module 364. The 2-axis driver module 364 provides instructions to the X and Y-axis scanners 360 and 362 in order to instruct them where to direct the laser light. The 2-axis driver module 364 may also function to cause the scan head 356 to actuate the proper laser of the multiple color lasers 358 so that the projected image 308 is properly displayed. Although shown as being separate from the CPU 338, the 2-axis driver module 364 may be a part of the CPU 338 in accordance with other exemplary embodiments. The 2-axis driver module 364 may be located in the contaminated room 302 when the projected image 308 is displayed, or may be outside of the contaminated room 302 such that it wirelessly communicates with the hardware 340. The projected image 308 displayed by the scan head 356 may be a two-dimensional, multiple-color line drawing displayed on one surface 140 at a time. The projected image 308 may be scaled by the scan head 356 and may maintain a proper aspect ratio. The projected image 308 may be scaled and the aspect ratio of the projected image 308 may be maintained such that if the projected image 308 were a rectangle with the same aspect ratio as a wall 140, the laser light would only show at the edges of the wall 140. Portions of the visual illustration system 300, such as the hardware 340, may be placed anywhere on the floor of the contaminated room 302. However, these portions may need to be placed some nominal distance from all of the walls 140 so that the laser light is able to properly display the projected images 308. The visual illustration system 300 will thus function so that if the projected image 308 is a circle, the projected image 308 will look like a circle instead of an ellipse no matter where in the contaminated room 302 the visual illustration system 300 is located. Additionally, the diameter of the projected circle will be the same if the visual illustration system 300 were located close to the wall 140 to which is was projected or if it were located further from the wall 140 to which the projected circle was projected. The visual illustration system 300 may be powered by the use of batteries or may be powered through a standard plug-in connection. The hardware 340 may include a 110VAC compact PCI computer with a Pangolin QM scan computer on board with 16-bit DACs. A separate driver module 364 may include a power supply and will feed its 2-axis output to a compact XY scanhead by way of an approximately three meter long umbilical. The scan head 356 may have an angular display limited to the capacity of the scanners which may be +/−30 degrees optical. Class 3a green and red lasers may be used with digital color control and blanking signals provided by the QM board via the 2 axis driver module 364. The system 300 hardware 340 may be configured so that both lasers cannot be on at the same time. The laser output may be a combined 50 mw with digital color control and blanking signals provided by the QM board with the 2 axis driver module 364. The laser output may be automatically shut off via the CPU 338 software or software of the scan head 356 or 2 axis driver module 364 if there is a scan head failure or if the scan speed falls below a safe threshold. The software of the CPU 338 may be Windows based with a Pangolin LD2000 scan engine. Wizard 2000 software will have the capability of converting text files in proprietary format into generic Pangolin laser viewable 1 db format. The text file may contain a sequential specification of Cartesian coordinates and the required display color of those coordinates including black for blanking point. The text file may include a header that specifies the total points contained in the image array. The CPU 338 may be arranged so that the scan head control 346 and the scan head control software 348 is Pangolin QM2000 hardware, Pangolin LD2000 software, and Holo-Spectra Wizard 2000 software in accordance with one exemplary embodiment. Further, although disclosed as having a pan & tilt mechanism 354 and pan & tilt control software 344, these elements are not needed in certain exemplary embodiments. The visual illustration system 300 need not be positioned in the same point in the room as the position determination system 100, center 15 of detector 14, or origin used by the position determination system 100. Further, the visual illustration system 300 need not be oriented the same way as the orientation line 138. The visual illustration system 300 obtains data on the configuration of the contaminated room 302 and the location of contamination in the contaminated room 302. Knowledge of the dimensions of the contaminated room 302 and the location and intensity of contamination allows for this feature. In this regard, so long as the visual illustration system 300 knows where it is located, it may project the projected images 308 properly. However, it may be the case that the visual illustration system 300 is placed onto the position determination system 100 or otherwise incorporated therewith. The visual illustration system 300 may piggyback onto the position orientation and determination features of system 100 and thus a repeat of these hardware and software features need not be added. As such, the visual illustration system 300 may obtain output from system 100 so that the system 300 knows its position and orientation within the contaminated room 302. The visual illustration system 300 may be wrapped in plastic so that it can be retrieved and reused later considering the fact that the room into which it is placed will be contaminated with radioactive material. Alternatively, the system 300 can be made inexpensively enough so that it can be simply disposed of if it becomes contaminated with radioactive material. An alternative exemplary embodiment of the system 300 is shown with reference to FIG. 21. Here, instead of employing the pan & tilt mechanism 354 and associated software 344, the system employs a plurality of scan heads 356 to obtain the desired projected image 308. The various scan heads 356 may be positioned so that they are at angles sufficient to provide coverage to the object 140 or objects 140 of the contaminated room 302. The system 300 may be capable of rotating the various scan heads 356 or raising or lowering same so that they may have some degree of movement. However, the various scan heads 356 need not be capable of being pivoted or moved in accordance with various exemplary embodiments. The hardware 340 includes a plurality of scan heads. As shown, a first scan head 356 is disclosed, along with an N number of scan heads 366. Any number N of scan heads 366 can be present. For example, from 1-5, from 6-10, or up to 100 additional scan heads 366 can be included. Each one of the scan heads 366 may include an X-axis scanner 370 and a Y-axis scanner 372. Further, each one of the additional scan heads 366 may include multiple color lasers 368 that may be of the same number and colors as multiple color lasers 358. The additional scan heads 366, lasers 368, and X and Y-axis scanners 370 and 372 may be configured as those previously discussed with respect to the scan head 356, lasers 358, and X and Y-axis scanners 360 and 362 and a repeat of this information is not necessary. An additional 2-axis driver module 374 may be provided with each one of the additional scan heads 366 to direct functioning of the scan heads 366 in a manner similar to that of module 364. As such, a repeat of this information is not necessary. Each additional scan head N 366 may be provided with its own dedicated 2-axis driver module N 374. The system 300 in FIG. 21 does not include a pan & tilt mechanism 354 and pan & tilt control software 344. However, the CPU 338 is provided with additional scan head controllers N 376 that function to send instructions to their respective 2-axis driver module 374. Each one of the additional 2-axis driver modules 374 may have its own dedicated additional scan head controller N 376 in the CPU 338. The scan head controller N 376 can be configured in a manner previously discussed with respect to the scan head controller 348, and a repeat of this information is not necessary. The remaining features of system 300 in FIG. 21 may be arranged as those in FIG. 20 and a repeat of this information is not needed. The multiple scan heads 366 can be simultaneously actuated, if appropriate, in order to generate the projected image 316. As such, any number of scan heads 366 can be actuated at a single time to generate image 316. The scan heads 366 may provide coverage to different angles of the object 140 so that the scan heads 366 need not be pivoted or panned in order to accurately create the projected image 316. As used herein, the various rooms or contaminated rooms 302 disclosed with reference to the various methods, systems and apparatuses discussed can be reactors, fuel and isotope processing facilities, laboratories, hot cells, glove boxes, or isolators. The various rooms or contaminated rooms 302 can be any room or even an outside area onto which radiation contamination may be present. While the present invention has been described in connection with certain preferred embodiments, it is to be understood that the subject matter encompassed by way of the present invention is not to be limited to those specific embodiments. On the contrary, it is intended for the subject matter of the invention to include all alternatives, modifications and equivalents as can be included within the spirit and scope of the following claims.
050193236
abstract
This invention relates to a method for synthesizing Iodine-124 and also a class of radiopharmaceutical which, by virtue of an Iodine-124 label, can be used for both diagnostic and therapeutic purposes. The method comprises an innovative technique for preparing an irradiation target, irradiating the prepared target, and finally collecting Iodine-124 created by the irradiation. The method of this invention provides Iodine-124 in sufficient yields and radionuclidic purity that can be used with positron emission tomography. The invention further relates to the use of Iodine-124 in a chemical form incorporated into organic and inorganic radiopharmaceuticals.
description
The present application is a continuation of, and claims benefit under 35 USC 120 to, international application PCT/EP2015/077717, filed Nov. 26, 2015, which claims benefit under 35 USC 119 of German Application No. 10 2014 117 453.4, filed Nov. 27, 2014. The entire disclosure of these applications are incorporated by reference herein. The disclosure relates to a collector mirror for an EUV microlithography system. A collector mirror for an EUV microlithography system is known from US 2009/0267003 A1. A collector mirror of this type is used in EUV microlithography, which involves a method for producing and structuring semiconductor components, integrated circuits and components appertaining to micro- and nanosystems engineering. In microlithography, structures that are predefined on a reticle are imaged onto a substrate, for example a silicon substrate, with the aid of exposure processes. In this case, the substrate is coated with a light-sensitive material, which is generally known as “photoresist”. During exposure, the exposure light interacts with the photoresist layer, with the result that the chemical properties of the exposed regions of the photoresist layer change. In a subsequent development step, the photoresist dissolves in the exposed or non-exposed regions, depending on whether a “positive” or a “negative” photoresist is used. Finally, the regions of the substrate surface which are not covered by the remaining photoresist are removed in an etching method, for example wet-chemical etching, plasma etching or plasma-assisted reactive ion etching. This gives rise to the predefined structures of the reticle on the processed substrate surface on a projection scale that is characteristic of the microlithography system used, in particular a projection exposure apparatus. The performance of the microlithographically produced semiconductor components or integrated circuits is all the higher, the higher the integration density of the structures in the components. In other words, one endeavor consists in imaging increasingly finer structures onto the substrate. The lower limit of the structure size achievable in optical lithography is determined, inter alia, by the wavelength of the exposure light used. Therefore, it is advantageous to use exposure light having the shortest possible wavelength. With regard to this aspect, projection exposure apparatuses are known which use extreme ultraviolet (EUV) light as exposure light, the wavelength of which is 13.5 nm. The EUV light is generated by an EUV light source in which a plasma is generated by strong electrical discharges (referred to as: Gas Discharge Produced Plasma, GDPP) or by focusing of laser radiation (referred to as: Laser-Produced Plasma LPP). In the LPP method, a tin droplet is bombarded with pump light, wherein infrared (IR) light is usually used as pump light. The generated plasma contains a multiplicity of charge particles, for example electrons, which fall from energetically high states to energetically lower states and emit the desired EUV light in the process. Furthermore, on account of the high temperature prevailing in the plasma, for example above 200,000 K, EUV light can be emitted in the form of black body radiation. The EUV light generated propagates in all spatial directions. In order that the EUV light becomes usable as used rays for the exposure process, the largest possible portion thereof is directed in the direction of the illumination and projection optical unit by a collecting optical unit, which is known by the term “collector mirror”. Collector mirrors known from the prior art include an elliptical mirror surface for the purpose of better light focusing. However, they have the disadvantage that, as the density of the tin plasma increases, the plasma frequency can increase greatly. In this case, besides the used rays, remaining rays, i.e. electromagnetic rays from a remaining spectral range different than the EUV spectral range, which are not usable for the exposure process, may also be reflected at the mirror surface of the collector mirror and be focused onto the second focal point. Finally, the remaining rays may pass into the illumination and imaging optical unit and even as far as the substrate. The remaining rays typically include highly intensive IR light and deep ultraviolet (DUV) light. The remaining rays cause a considerable input of heat to the optical units disposed downstream of the collector mirror and thus an unacceptable impairment of the optical properties of these optical units. In order to address this issue, further elliptical collector mirrors are known which are embodied as Spectral Purity Filters (SPF) and include a binary grating. The binary grating serves to reflect the EUV light emitted at the first focal point and to focus it onto a second focal point, wherein the focused EUV light passes through a stop situated at the second focal point, while the IR pump light is blocked there. As a result, the IR pump light is suppressed. However, collector mirrors of this type can have the disadvantage that the binary grating can effectively suppress only remaining rays of a single wavelength, wherein this is possible moreover only if the grating parameters of the binary grating, for example the grating period, were controlled very accurately during manufacture. Additional manufacturing outlay arises here. In addition, the remaining rays in a broadband remaining spectral range have to be suppressed in the imaging beam path, which additionally increases the manufacturing outlay. Furthermore, the binary grating can have surfaces roughnesses with dimensions in the range of medium-wave wavelengths. Such surface roughnesses are attributable to vibrations and accuracy limitations of the devices used for producing the binary grating. They have the effect that the EUV light generated is not focused exactly onto the second focal point of the collector mirror, but rather onto an extended region around the second focal point. The second focal point for the EUV light is also referred to as “intermediate focal point (IF)”. A widening of the IF occurs undesirably. In order to allow the used rays to pass through the stop as completely as possible, the aperture of the stop is correspondingly enlarged. However, this leads to increased transmission of the remaining rays. A further possible disadvantage of such SPF collector mirrors is that the collector mirror has to be formed with a very large diameter in order to take account of the desire for a large working distance and a small IF-side numerical aperture (NA) simultaneously. The larger the diameter of the collector mirror, the greater the extent to which the imaging scale of the collector mirror varies along the elliptical mirror surface. The imaging scale is defined as the ratio between the distance from the first focal point to the ray incidence point on the mirror surface, on the one hand, and the distance from the ray incidence point to the second focal point, on the other hand. This leads undesirably to an enlargement of the envelope of the etendue, in particular at the IF and/or in the far field. The abovementioned surface roughnesses, too, additionally foster the enlargement of the envelope of the etendue. An enlargement of the envelope of the etendue can cause a loss of the used rays generated, which has a serious effect on the exposure quality and/or the imaging quality in extreme illumination modes of an EUV microlithography system. US 2009/0267003 A1 discloses further elliptical collector mirrors including a blazed grating including a plurality of mirror facets. It is true that the used rays can thereby be focused with an increased transmission efficiency. Nevertheless, issues associated with the possible disadvantages mentioned above, for example the loss of used rays, remain very largely unsolved. DE 10 2013 002 064 A1 discloses a collector mirror for collecting EUV radiation, which collector mirror includes an optical grating including a plurality of grating elements. The grating elements may be embodied such that they constitute sections of ellipsoids. The disclosure seeks to develop a collector mirror of the type mentioned in the introduction to the effect that the loss of used rays is reduced with at the same time intensified suppression of remaining rays, for example IR light and DUV light. The disclosure provides a collector mirror mentioned in which facet surfaces, in a sectional plane including the optical axis, are arranged on a plurality of imaginary elliptical shells which are displaced from one another along the optical axis and the common mathematical focus points of which coincide with the first and the second focal points, wherein the mirror surface extends along the imaginary elliptical shells, and that a marginal-region-side mirror facet of an adjacent mirror facet pair is arranged on a first elliptical shell, wherein a vertex-region-side mirror facet of the mirror facet pair is arranged on a second elliptical shell adjacent to the first elliptical shell, wherein the first elliptical shell is displaced along the optical axis from the second elliptical shell toward the first focal point. Each facet surface is arranged on the elliptical shell in such a way that the facet surface extends along the elliptical shell or tangentially with respect to the elliptical shell. Since the plurality of imaginary elliptical shells have common focus points, these elliptical shells form an imaginary confocal ellipse family. Each elliptical shell corresponds mathematically to an imaginary ellipsoidal shell that is rotationally symmetrical at least in sections relative to the optical axis. Consequently, each facet surface considered spatially is arranged on an imaginary ellipsoidal shell, wherein the individual imaginary ellipsoidal shells form a confocal ellipsoid family. The mirror surface resulting therefrom runs along a path arrangement including circular paths which are concentric relative to the optical axis and are spaced apart from one another in the direction of the optical axis, or alternatively along a spiral path around the optical axis. The collector mirror according to the disclosure is thus able to block remaining rays from a particularly wide remaining spectral range. In contrast to previous SPF gratings, in particular binary gratings, which merely enable an effective remaining ray suppression of a single wavelength, a broadband remaining ray suppression is advantageously achieved with the aid of the collector mirror according to the disclosure. Furthermore, the mirror surface of the collector mirror deviates from an elliptical mirror surface. In the case of elliptical collector mirrors, i.e. collector mirrors having an elliptical mirror surface, there is a variation of the imaging scale of the imaging from the first focal point onto the second focal point of the collector mirror. This leads to a greatly enlarged envelope of the etendue, in particular at the IF and/or in the far field. This results in a high loss of used rays since the used rays at the IF are not focused to form a beam of rays having a sufficiently small diameter to pass completely through the stop. In contrast thereto, the collector mirror according to the disclosure images used rays from the first focal point onto the second focal point, wherein the variation of the imaging scale along the mirror surface is at least reduced on account of the arrangement of the facet surfaces on the confocal ellipse family. The enlargement of the envelope of the etendue is thus reduced. This enables a reduced loss of used rays even in extreme illumination modes of the EUV microlithography system. The EUV light generated is focused at the IF to form a beam of rays having a reduced diameter. A stop having a smaller stop aperture can thus be used. This increases the proportion of remaining rays blocked by the stop. At the same time, the optical units disposed downstream of the collector mirror are better protected against contamination by the EUV light source. Cleaning gases, e.g. of the H2 gas, which serve for protecting the optical units can advantageously be used with a reduced gas pressure, which, besides cost-effectiveness, improves the transmission of the EUV light through the gas atmosphere. The mirror surface of the collector mirror can extend from a vertex region as far as a marginal region of the imaginary elliptical shells. It is likewise possible, however, for the mirror surface not to extend right into the vertex region; by way of example, the mirror surface may be absent in the vertex region of the imaginary elliptical shells, for example have a perforation or a hole there. Furthermore, it is provided that a marginal-region-side mirror facet of an adjacent mirror facet pair is arranged on a first elliptical shell, wherein a vertex-region-side mirror facet of the mirror facet pair is arranged on a second elliptical shell adjacent to the first elliptical shell, wherein the first elliptical shell is displaced along the optical axis from the second elliptical shell toward the first focal point. In this configuration, in other words, of two adjacent mirror facets, that mirror facet which is nearer to the marginal region of the mirror surface is situated on an imaginary elliptical shell which is nearer to the first focal point than the imaginary elliptical shell on which is situated that mirror facet of the two mirror facets which is nearer to the vertex region. If this is the case for all the mirror facets, for example the mirror surface of the collector mirror in the sectional plane may be “protuberant” toward the vertex in comparison with an elliptical mirror surface. That is to say that in comparison with an imaginary elliptical shell which overlaps the mirror surface of the collector mirror in the marginal region, the mirror surface of the collector mirror in the vertex region is then curved in a direction away from the imaginary elliptical shell. Advantageously, in comparison with the previous collector mirrors, the collector mirror according to the disclosure can be formed with a smaller collector diameter and thus more compactly with the working distance remaining the same and/or with a larger working distance and thus with greater radiation resistance with the collector diameter remaining the same. However, it is likewise possible that the configuration mentioned above may lead to a mirror surface that is not “protuberant”, as will be described later in connection with an embodiment of the collector mirror with a constant imaging scale. The terms “vertex-region-side” and “marginal-region-side” are relative and should be understood in relation to the course of the mirror surface and bear the meaning “comparatively nearer to the vertex region or further away from the marginal region” and “comparatively nearer to the marginal region or further away from the vertex region”, respectively. The plurality of imaginary elliptical shells are not physical shells of the collector mirror, but rather merely serve to mathematically elucidate the construction of the blazed grating. Furthermore, in the context of this disclosure, the term “focal point” should be understood as a physical or optical feature of the present collector mirror, while the term “focus point” serves merely for mathematically elucidating the present disclosure. In one preferred configuration, the blazed grating has a blaze angle by which the facet surfaces are inclined in each case locally relative to a grating surface, wherein the blaze angle increases from the marginal region toward the vertex region. In the context of this configuration, the blaze angle can increase continuously from the marginal region toward the vertex region, wherein this configuration also encompasses the case were the blaze angle is constant in sections from the marginal region toward the vertex region. In the case of a faceted optical unit or grating optical unit, shading effects occur in which part of the specular facet reflection, i.e. of the used rays reflected at the mirror surface, is blocked by a neighbouring mirror facet. These shading effects are associated with the blaze angle profile. Advantageously, a reduction of the shading effects is achieved with the aid of this measure. In a further preferred configuration, the facet surfaces are distributed along the elliptical shells such that the facet surfaces are arranged at intersection points of the elliptical shells with at least one section of an imaginary circular line, wherein for each point on the circular line the ratio of the distance from the first focal point to the point and the distance from the point to the second focal point has the same value. This measure has the advantage that the imaging scale for the mirror facets which satisfy this arrangement specification is constant or at least approximately constant. Light from the first focal point is imaged sharply onto the second focal point by all mirror facets which satisfy this arrangement specification. The collector mirror can be configured such that all the mirror facets present fulfil this arrangement specification, such that the imaging scale of the mirror surface as a whole is constant. A further advantage of this measure is that the collector mirror can have a spherical surface on the substrate side, which advantageously simplifies the manufacture of the collector mirror. The configuration mentioned above is also regarded as an independent disclosure without the feature of a marginal-region-side mirror facet of an adjacent mirror facet pair arranged on a first elliptical shell, wherein a vertex-region-side mirror facet of the mirror facet pair is arranged on a second elliptical shell adjacent to the first elliptical shell, and wherein the first elliptical shell is displaced along the optical axis, as viewed from the first focal point, from the second elliptical shell toward the first focal point. Moreover, this configuration can be employed not only for a collector mirror, but advantageously also for an imaging mirror within the EUV lithography system, for example in the projection lens, because such a mirror enables a very sharp imaging. The mirror surface of such an imaging mirror can be arranged in particular completely outside the optical axis (“off-axis”). In a further preferred configuration, in each case only one facet surface is arranged on the elliptical shells. On account of the simplified assignment between facet surfaces and elliptical shells, the design complexity for the focal point is reduced, such that the collector mirror according to the disclosure is producible particularly simply and, in association therewith, particularly cost-effectively. In a further preferred configuration, the elliptical shells are spaced apart substantially equidistantly from one another along the optical axis. On account of the simplified geometrical form of the confocal ellipse family, the blazed grating can be designed without high computational complexity. This advantageously leads to increased production simplicity and cost-effectiveness. In a further preferred configuration, at least two mirror facets have a focal length reciprocal value of at least approximately 0, or the at least two mirror facets have an identical focal length reciprocal value. With the aid of this measure, it is possible to embody and/or use a plurality of mirror facets having the same focal length. As a result, the collector mirror according to the disclosure can be produced with a lower outlay. Preferably, the focal length reciprocal value is vanishingly small or equal to zero for all the mirror facets. This leads to an advantageously reduced gradient error of the facet surfaces and/or of the facet edges. In addition, the facet surfaces can be embodied as plane facet surfaces, which increases the production simplicity of the collector mirror. In a further preferred configuration, the blazed grating includes a diffraction grating for diffracting the remaining rays, wherein the used rays reflected at the mirror surface are deflected from the diffracted remaining rays of the zero order of diffraction by at least twice the blaze angle and/or pass between the diffracted remaining rays of the zero and the first orders of diffraction. The specular facet reflection of the incident used rays passes separately from the diffracted remaining rays. Consequently, the used rays are focused solely onto the intermediate focal point (IF). Advantageously, the remaining rays of different orders of diffraction are effectively suppressed, such that substantially only the used rays pass through the stop. In a further preferred configuration, the remaining spectral range includes an infrared spectral range, wherein the zero and the first orders of diffraction are related to the diffracted remaining rays having a minimum wavelength of the remaining spectral range. The specular facet reflection of the incident used rays passes separately from the diffracted IR light of the zero and first orders of diffraction and can thus pass solely through the aperture of the stop, without being superimposed with the IR light of the lowest orders of diffraction. Advantageously, the highly intensive IR light that is undesired for the exposure process is suppressed particularly effectively as a result. In a further preferred configuration, the facet surfaces have in the sectional plane in each case a facet length, wherein at least two facet lengths are different and/or the facet length does not exceed a maximum facet length chosen depending on a minimum wavelength of the remaining spectral range. With the aid of a facet length that varies along the mirror surface, the collector mirror can be fashioned particular flexibly to fulfil diverse practical desired performance in EUV microlithography. Furthermore, the collector mirror suppresses short-wave remaining rays particularly effectively. In a further preferred configuration, the facet length is in the range of 10 μm to 200 μm. In this configuration, the facet length is sufficiently large in comparison with the wavelength of the EUV light, such that diffraction effects with respect to the EUV light are suppressed to the greatest possible extent. The reflected EUV light passes substantially in one direction and can thus be focused particularly effectively onto the second focal point. At the same time, the facet length is sufficiently short, such that diffraction effects with respect to the IR light and the DUV light cannot be disregarded. In a further preferred configuration, the blazed grating has a surface roughness in the range of 0 to 0.2 nm. The used rays can thus be focused onto the second focal point with increased accuracy. The widening of the intermediate focal point is reduced, such that stops having a reduced stop aperture can be used for the purpose of suppressing the remaining rays more effectively. In a further preferred configuration, the blazed grating has an edge rounding having a radius in the range of 0 to 1 μm. The edge rounding of the collector mirror according to the disclosure is sufficiently reduced, such that the accuracy of the used ray focusing is increased. Stops having an additionally reduced stop aperture can be used, which reduces the impingement of the remaining rays on the illumination and projection optical unit. In a further preferred configuration, the blazed grating is produced from a grating workpiece in an ultra-precision turning method in which a machining tool is moved relative to the grating workpiece along a spiral path and/or a path arrangement composed of concentric circles. The blazed grating is formed with increased precision with regard to the profile of the blaze angle and/or the facet length. In the case of a spiral relative movement of the machining tool, for example of a diamond tool, with respect to the grating workpiece, it is not necessary for the tool to be alternately moved to and away from the workpiece, with the result that the structuring times are advantageously particularly short. Furthermore, the influence of vibrations of the diamond tool on the grating quality is reduced. In a further preferred configuration, the mirror facets are produced in each case in a single machining process in which the mirror surface is engaged by a pressure side of the machining tool facing the mirror surface. The processing time of the mirror facets thereby becomes shorter than in the case of conventional mirror facets. The facet surfaces can be formed as an “image” of the pressure side, for example of a plane pressure side, such that the surface quality of the facet surfaces substantially only depends on the constitution of the pressure side. The gradient error of the facet surfaces is advantageously reduced. In a further preferred configuration, the facet surfaces are surface-processed in a smoothing method, downstream of the ultra-precision turning method, using ion beams and/or at least one liquid film. The surface roughness of the facet surfaces is additionally reduced as a result. Furthermore, the facet surfaces that are surface-processed by this means are particularly uniform. In a further preferred configuration, the facet surfaces are coated with a layer stack including a plurality of alternating individual layers of molybdenum and silicon (MoSi), wherein a layer thickness of the individual layers is chosen depending on a local ray incidence angle with respect to the individual facet surfaces. The reflection properties of the individual mirror facets are improved with the aid of the MoSi layers. On account of the chosen layer thickness of the MoSi layers, the EUV light is advantageously focused onto the second focal point with an increased precision that is uniform for all the mirror facets. In accordance with a further aspect, the disclosure is based on the object of providing a mirror, in particular for an EUV microlithography system, or for the UV spectral range, which mirror includes an optical grating having an optically effective mirror surface and overall has an at least approximately constant imaging scale across the mirror surface. In accordance with the further aspect, this object is achieved via a mirror including an optical grating having an optically effective mirror surface, which reflects electromagnetic rays emanating from a first focal point and focuses them onto a second focal point, wherein the first and the second focal points lie on a side of the optical grating facing the mirror surface and define an optical axis, wherein the optical grating includes a plurality of mirror facets each having a facet surface, wherein the facet surfaces form the mirror surface of the grating. According to the disclosure, the facet surfaces, in a sectional plane including the optical axis, are arranged on a plurality of imaginary elliptical shells which are displaced from one another along the optical axis and the common mathematical focus points of which coincide with the first and the second focal points, wherein the facet surfaces are distributed along the elliptical shells such that the facet surfaces are arranged at intersection points of the elliptical shells with at least one section of an imaginary circular line, wherein for each point on the circular line the ratio of the distance from the first focal point to the point and the distance from the point to the second focal point has the same value. In terms of the geometry, the set of all points for which the ratio of the distances to two predefined points has a predefined value is also referred to as an Apollonian circle. In accordance with the present aspect of the disclosure, the facet surfaces are accordingly not just arranged on ellipse families displaced relative to one another, but moreover in such a way that the facet surfaces are additionally arranged on an Apollonian circle, with the first and second focal points as fixed points. The advantage here is that the substrate surface of the mirror can be spherical, which significantly simplifies the manufacture of the mirror. On account of the arrangement of the facet surfaces distributed among the elliptical shells along a section of an Apollonian circle, all the facet surfaces image the first focal point onto the second focal point with an identical imaging scale. Such a mirror can be used not only as a collector mirror, but also generally as an imaging mirror, because the mirror, by virtue of the configuration according to the disclosure, brings about a very sharp imaging from the first focal point into the second focal point. In one development, base points of the mirror facets are arranged at the intersection points. This results in an accurate assignment of each facet to the intersection points of the elliptical shells with the Apollonian circle, thus resulting a very accurate arrangement specification of the mirror facets for manufacture. Furthermore, it is preferred if the mirror surface is arranged completely outside the optical axis. In this configuration, the mirror according to the disclosure is suitable in particular as an imaging mirror, for example in an EUV microlithography system, or else in a UV-optical system. The optical grating of the mirror according to the disclosure is preferably a blazed grating or a Fresnel structure. Further advantages and features will become apparent from the following description and the accompanying drawing. It is understood that the aforementioned features and those yet to be explained below may be used not only in the respectively specified combination but also in other combinations or on their own, without departing from the scope of the present disclosure. FIG. 1 shows, in a schematic sectional illustration, a collector mirror in accordance with one exemplary embodiment, which collector mirror is provided generally with the reference sign 10. The collector mirror 10 serves for collecting or focusing EUV light in an EUV light source of an EUV microlithography system and includes an optical grating 12, which is referred to hereinafter as blazed grating. The blazed grating 12 includes a plurality of mirror facets 14 each having an facet surface 15. The facet surfaces 15 of the mirror facets 14 together form a sawtooth-shaped, “blazed” mirror surface 17. The facet surfaces 15 are arranged in a sectional plane 16 on a plurality of imaginary elliptical shells 18a-j. In FIG. 1, only the innermost elliptical shell 18a and the outermost elliptical shell 18j are respectively provided with a reference sign, for reasons of clarity. The facet surfaces 15 are arranged in each case on one of the plurality of imaginary elliptical shells 18a-j, wherein the facet surfaces 15 extend along or tangentially with respect to the respective elliptical shell 18a-j. The elliptical shells 18a-j have two common focus points, which coincide with a first focal point F1 and a second focal point F2 of the collector mirror. Consequently, the elliptical shells 18a-j form an imaginary confocal ellipse family 18. In the exemplary embodiment shown, the mirror surface 17 of the blazed grating 12 extends in the sectional plane 16 from a vertex region 20 as far as a marginal region 22 of the confocal ellipse family 18. However, the mirror surface 17 may also be absent in the vertex region 20, and the collector mirror 10 may have a perforation or a hole there. In the sectional illustration in FIG. 1, the facet surfaces 15 are shown only on one half of the elliptical shells 18a-j for the purpose of simplification. Considered spatially, the blazed grating 12 is embodied such that it is rotationally symmetrical about an optical axis OA at least in sections, wherein the optical axis OA is defined by the first focal point F1 and the second focal point F2. In this case, the mirror surface 17 can run along a spiral path around the optical axis OA. Alternatively, the mirror surface 17 can run along a plurality of circular paths which are concentric with respect to the optical axis OA and are spaced apart from one another in the direction of the optical axis OA. For mathematically elucidating the confocal ellipse family 18, FIG. 1 shows a Cartesian coordinate system, the y-axis of which coincides with the optical axis OA and the origin of which is the midpoint between the first and the second focal points F1, F2. For the purpose of simplification, all coordinates are normalized to the focal length, i.e. the distance from the origin to the focal point F1, F2. As can be seen in FIG. 1, each of the imaginary elliptical shells 18a-j intersects the y-axis in each case at an associated longitudinal axis intersection point or vertex point 24a-j, wherein only the innermost and outermost longitudinal axis intersection points 24a,j are respectively provided with a reference sign for reasons of clarity. The coordinates (0, yn) are assigned to the longitudinal axis intersection points 24a-j. Here the index n=a, b, c, . . . , j relates to the numbering of the individual elliptical shells 18a-j. Each imaginary elliptical shell 18a-j is part of an associated ellipse which intersects the x-axis at a transverse axis intersection point with the coordinates (xn, 0), but this is not shown in FIG. 1 for reasons of simplification. The points lying on each imaginary elliptical shell 18a-j can thus be assigned the coordinates (x, y) which conform to the following ellipse function:(x/xn)2+(y/yn)2=1  (1) For the coordinates of the longitudinal axis intersection point and of the transverse axis intersection point of each ellipse or of each elliptical shell, the following relationship holds true:(yn)2−(xn)2=1  (2) By virtue of the fact that the facet surfaces 15 are arranged on the elliptical shells 18a-j, the collector mirror 10 is able to reflect electromagnetic used rays in an EUV spectral range, which emanate from the first focal point F1 and pass to the mirror surface 17 of the collector mirror 10, at least one of the facet surfaces 15 and to focus them onto the second focal point F2. FIG. 1 shows by way of example two used rays 23, 25 which impinge on a respective ray incidence point P1, P2 on the respective facet surface 15 at an angle φ1, φ2 with respect to the optical axis OA. There the used rays 23, 25 are reflected and directed in the direction of the second focal point F2. Consequently, the used rays 23, 25 are focused onto the second focal point F2. For reasons of simplification, the focused used rays 23, 25 in FIG. 1 are illustrated as single lines, although in general a beam of rays of finite diameter impinges on the mirror surface 17. The collector mirror 10 is simultaneously able to direct electromagnetic remaining rays in a remaining spectral range different than the EUV spectral range, the remaining rays likewise emanating from the first focal point F1, after reflection or diffraction at the blazed grating 12, in at least one direction which deviates from the ray emerging direction of the used rays 23, 25 such that the remaining rays are blocked by a stop 38 situated at the second focal point F2, the stop being shown in FIG. 2. FIG. 1 shows nine mirror facets 14, wherein only one facet surface 15 is arranged on each of the elliptical shells 18a-j. However, the number of mirror facets 14 can be less or greater than nine. Moreover, the number of facet surfaces 15 arranged on an elliptical shell 18a-j can be greater than 1. In FIG. 1, furthermore, the elliptical shells 18a-j are spaced apart substantially equidistantly from one another along the optical axis OA. Consequently, the longitudinal axis intersection points 24a-j of the elliptical shells 18a-j are likewise spaced apart equidistantly from one another. The facet surfaces 15 are furthermore arranged such that the elliptical shell 18a-j on which the facet surface 15 of the marginal-region-side mirror facet 14 of each adjacent mirror facet pair is arranged, is displaced from the elliptical shell 18a-j on which the facet surface 15 of the vertex-region-side mirror facet 14 of the same mirror facet pair is arranged, along the optical axis OA toward the first focal point F1. The mirror surface 17 resulting therefrom, in the sectional plane 16, is curved or “protuberant” toward the outermost vertex point 24j in comparison with the innermost elliptical shell 18a. At the same time, the mirror surface 17 is curved toward the innermost elliptical shell 18a in comparison with the outermost elliptical shell 18j in the marginal region 22. Hereinafter, the mirror surface 17 is referred to as “protuberant mirror surface”, in order to differentiate it from an elliptical or a spherical mirror surface. Broadband remaining rays can be suppressed on account of the special arrangement of the facet surfaces 15. In contrast thereto, the previous collector mirrors, in particular collector mirrors having a binary grating, can only suppress remaining rays of a single wavelength. FIG. 2 shows an exemplary collector mirror 10′ in accordance with the prior art, having a binary grating 26, wherein the binary grating 26 has a plurality of mirror facets 28. The collector mirror 10′ includes an elliptical mirror surface 33, wherein the mirror facets 28 are arranged on a single elliptical shell 31 in the sectional plane 30 shown here. IR pump light 34 generated by a pump light source 32 bombards a target arranged at a first focal point F1′. By way of example a tin droplet is used as the target. A plasma, in particular a tin plasma, upon whose electronic transitions EUV light is generated, is generated as a result. The EUV light generated impinges as a used ray 35 on a ray incidence point P′ on one of the mirror facets 28, at which the used ray 35 is reflected and focused onto a second focal point F2′. A stop 38 is arranged at the second focal point F2′, the reflected used ray 35′ passing through the aperture 40 of the stop. At the same time, an incident remaining ray 36 superimposed on the incident used ray 35 is diffracted at the mirror facet 28. The diffracted remaining ray 36′ passes in two directions outside the reflected used ray 35′ and is blocked by the stop 38. In this way, remaining rays are suppressed by the collector mirror 10′ in interaction with the stop 38. In contrast to the elliptical collector mirror 10′ in FIG. 2, the facet surfaces 15 of the “protuberant” collector mirror 10 in FIG. 1 are not arranged on a single elliptical shell, but rather on a confocal ellipse family 18. Besides broadband remaining ray suppression, the collector mirror 10 enables an at least reduced change in the imaging scale along the mirror surface 17, such that the enlargement of the envelope of the etendue at the IF and in the far field is reduced. FIG. 3A shows three schematic mirror surface profiles S1-S3. The first profile S1 corresponds to a spherical mirror surface, the second profile S2 corresponds to a “protuberant” mirror surface, and the third profile S3 corresponds to an elliptical mirror surface. A used ray 42 emanating from the first focal point F1, at the angle φ with respect to the optical axis OA, impinges on the ray incidence point P on one of the three mirror surface profiles S1-S3 and is reflected from there to the second focal point F2. Only the ray incidence on the elliptical mirror surface profile S3 is shown in FIG. 3A, for reasons of simplification. The intensity of a used ray 42′ focused by the respective mirror surface in the far field as a function of a far field radius, i.e. the distance between the location of the ray intensity measurement and the optical axis OA, is shown by I1, I2, I3 in the diagram D1. In comparison with the intensity distribution I1 of the elliptical mirror surface, the intensity distribution I2 of the “protuberant” mirror surface changes weekly with a variable far field radius. In other words, the intensity distribution I2 of the “protuberant” mirror surface is more homogeneous compared with the elliptical mirror surface. This is attributable to a reduced enlargement of the envelope of the entenue and ultimately to the reduced variation of the imaging scale of the “protuberant” collector mirror in comparison with the elliptical collector mirror. The imaging scale V(φ), as already introduced above, is defined as the ratio between the distance dF1−P(φ) from the first focal point F1 to the ray incidence point P, on the one hand, and the distance dP(φ)−F2 from the ray incidence point P to the second focal point F2, on the other hand:V(φ):=dF1−P(φ)/dP(φ)−F2  (3) The dependence of the imaging scale V(φ) on the angle φ differs depending on the type of mirror surface. In the case of spherical mirror surfaces (S1), the imaging scale V(φ) is virtually independent of the angle φ, while, in the case of elliptical mirror surfaces (S3), the imaging scale V(φ) varies greatly with a varying angle φ. In the case of the “protuberant” mirror surface, the imaging scale V(φ) does vary with the angle φ, but to a less pronounced extent than in the case of elliptical mirror surfaces. The mirror surface profiles S1-S3 lead into identical ends 14. This means that the collector mirrors associated with these profiles S1-S3 have substantially the same collector mirror diameter. Furthermore, the longitudinal axis intersection point A2 of the “protuberant” profile S2 is further away from the first focal point F1 than the longitudinal axis intersection point A3 of the elliptical profile S3. The distance between the longitudinal axis intersection point A2, A3 and the first focal point F1 is known as the “working distance” of a collector mirror. The greater the working distance, the further apart from the highly energetic plasma the collector mirror is situated, which promises an increased resistance to heat and radiation. This means that the “protuberant” collector mirror is subjected to less thermal and radiation loading compared with an elliptical collector mirror having the same diameter. In the case of the schematic mirror surface profiles S1-S3 shown in FIG. 3B, the longitudinal axis intersection points A coincide. The collector mirrors associated with these profiles S1-S3 therefore have the same working distance. At the same time, the “protuberant” profile S2 has a smaller extent between its two ends 41, than the elliptical profile S3. The “protuberant” collector mirror associated with the profile S2 thus has a smaller diameter than the elliptical collector mirror associated with the profile S3. The smaller the diameter, the more compact the collector mirror and the lower the weight thereof. This means that the “protuberant” collector mirror can be formed more compactly and with a lower weight compared with an elliptical collector mirror having the same working distance. FIG. 4 shows a schematic illustration for elucidating the spatial separation of reflected used rays from remaining rays by mean of the collector mirror 10 in FIG. 1. FIG. 4 contains three regions (I)-(III), each of which shows a transition between two adjacent mirror facets 14a, b of a blazed grating 12, wherein the regions (II) and (III) show the same transition of the region (I). In the region (I), an incident used ray 43 impinges on the ray incidence point P at a used ray incidence angle 45, wherein the used ray incidence angle 45 is related to a facet normal 46 perpendicular to the facet surface 15, and wherein the ray incidence point P coincides with a connection point R between the adjacent mirror facets 14a, b. The incident used ray 43 is reflected at the facet surface 15. The reflected used ray 45′ passes at a used ray reflection angle 45′ relative to the facet normal 42, wherein the used ray reflection angle 45′ is equal to the used ray incidence angle 45. The used ray 45′ reflected at the facet surface 15 is referred to as “specular facet reflection”. In the region (II), an incident remaining ray 47 superimposed on the incident used ray 43 impinges on the ray incidence point P at a remaining ray incidence angle 48, wherein the remaining ray incidence angle 48 is related to a grating surface normal 49 directed perpendicularly to a grating surface 50. In the sectional plane 16 shown here, the profile of the grating surface 48 corresponds to a connecting line of the connection points R between the adjacent mirror facets 14a, b. Diffraction effects occur at the ray incidence point P owing to the very much greater wavelength of the remaining ray 47. The remaining ray 47′ diffracted at the order of diffraction 0 passes at a zeroth remaining ray reflection angle 48′ relative to the grating surface normal 46, wherein the zeroth remaining ray reflecting angle 48′ is equal to the remaining ray incidence angle 44. Furthermore, a blaze angle 60 for the mirror facet 14b is shown here, i.e. the angle by which the facet surface 15 of the mirror facet 14b is inclined relative to the grating surface 50. As is shown in the region (III), the mirror facet 14b has a step height 62 and a facet length 64, wherein the ratio between the step height 62 and the facet length 64 corresponds to the tangent of the blaze angle 60. In the region (III), besides the ray paths shown in the regions (I) and (II), the remaining rays 51, 52, 53 respectively diffracted at the orders of diffraction 1, −1 and −2 can be seen, the remaining rays passing at a first, second and respectively third remaining ray reflection angle 54, 55, 56, relative to the grating surface normal 49. The grating surface normal 49 and the facet normal 46 form an angle 58 that is equal to the blaze angle 60. Furthermore, the remaining ray 47′ diffracted at the order of diffraction 0 is deflected from the reflected used ray 43′ by a deflection angle 66. Preferably, the deflection angle 66 is at least twice the blaze angle 60. At the same time, the reflected used ray 43′ passes, preferably centrally, between the diffracted remaining rays of the order of diffraction 0, on the one hand, and the deflected remaining ray 51 of the order of diffraction 1, on the other hand. With further preference, the orders of diffraction 0, 1, −1 and/or −2 relate to a minimum wavelength λmin of an IR spectral range. FIG. 5 shows a schematic diagram of an intensity distribution of reflected used rays and diffracted remaining rays of different orders of diffraction in the far field as a function of the spatial frequency q. In a spatial direction 68 in which the spectral facet reflection passes, the intensity in the far field assumes its maximum, wherein the intensity distribution is substantially mirror-symmetrical about the spatial direction 68. Proceeding from the spatial direction 68, the intensity decays, wherein at integral spatial frequencies q=0, ±1, ±2, ±3, etc. which correspond to discrete spatial directions, the diffracted remaining rays are constructively superimposed and diffraction maxima arise in these discrete spatial directions. Apart from for the order of diffraction 0, the intensity and position of the diffraction maxima are dependent on the wavelength of the remaining rays. Furthermore, the intensity of the diffracted remaining ray decreases monotonically and drastically as the order of diffraction increases, i.e. toward the left and toward the right proceeding from the spatial frequency q=0 in FIG. 5. In order to separate the diffracted remaining ray as much as possible from the specular reflection, it is firstly desirable for the specular facet reflection to pass as centrally as possible between the diffracted remaining rays of the orders of diffraction 0 and 1, since these two orders of diffraction, as shown in FIG. 5, have the highest intensity values among the orders of diffraction of the remaining ray. Moreover, it is advantageous if the intensity of the diffracted remaining ray decays to at least approximately 0 within a few orders of diffraction around the spatial direction 68 of the specular facet reflection. This can be achieved via a corresponding choice of the blazed grating 12, for example with a gap filling degree of approximately one. The gap filling degree is a measure of the diffraction efficiency of a diffraction grating. For the diffraction efficiency I(q) of the reflected used ray and of the diffracted remaining ray of different orders of diffraction as a function of the spatial frequency q, the following holds true in the far field:I(q)=sin c(π*σ*(q−θ))2  (4) In this case, σ is the gap filling degree and θ is the spatial frequency of the specular reflection. θ is usually dependent on the wavelength of the used ray. In EUV microlithography, in which the EUV light having a wavelength of 13.5 nm is used as used rays, θ is therefore a fixed quantity. σ can vary between 0 and 1, wherein at σ˜1 the intensity of the diffracted remaining ray decays to at least approximately 0 within two or three orders of diffraction around the spatial direction 68 of the specular facet reflection. This advantageously promotes an efficient suppression of remaining rays and enables a focusing of the EUV light with a homogenous far field intensity distribution and high purity. The step height 62, the facet length 64 and the blaze angle 60 in FIG. 4 are local variables that are dependent on the angle φ between the ray incidence direction and the optical axis OA, wherein the ray incidence direction is defined by the first focal point F1 and the ray incidence point P. At small blaze angles 60, these three variables are interlinked approximately by α(φ)=h(φ)/l(φ), wherein α(φ) describes the blaze angle profile, l(φ) describes the facet length profile and h(φ) describes the step height profile. This means that two of the three variables above can vary arbitrarily, while the third variable is fixed. By way of example, the blaze angle profile α(φ) can be fixed, as shown schematically in FIG. 6A. In that case, the blaze angle 60 increases from the marginal region 22 toward the vertex region 20, such that the imaging scale varies only weakly along the mirror surface 17. The enlargement of the envelope of the etendue is reduced as a result. Preferably, the blaze angle 60 is chosen from a range of 1 mrad to 100 mrad. By fixing the blaze angle profile α(φ), the local shape deviation of the mirror surface 17 of the blazed grating 12 from an elliptical mirror surface is also fixed. Therefore, the local ray incidence angle 45 or the direction of the specular facet reflection for each mirror facet 14 and thus also the basic shape of the surface of the blazed grating 12 are fixed. Alternatively, the facet length profile l(φ) can be fixed, as a result of which the directions in which the diffracted remaining rays of higher wavelength-dependent orders of diffraction pass are fixed. For short-wave used rays 43, the facet length 64 can be chosen to be sufficiently large, preferably in the range l(φ)>10 μm, such that diffraction effects of the EUV light can be largely disregarded. In addition, the step height profile h(φ) can be at least partly fixed in order additionally to suppress diffraction effects of the used ray 43. In order, however, that the diffraction effects for long-wave remaining rays cannot be disregarded, the facet length 64 is chosen to be sufficiently small, preferably in the range l(φ)<200 μm. This results in a plurality of emerging directions for the diffracted remaining ray, thereby reducing the probability of the diffracted remaining ray being focused onto the second focal point F2 in the same direction as the specular reflection 43′. Moreover, in this case, it is possible to employ mirror facets 14 having a focal length reciprocal value that is at least approximately 0. This corresponds to a virtually plane facet surface 15 which is producible particularly simply and with high quality regarding minimal surface roughness and gradient errors. Moreover, it is possible to choose a maximum facet length lmax depending on the minimum wavelength λmin of the IR spectral range in order to suppress the highly intensive IR light as effectively as possible. By way of example, λmin can assume one of the following values: 10 μm, 1 μm, 200 nm, wherein the associated maximum facet length Imax is respectively 1000 μm, 100 μm and 20 μm. These three examples relate to a focal length of 0.5 m, a stop radius of 1 mm and a deflection angle of 5 mrad between the specular facet reflection and the diffracted remaining ray at the order of diffraction zero. FIG. 6B illustratively shows the shading effect of two adjacent mirror facets 14a,b of the blazed grating 12. The incident used ray 43 is reflected at the facet surface 15 of the first mirror facet 14a, wherein the specular facet reflection 43′ passes in a direction that is defined by the ray incidence point P and a corner point Q of the second mirror facet 14b. The region of the facet surface 15 of the first mirror facet 14a between the ray incidence point P and the connection point R is thus shaded since specular facet reflections 43′ emanating from this region are blocked by a side surface 67 of the second mirror facet 14b. The length of the shading region in the illustration shown here results from the length of the side surface 67 multiplied by the tangent of the useful ray incidence angle 45. The blazed grating 12 of the collector mirror 10 is preferably produced via an ultra-precision turning method (UP turning method) shown illustratively in FIGS. 7A, B. In this case, a UP turning apparatus 69 is used, including a main body 73, a rotary body 75 mounted on the main body 73, and a structuring unit 77. The rotary body 75 is rotatable about a spindle axis 76. A grating workpiece 71 is fixed to the rotary body 75. The grating workpiece 71 preferably includes an amorphous machinable layer containing, for example, nickel-phosphorus (NiP) and/or oxygen-free high-conductivity copper (OFHC-Cu). The structuring unit 77 includes a machining tool 70, for example a diamond tool, which has a pressure side 72. The structuring unit 77 is movable parallel to the spindle axis 76 in both directions, as indicated by the double-headed arrow 78. Furthermore, the tool 70 is rotatable in the clockwise direction and/or in the counterclockwise direction about a machine axis 74, which is perpendicular to the plane of the drawing in the illustration shown here. The pressure side 72 is embodied such that it is plane and/or curved. The rotary body 75 is height-adjustable on the main body 73, which is indicated by the double-headed arrow 80. FIG. 8A shows a schematic spiral path 82 formed spirally about an axis 83 running perpendicularly to the plane of the drawing. The spiral path 82 is shown in a view along the axis 83 in FIG. 8A, wherein the spiral path 82 considered spatially extends continuously in a direction out of the plane of the drawing along the axis 83 as the path radius 85 increases. FIG. 8B shows a schematic path arrangement 84 including circular paths 84a-j which are concentric with respect to an axis 83′. Analogously to FIG. 8A, the circular paths 84a-j are shown in a view along the axis 83′ in FIG. 8B, wherein the circular paths 84a-j considered spatially extend in a direction out of the plane of the drawing as the path radius 85′ increases. For structuring the grating workpiece 71, the latter is firstly secured to the rotary body 75 of the UP turning apparatus 69. Afterward, the tool 70 is brought to a desired position relative to the grating workpiece 71, which desired position corresponds to the desired surface shape of the facet surface 15 to be formed. Afterward, the grating workpiece 71 is moved with the aid of the rotary body 75 relative to the tool 70 along the spiral path 82 (FIG. 8A) and/or the path arrangement 84 (FIG. 8B), wherein the relative rotational movement for moving along the spiral path 82 and the path arrangement 84 is realized via the rotation of the rotary body 75 about the spindle axis 76. Upon following the spiral path 82, a continuous movement takes place between the tool 70 and the grating workpiece 71, the tool 70 being constantly in engagement with the grating workpiece 71. During structuring in accordance with the path arrangement 84 including concentric circles 84a-j, the tool 70 is alternately lowered into the surface of the grating workpiece 71 and raised. After the spiral path 82 or the path arrangement 84 has been completely followed, the mirror surface 17 of the blazed grating 12 acquires its desired profile. This means that the profile of the blaze angle 60 is fixed. The blazed grating 12 produced by this means is rotationally symmetrical about the spindle axis 76 at least in sections. The pressure side 72 of the tool 70 has a plane surface, which is particularly advantageous with regard to reducing the edge rounding since, in the case of a plane pressure side 72, the edge rounding is vanishing small even in the case of large step heights. An increase in the used ray transmission by up to 10% occurs as a result. Moreover, the path distance, i.e. the dimensioning of the contact area between the pressure side 72 and the grating workpiece 71, is significantly increased on account of the plane pressure side 72, such that the number of turning and machining processes for structuring the entire blazed grating 12 is reduced. The processing time and/or wear of the tool 70 are/is advantageously reduced as a result. The influence of vibrations of the tool 70 on the surface roughness is negligible. A maximum surface roughness in the range of 1 nm to 2 nm can be realized with the aid of the UP turning method. Furthermore, the lateral offset of the second focal point F2 when moving along the spiral path 82 is negligible on account of the small path distances. A further advantage that results from a plane pressure side 72 consists in a significantly reduced gradient error of the mirror facets 14. Using the plane pressure side 72, it is possible to realize facet surfaces 15 whose maximum gradient error is substantially proportional to the facet length 64. This means that, given the choice of a sufficiently small facet length 64, other effects that contribute to the gradient error can be suppressed. FIG. 9 shows a schematic diagram in which a maximum gradient error 86 and an average gradient error 88 are plotted as a function of a radius coordinate of the radius 85, 85′ of the spiral path 82 or of the path arrangement 84. The radius coordinate varies between a minimum radius 90 and a minimum radius 92. Given a facet length 64 of 100 μm, the maximum gradient error, on account of the plane pressure side 72, is reduced by 90% compared with the collector mirrors known from the prior art. Preferably, the mirror facets 14 and/or the facet surfaces 15 are formed in each case via a single machining process in which the facet surface 15 is engaged by the pressure side 72 of the tool 70 facing the grating workpiece 71. The individual mirror facets 14 and/or the individual facet surfaces 15 thus result as an “image” of the pressure side 72. The processing time can advantageously be reduced further as a result. In order to minimize the surface roughness of the mirror facets 14, in accordance with one exemplary embodiment, after the UP turning method, the prestructured grating workpiece 71 is smoothed in a smoothing process. Non-mechanical smoothing, e.g. ion beam smoothing and/or liquid-film smoothing, is preferably carried out. Ion beam smoothing is known from the publication Frost et al., “Large area smoothing of surfaces by ion bombardment: fundamentals and applications, J. Phys.: Condens. Matter 21, 22, 224026” the content of which is hereby incorporated in the application. Liquid-film smoothing is known from the patent application US2014/0118830A1, the content of which is hereby incorporated in the application. A reduced rounding of the grating structure can advantageously be achieved by this means. In order to improve the reflection properties of the mirror surface 17, that side of the grating workpiece 71 which is surface-processed in the smoothing process is coated over with a layer stack including a plurality of alternating individual layers of molybdenum and silicon, wherein a layer thickness of the individual layers is chosen depending on a local ray incidence angle with respect to the individual facet surfaces. Such an MoSi stack layer is advantageous since each mirror facet 14 is individually optimized in terms of its reflection properties to its desired local ray incidence angle. The mirror surface 17 advantageously focuses the EUV light at the mirror surface 17 uniformly and precisely onto the second focal point F2. Preferably, the structured side of the grating workpiece is coated over with a material before and/or after the application of the MoSi stack layer, the material including aluminum and/or a dielectric protection, e.g. MgF2. The latter additionally protects the mirror surface 17 of the blazed grating 12 against degradation effects. The blazed grating 12 preferably has a root-mean-square (RMS) microroughness in the range of 0 to 0.2 nm, wherein an upper limit of 0.2 nm corresponds to approximately 1/80 of the EUV wavelength. As a result, a stray light loss of the EUV light can advantageously be reduced to a maximum of 2.5%. With further preference, the collector mirror 10 includes at least one antiblaze facet having an inclination angle of at least 25°. It goes without saying that the collector mirror 10 is suitable not only for EUV microlithography with a wavelength of 13.5 nm, but also for microlithographic applications in which exposure light having a different wavelength, for example up to 400 nm, preferably up to 200 nm, can be used. A further exemplary embodiment of a mirror 100 is described with reference to FIGS. 10 and 11. Elements of the mirror 100 which are identical, similar or comparable to elements of the exemplary embodiment in FIG. 1 are provided with reference signs increased by 100. Unless described otherwise below, the above description is also applicable with respect to the mirror 100. In accordance with FIG. 10, the mirror 100 includes an optical grating 112 including an optically effective mirror surface 117, which focuses electromagnetic radiation 125 emanating from a first focal point F1 into a second focal point F2. The focal points F1 and F2 define the optical axis OA. The optical grating 112 includes a plurality of mirror facets 114 each having a facet surface 115, wherein the facet surfaces 115 form the mirror surface 117 of the optical grating 112. On its rear side, the mirror 100 includes a substrate 200. In accordance with FIG. 11, the facet surfaces 115, as in the case of the collector mirror 10, in a sectional plane 116 including the optical axis OA, are arranged on a plurality of imaginary elliptical shells 118a-j of an ellipse family 118 that are displaced from one another along the optical axis OA. However, the individual facet surfaces 115 additionally satisfy a further arrangement specification described below. FIG. 11 depicts an imaginary circular line 204 which is given by the set k of all points P for which the following holds true:k={P|F2P:PF1=λ}  (5)wherein λ is a constant. The circular line or the circle 204 is also referred to as an appolon circle. The ratio of the distance from the focal point F2 to the respective point P and the distance from the point P to the focal point F1 is thus equal to the fixed value λ for all points P on the circular line 204. The facet surfaces 115 are then distributed along the elliptical shells 118a-j of the ellipse family 118 such that the facet surfaces 115 are arranged at intersection points Pn of the elliptical shells 118a-j with at least one section of the imaginary circular line 204. The imaging scales of the individual mirror facets 114 are thus at least approximately identical among one another. The mirror 100 thus has a constant imaging scale as viewed over its mirror surface 117, that is to say that the focal point F1 is focused onto the focal point F2 by all the mirror facets 114 in the present case. A sharp image or intermediate image thus arises at the focal point F2. In the exemplary embodiment shown in accordance with FIG. 11, base points 115n of the facet surfaces 115 are arranged at the intersection points Pn of the circular line 204 with the elliptical shells 118a-j. The mirror 100 does not just have a constant imaging scale as viewed over its mirror surface 117, rather a surface 202 of the substrate 200 can be embodied in particular as spherical, which significantly simplifies manufacture. In contrast to the mirror surface 17, the mirror surface 117 is not protuberant, but rather spherical. Furthermore, in the exemplary embodiment shown, the mirror surface 117 of the mirror 100 is arranged completely outside the optical axis OA. The mirror 100 is thus operated “off-axis”. The optical grating 112 is preferably a blazed grating, but can also be a Fresnel structure. The collector mirror 10 in FIG. 1 can likewise be configured such that the facet surfaces 15, as in the case of the mirror 100, are arranged at intersection points of an Appolonian circle with the elliptical shells 18a-j. It is thus possible for a mirror construction as in the case of the mirror 100 also to be employed for a collector mirror. In this case, the individual mirror facets 114 are embodied rotationally symmetrically about the optical axis OA at least in sections. The mirror 100, in particular in the “off-axis” configuration in accordance with FIG. 10, can be used as an imaging mirror within an optical system, for example an EUV microlithography system, or an optical UV system.
summary
claims
1. A package for transporting and/or storing radioactive materials such as nuclear fuel assemblies, comprising:an outer wall element, anda cooling element which is attached to the outer wall element, wherein the cooling element comprises a base and at least one fin which is integral with or fixed to the base, wherein the fin projects outwardly from the outer wall element and outwardly from the package,wherein the base extends on either side of the fin respectively towards two opposite side ends of the base, wherein each of the side ends is attached to the outer wall element via a weld. 2. The package according to claim 1, wherein the outer surface of the outer wall element comprises a recess forming a housing for the base, wherein at least one of the side ends of the base is connected to at least one side edge of the housing via a weld. 3. The package according to claim 2, wherein the base is housed in the housing so as to be flush with the surface of the outer wall element at least at one edge of the housing. 4. The package according to claim 2, wherein the housing has a width between its side edges which is at most equal to the sum of the width of the base and the width of the welds. 5. The package according to claim 1, wherein the height of the base along at least one of the side ends is higher than or equal to half the mean thickness of the fin. 6. The package according to claim 1, wherein the outer wall element, the base and/or the fin comprise copper. 7. The package according to claim 1, wherein the base and the fin are integrally formed as a single piece. 8. The package according to claim 1, comprising:a neutron shield block andat least one heat conducting inner element,wherein the outer wall element is integral with or fixed to the heat conducting inner element, wherein the heat conducting inner element is in contact with a ferrule of the package,wherein the ferrule, the heat conducting inner element and the outer wall element surround at least partially the neutron shield block. 9. The package according to claim 1, wherein the cooling element comprises at least two fins and a base which is common to the fins,wherein the base extends on either side of the fin towards two opposite side ends of the base, wherein each of the ends is attached to the outer wall element via a weld. 10. The package according to claim 1, comprising a second cooling element which is attached to the outer wall element,wherein the second cooling element comprises at least one fin which is integral with or fixed to the base,wherein the distance between the cooling elements is lower than the height of at least one of the fins. 11. A heat conducting element for a package for transporting and/or storing radioactive materials, comprising:a heat conducting inner element,at least one cooling element, andan outer wall element for forming an outer shell portion of the package, wherein the heat conducting inner element and the cooling element are located on either side of the outer wall element, wherein the outer wall element mechanically and thermally connects the heat conducting inner element to the cooling element,wherein the cooling element comprises a base and at least one fin which is integral with or fixed to the base,wherein the base extends on either side of the fin respectively towards two side ends opposite to the base, wherein each of the side ends is attached to the outer wall element via a weld. 12. A method for manufacturing a package for transporting and/or storing radioactive materials according to claim 1,comprising a step of welding each of the two opposite side ends of the base to the outer wall element. 13. The manufacturing method according to claim 12, wherein the width of the base between its two side ends is higher than or equal to twice the mean thickness of the fin. 14. The manufacturing method according to claim 12, wherein the base is welded to the outer wall element by electron beam or by laser beam welding. 15. The manufacturing method according to claim 12, wherein the base is arranged in a housing provided in the outer wall element, wherein the base is welded in the housing along a thermal contact interface, wherein the thermal contact interface is tilted at an angle between 0° to 30° with respect to the height direction of the fin. 16. The manufacturing method according to claim 12, comprising a step of making notches in a plate to form fins of the cooling element, wherein the notches are spaced from each other along the longitudinal direction of the plate. 17. The manufacturing method according to claim 16, wherein the plate comprises the base of the cooling element,wherein the step of welding the side ends of the base along the longitudinal direction of the plate occurs after the step of making the notches. 18. The manufacturing method according to claim 16, wherein the method comprises a step of twisting the fins about their longitudinal axis after the welding step.
abstract
A compression member for insertion into a pig for transporting a container of biohazardous materials includes a flange maintained in spaced relation with an annulus by pillars; and spaced apart pivotable grip components supported by the annulus and extending downwards from the annulus between respective ones of the pillars towards, but not into contact with, the flange, the pivotable grip components resiliently compressible inwardly against the container when the container is received within the compression member. In use, the compression member receives at least a closure portion of the container and, in turn, is itself received within a complementary annulus of the pig. When being received within the complementary annulus of the pig, the pivotable grip components are urged inwards towards the container thereby to grip the container and provide a spacer for between this portion of the container and the pig.
description
This application is a continuation of U.S. patent application Ser. No. 11/509,323, filed Aug. 24, 2006, which claims priority to U.S. Provisional Application Ser. No. 60/711,139 (entitled BETAVOLTAIC CELL, filed Aug. 25, 2005) which applications are incorporated herein by reference. The invention described herein was made with U.S. Government support under Contract No W31P4Q-04-1-R002 awarded by Defense Advanced Research Project Agency (DARPA). The United States Government has certain rights in the invention. Modern society is experiencing an ever-increasing demand for energy to power a vast array of electrical and mechanical devices. Since the invention of the transistor, semiconductor devices that convert the energy of nuclear particles or solar photons to electric current have been investigated. Two dimensional planar diode structures have been used for such conversion. However, such two dimensional structures exhibit a number of inherent deficiencies that result in relatively low energy-conversion efficiencies. In the following description, reference is made to the accompanying drawings that form a part hereof, and in which is shown by way of illustration specific embodiments which may be practiced. These embodiments are described in sufficient detail to enable those skilled in the art to practice the invention, and it is to be understood that other embodiments may be utilized and that structural, logical and electrical changes may be made without departing from the scope of the present invention. The following description is, therefore, not to be taken in a limited sense, and the scope of the present invention is defined by the appended claims. Three dimensional semiconductor based structures are used to improve power density in betavoltaic cells by providing large surface areas in a small volume. A radioactive emitting material may be placed on and/or within gaps in the structures to provide fuel for a cell. The characteristics of the structures, such as spacing and width of protrusions may be determined by a self-absorption depth in the radiation source and the penetration depth in the semiconductor respectively. In one embodiment, the semiconductor comprises silicon carbide (SiC), which is suitable for use in harsh conditions due to temperature stability, high thermal conductivity, radiation hardness and good electronic mobility. The wide bandgap of 4H hexagonal polytype (3.3 eV) provides very low leakage currents. In one embodiment, SiC pillars are formed of n-type SiC. P or n type dopants may be formed on the pillars or any SiC structure in various known manners. In one embodiment, p-type doping utilizes a borosilicate glass boron source formed on the pillars. The borosilicate glass may then be removed, such as by immersion in hydrofluoric acid followed by a deionized water rinse or by plasma etch. Both substitutional and vacancy mediated diffusion occurs. Other boron sources, such as boron nitride or any other boron-containing ceramic may be used in place of the borosilicate glass. The doping results in shallow planar p-n junctions in SiC. The following text and figures describe one embodiment utilizing high aspect ratio micromachined pillars in semiconductors. The formation of PN junctions and provision of a radioactive beta-emitting material may be placed within gaps between the pillars to provide fuel for a cell are also described. A method for doping SiC is then described that utilizes an easily removable sacrificial layer. Some example results and calculations are then described. FIGS. 1A, 1B, 1C, 1D and 1E illustrate formation of an example betavoltaic cell. In one embodiment, a silicon carbide substrate 110 is used. Other semiconductor substrates may be used if desired, such as silicon. Photolithography and etching may be used to provide a structure 115 that has a larger surface area than a smooth substrate as shown in FIG. 1B. In one embodiment, the structure 115 comprises etched pillars 120 separated by gaps 125 between the pillars. Standard plasma etching techniques may be used to provide good control over sidewall profiles of the etched pillars 120. The roughness of the sidewalls resulting from electrochemical etching may provide traps for current flow. Photolithography may be used to pattern high aspect ratio pillars, yielding good control over the geometry of the device. This allows for better optimization of power conversion efficiency, and also may lead to better process control in commercialization. To form the pillars in one embodiment, a semiconductor wafer is patterned using standard photolithography techniques. The pattern is then transferred using plasma etching techniques such as electron cyclotron resonance (ECR) etching. These techniques can etch deep with good control over the sidewall profile, allowing for the realization of high aspect ratio structures. Other structures may also be used such as stripes 210 in FIG. 2 and scalloped stripes 310 in FIG. 3. In a further embodiment, pores in a semiconductor substrate may formed with junctions to form a porous three dimensional porous silicon diode having conformal junctions. Pore sizes may range from less than 2 nm to greater than 50 nm. Just about any structure that increases the surface area of the resulting battery may be used. High aspect ratio structures that may be doped to provide shallow junctions tend to provide the greatest increase in power density. Using the high aspect ratio pillars to form shallow junctions may lead to higher power densities over planar approaches. By etching through a typical half millimeter thick wafer, using a Tritium radiation source, this approach may yield power density increases of up to or more than 500 times planar or two dimensional approaches. Either solid source or gas source diffusion may be used to diffuse impurities 130 into the etched pillars 120, forming a p-n junction over substantially the entire length of the pillar or surface of the structure. Ohmic contacts 135, 140 compatible with the semiconductor, such as aluminum are deposited as shown in FIG. 1D. In one embodiment, contacts are formed on the tops of the pillars as indicated at 135, and on the bottom side of the substrate as indicated at 140. These serve as a cathode and anode for the resulting cell or battery. FIG. 1E provides a planar view of contact layout to minimize series resistance and simplify packaging. The device can then be mounted in a package and interfaced with the external world via wire-bonding. Gaps between the pillars may be filled with radioactive fuel, such as tritiated water (T2O), Ni-63 or other beta emitting source, such as promethium as indicated 410 in FIG. 4. In one embodiment, a metal radioactive source such as Ni-63 may be introduced by electroless/electroplating or evaporation techniques. In further embodiments, the source may be introduced before contact formation. The package can then be sealed or left open for characterization purposes. Aspect rations of up to 10:1 or higher, such as the entire thickness of the wafer, may be utilized. In a further embodiment as illustrated in FIGS. 5A and 5B, the fuel may take the form of a fluid-liquid or gas, such as T2O or solutions of radioactive salts. A cap 510 or container is formed on a cell 515, such as the cell illustrated in FIGS. 1A-1E. The cap may be formed using many different semiconductor techniques, such as PDMS, SU8, etc. A capillary or other fill device 515 may be used to introduce the fluid fuel into a resulting chamber 520. In further embodiments, the fluid fuel can be introduced by injection or otherwise. In further embodiments, a graded junction may be grown by crystal growth techniques, such as chemical vapor deposition (CVD) or implemented by diffusion from solid or gaseous sources on a planar semiconductor substrate, or by ion implantation as described below. The graded junction can then be etched to form high aspect ratio junctions. Batteries with power density of ˜5 mW/cm2 over a period of 20 years may be obtained. These may be useful to power sensors in low accessibility areas, such as pacemakers, sensor nodes in bridges, tags in freight containers and many other applications. In one embodiment, the pillars are approximately 1 um in width, with approximately 1 um between them. They may be 5 um to 500 um deep, or deeper, depending on the thickness of the substrate. The dimensions may vary significantly, and may also be a function of the self-absorption depth in the radiation source and the penetration depth in the semiconductor respectively. In one embodiment, the semiconductor comprise silicon carbide (SiC), which is suitable for use in harsh conditions due to temperature stability, high thermal conductivity, radiation hardness and good electronic mobility. The wide bandgap of 4H hexagonal polytype (3.3 eV) provides very low leakage currents. In one embodiment, SiC pillars are formed of n-type SiC. P type dopant, such a boron is performed from a borosilicate glass boron source formed on the pillars. The borosilicate glass may then be removed, such as by immersion in hydrofluoric acid followed by a deionized water rinse or by plasma etch. Both substitutional and vacancy mediated diffusion occurs. The doping results in shallow planar p-n junctions in SiC. Doping levels in one embodiment are approximately 1×1015 cm−3 for the n-type doping, and approximately 1×1017 cm−3 for the p-type doping. These doping densities may vary significantly in further embodiments. In still further embodiments, the pillars may cover substantially the entire wafer. At current densities of approximately 3 nanoamps/cm2, they may be used to form batteries with significant power capabilities. In still further embodiments, the pillars may be p-type and the dopant formed on the pillars may be n-type to form junctions. In one example, a dopant glass, such as Borosilicate glass, PSG, BPSG, etc., is deposited on the SiC pillars and annealed at high temperature, such as ˜1600° C. or greater than approximately 1300° C. to drive in the dopants. This process may also be used on any type of SiC structure, including planar substrates for circuit formation. The presence of the glass on the surface, and lower temperature than diffusing from vapor sources, reduces the effect of surface roughening through sublimation. For short diffusions, decomposition of the borosilicate glass appears to be minimal, as is surface roughening of the SiC. The resulting SiC surfaces may be smooth. In further embodiments as illustrated in FIGS. 6A, 6B, and 6C, a SiC substrate 600, which may or may not contain structures, is used as a starting point. Dopant glass 610, either p or n-type may be deposited on the SiC either by chemical vapor deposition or spin-on glass methods among other methods. The glass coated SiC is then annealed, either in vacuum or an ambient to diffuse the boron into the SiC as represented at 620, from approximately 1300° C. to approximately 1800° C. The glass 610 may then be removed by immersion in hydrofluoric acid followed by a deionized water rinse or by a plasma etch. In a further embodiment, dopant containing glass can be deposited on the SiC using a plasma enhanced chemical vapor deposition (PECVD). It may then be annealed in a vacuum at approximately greater than 1300° C. and removed by immersion in hydrofluoric acid followed by a deionized water rinse or by a plasma etch. Other boron sources, such as boron nitride or any other boron-containing ceramic may be used in place of the borosilicate glass to obtain p-type doping. It should be noted that glass was originally believed to be unstable at such high temperatures based on Si data. However, on SiC, it remains stable enough for this sacrificial application. Temperatures below 1300° C. may provide some drive in of dopants, and may be included in the phrase approximately greater than in some embodiments. FIGS. 7A, 7B, 7C, and 7D illustrate formation of a pn junction by ion implantation. A SiC substrate 710 in FIG. 7A is implanted with dopant 715, such as boron. Other p and n-type dopants may also be used. A glass 720 is then deposited on top of the implanted substrate as seen in FIG. 7B. An activation anneal is performed as illustrated in FIG. 7C, to activate the dopant, such as by ensuring dopants achieve proper locations within the crystalline lattice structure of the SiC. In FIG. 7D, the glass may be removed by acid, such as HF, or plasma etch. In one embodiment, the boron doped SiC forms a betavoltaic cell as described above. 4H SiC may be used in one embodiment. The p-n diode structure may be used to collect the charge from a 1 mCi Ni-63 source located between the pillars. The following results are provided for example only and may vary significantly dependent upon the actual structure used. An open circuit voltage of 0.72V and a short circuit current density of 16 nA/cm2 were measured in a single p-n junction. An efficiency of 5.76% was obtained. A simple photovoltaic-type model was used to explain the results. Fill factor and backscattering effects were included in the efficiency calculation. The performance of the device may be limited by edge recombination. Silicon carbide (SiC) is a wide bandgap semiconductor that has been used for high power applications in harsh conditions due to its temperature stability, high thermal conductivity, radiation hardness and good electronic mobility. The wide bandgap of the 4H hexagonal polytype (3.3 eV) provides very low leakage currents. This is advantageous for extremely low power applications. The availability of good quality substrates, along with recent advances in bulk and epitaxial growth technology, allow full exploitation of the properties of SiC. Radioactive isotopes emitting β-radiation such as Ni-63 and tritium (H-3) have been used as fuel for low power batteries. The long half-lives of these isotopes, their insensitivity to climate, and relatively benign nature make them very attractive candidates for nano-power sources. The radiation hardness of SiC4 ensures the long-term stability of a radiation cell fabricated from it. A 4H SiC p-n diode may be used as a betavoltaic radiation cell. Due to its wide bandgap, the expected open circuit voltage and thus realizable efficiency are higher than in alternative materials such as silicon. The operation of a radiation cell is very similar to that of a solar cell. Electron-hole (e-h) pairs are generated by high-energy β-particles instead of photons. These generated carriers are then collected in and around the depletion region of a diode and give rise to usable power. The dynamics of high-energy electron stopping in semiconductors are well known, with about ⅓ of the total energy of the radiation generating usable power through the creation of electron hole pairs. The remaining energy is lost through phonon interactions and X-rays. A mean “e-h pair creation energy or effective ionization parameter” in a semiconductor, takes into account all possible loss mechanisms in the bulk for an incident high-energy electron. This e-h pair creation energy is treated as independent of the incident electron energy. The effective ionization energy was calculated to be 8.4 eV for 4H SiC5. In one embodiment, doping values of 1016 cm−3 and 100% charge collection efficiency (CCE) were assumed. Calculations were performed for a 4 mCi/cm2 nickel-63 radiation source corresponding to an ideal incident β-electron current density of 20 pA/cm2, which was the source used in this work. Backscattering losses and fill factor effects are included in these calculations. The expected performance for ideal junctions (ideality factor n=1) is compared with junctions where current transport is dominated by depletion and/or edge and surface recombination (n=2). The performances realized in SiC in this work and in silicon previously are compared below. A p+ 4H SiC <0001> substrate cut 8° off-axis purchased from Cree Inc. was used in this study. A 4 μm thick active p layer background doped at 3×1015 cm−3, followed by a 0.25 μm thick n layer nitrogen doped at 2×1018 cm−3, were grown by chemical vapor deposition (CVD) at 1600° C. and 200 Torr at a nominal growth rate of 2.5 μm/hr. Silane and propane were used as precursors with hydrogen as the carrier gas. The thickness of the active layer was chosen to match the average penetration depth of β-electrons from Ni-63 (which is about 3 μm), in order to provide good charge collection. All doping levels were experimentally determined by capacitance-voltage measurements. Test diodes (500×500 μm2) were patterned by photolithography and isolated by electron cyclotron resonance (ECR) etching in chlorine (Cl2). Backside Al/Ti contacts were evaporated by an electron beam in vacuum. They were then annealed at 980° C. to render them ohmic. 50×50 μm2 nickel contacts occupying only 1% of the active device area were then patterned and annealed at 980° C. in order to minimize backscattering losses from the high Z metal. A LEO DSM982 scanning electron microscope (SEM) at an accelerating voltage of 17 kV (corresponding to the mean energy of β-electrons from Ni-63) and a current of 0.72 nA was used to simulate an intense radiation source. An electrical feed-through connected to a probe tip was used to contact the isolated devices. The substrate was contacted to the stage with copper tape. The incident beam current density was varied by running the SEM in TV mode and changing the effective illumination area with constant beam current. The open circuit voltage (Voc) and short circuit current (Isc) were measured as a function of the incident beam current density Jbeam. In separate measurements, a 1 mCi Ni-63 source placed 6 mm from the devices was used to test the cell in air. The measured output current density of the source was 6 pA/cm2. The output of the cell was monitored for a period of one week. The leakage currents of the diodes were extracted from the forward active region of the current voltage (IV) characteristic. A typical value of the leakage current was J0=10−12 A/cm2 with an ideality factor of n=3 for 500 μm square diodes. The n=3 behavior is believed to be an artifact from high resistance contacts. A few of the diodes exhibited leakage currents of ˜10−17 A/cm2 with an ideality of n=2. The diodes were uniform in their characteristics, with the exception of those exhibiting n=2 behavior. Voc and Jsc are connected by the well-known photovoltaic relation derived from the diode equation with constant electron-hole pair generation, Voc = nV th ⁢ ln ⁡ ( Jsc J 0 ) ⁢ ⁢ for ⁢ ⁢ Jsc >> J 0 ( 1 ) where J0 is the reverse leakage current density of the diode, Vth is the thermal voltage and n is the ideality factor. The voltage thus calculated from equation (1) using the measured value of J0 is 0.76 V for the Ni-63 source. There is good agreement between the open circuit voltage extracted from the above equation and the 0.72 V measured under β-electron illumination. Furthermore, the dependence of Voc on the illumination current density also exhibits an ideality of n=3, suggesting that the betavoltaic cell does indeed function in a manner analogous to a photovoltaic cell. The radiation cell was thus modeled with the following simple equation for a 500×500 μm2 diode: P = IV = I 0 ⁡ ( exp ⁡ ( V nV th ) - 1 ) ⁢ V - IscV ≅ I 0 ( exp ⁡ ( V nV th ) ⁢ V - IscV ⁢ ⁢ for ⁢ ⁢ Isc >> I 0 ( 2 ) where P is the power obtained from the cell. We have used I0=(25×10−4)(1×10−12) A, n=3 and Isc=(25×10−4)(16×10−9)A for one example device. Series resistance is neglected in equation (2) as the currents being dealt with are so low. The current multiplication factor under monochromatic electron illumination is ˜1000, which is less than the total 2000 predicted by Klein's model. This is believed to stem from surface recombination, an effect well documented for SiC diodes. It was observed that when the illumination area was far from the edges of the diode, confined to its center, the current multiplication factor was ˜2000 vs. 1000 for blanket illumination, indicating that edge and surface recombination play a role in reducing collection efficiency despite the relatively large size of the devices (500×500 μm2). The highest efficiency of 14.5% and a current multiplication factor of ˜2000 were observed for an illumination area smaller than the area of the diode. It is thus expected that surface passivation techniques may improve the efficiency of the cell. Under Ni-63 irradiation, however, an enhancement in current multiplication to ˜2400 was observed. This is believed to stem from the details of the distribution characteristics of the β-radiation compared with monochromatic SEM electron illumination. No change in the open circuit voltage or short circuit current was observed during the one-week monitoring period, indicating that radiation damage did not occur over that time. This is consistent with the radiation damage threshold in SiC4. The overall efficiency of the radiation cell may be computed from Efficiency = FF ⁢ VocJsc V mean ⁢ J beam ( 3 ) where FF = V p ⁢ J p VocJsc ( 4 ) where Vp and Jp are the voltage and current density at the maximum power point, respectively. These were calculated numerically from equation (2) or directly from the measured data in FIG. 2c). Vmean=17 kV corresponds to the average energy of a β-particle from Ni-63 (17 keV) and Jbeam is the current density from the radiation source or from the SEM. Table 1 shows a comparison of the values of various salient parameters obtained by measurement and extraction from the model in equation (2). Fairly good correspondence is seen with the model despite the fact that the Ni-63 irradiation measurement was performed in air, implying that our model is an adequate first order description of the radiation cell. The discrepancy of the fill factor at the low currents from Ni-63 is believed to have arisen from suboptimal tunneling contacts. The measured fill factors approached their ideal values at currents >80 nA/cm2. TABLE 1ParameterMeasuredModelJo (A/cm2)  1 × 10−12Used measured valuen3  Used measured valueJsc (A/cm2) 1.6 × 10−8Used measured valueVoc (V)0.720.76Vp (V)0.600.60Jp (A/cm2)0.98 × 10−81.38 × 10−8FF0.510.68 Despite the low currents from the Ni-63 source, devices were obtained with a voltage of 0.72V and an efficiency of 5.76%, which can be used directly in circuits. By comparison, the use of silicon, which gives much lower voltages (˜100 m3), necessitates multiple cells in series for usable power, complicating device geometry. Leakage currents as low as 10−24 A/cm2 have been reported for SiC PN junctions. With leakage currents of ˜10−24 A/cm2 and n=2, one can expect a voltage of ˜1.93 V and an efficiency of ˜13%. The Abstract is provided to comply with 37 C.F.R. §1.72(b) to allow the reader to quickly ascertain the nature and gist of the technical disclosure. The Abstract is submitted with the understanding that it will not be used to interpret or limit the scope or meaning of the claims.
claims
1. An apparatus for inspecting a specimen, comprising:inspection means having a sensor to detect an actual image of a pattern formed on a specimen to be inspected and a processor to process the detected actual image to extract a defect candidate of the pattern with its location information;output means for outputting an actual image of the extracted defect candidate and data including location information of the defect candidate;information transfer means for transferring information outputted from the output means;store means for storing information outputted from the output means and transferred by the information transfer means; andprocessing means having a display screen for processing the information stored in the store means and for displaying the processed information on the display screen;wherein said processing means simultaneously displays defect candidate distribution data in a wafer map format on the display screen and an enlarged actual image of a defect candidate on the display screen. 2. The apparatus according to claim 1, wherein the processing means displays defect candidate location data on the display screen. 3. The apparatus according to claim 1, wherein the processing means displays a defect candidate location data in the map format on the display screen. 4. The apparatus according to claim 1, wherein the processing means classifies the defect candidates stored in the store means and displays the classified defect candidates on the display screen. 5. The apparatus according to claim 4, wherein the processing means displays the classified defect candidate actual image on the display screen. 6. The apparatus according to claim 4, wherein the processing means displays the classified defect candidates in the map format on the display screen. 7. An apparatus for inspecting a specimen, comprising:an image detecting unit which detects actual images of a pattern formed on a substrate;a defect candidate extracting unit which extracts a defect candidate from the detected actual images;an outputting unit which outputs data of the extracted defect candidate including actual images of the extracted defect candidate;a data storing unit which stores the outputted data from the outputting unit including actual images of the extracted defect candidate;a processing unit which processes the stored data; anda display unit which simultaneously displays data processed by the processing unit including defect candidate distribution data in a wafer map formed on a display screen and an enlarged actual image of a defect candidate side by side on the display screen. 8. An apparatus according to the claim 7, wherein said image detecting unit detects optical image of the pattern. 9. An apparatus according to the claim 7, wherein said image detecting unit detects secondary electron image of the pattern. 10. An apparatus according to the claim 7, wherein said defect candidate extracting unit extracts a defect candidate actual image and its location information from the detected actual images. 11. An apparatus according to the claim 7, wherein said defect candidate extracting unit extracts a defect candidate from the detected actual images by comparing the detected actual images with reference images. 12. An apparatus according to the claim 7, wherein said outputting unit and the data storing unit are connected by a network. 13. An apparatus according to the claim 7, wherein said processing unit detects defects among the stored defect candidates and the display unit displays an actual image of the extracted defect on the display screen. 14. An apparatus according to the claim 7, wherein said processing unit detects defects among the stored defect candidates and the display unit displays the detected defects in the map format on the display screen. 15. An apparatus according to the claim 7, wherein said processing unit detects defects among the stored defect candidates by using a variable threshold value. 16. An apparatus according to the claim 14, wherein said variable threshold value is determined on the display screen. 17. An apparatus for inspecting a specimen, comprising:a defect candidate data processing unit for processing data of defect candidates including actual images of defect candidates which are detected by a detection machine and transferred through a communication line and stored in a memory; anda display unit which simultaneously displays data processed by the defect candidate data processing unit including defect candidate distribution data in a wafer map format on a display screen and an enlarged actual image of a defect candidate which is one of the defect candidates displayed on the wafer map format on the display screen,wherein the defect candidate data processing unit detect defects among the defect candidates by using a threshold value determined on the display screen of the display unit. 18. An apparatus according to the claim 17, wherein the defect candidate data processing unit classifies the defect candidate data and the display unit displays the classified defect candidate data on the display screen. 19. An apparatus according to the claim 17, wherein the map indicates distribution of the defect classified in the same category with the displayed defect actual image by the defect candidate data processing unit. 20. An apparatus according to the claim 17, wherein the display unit displays an actual image of defect which is pointed out on the map displayed on the display screen.
description
This patent application is a National Phase application of International Application No. PCT/AU2015/000302, filed May 22, 2015, and claims priority to Australian Patent Application No. 2014901905 filed May 22, 2014. Each of the aforementioned applications is incorporated by reference in its entirety. The invention pertains to radiation detection and more particularly to a compressed sensing gamma-ray or neutron imaging device using a single detector and coded masks. Gamma-ray imaging is an important radiation detection capability that can provide the location and identity of gamma-ray emitting radionuclides. Gamma-ray imaging can be utilised in many applications, including but not limited to: decommissioning, decontamination, environmental monitoring (i.e. site surveys, mining surveys), medical imaging (SPECT), astronomy and national security applications (i.e. search for illicit radiological & nuclear material). Traditional gamma-ray imaging techniques rely on either focusing an image onto very expensive arrays of detectors or slowly raster scanning a single detector across the image plane. The expense of pixelated detector arrays or slow speeds of raster scanning systems are often prohibitive. Unlike optical photons, which are easily focused, the highly penetrating nature of gamma-ray photons make them very difficult to focus. Gamma-ray imaging systems that use pixelated detector arrays typically use a single pinhole, multiple pinhole or planar coded aperture optics. These systems are used to form an image or an encoded image on the detector array. The use of pinhole and coded aperture optics has been around for decades in astronomy and medical applications. The fields of view of these types of imaging systems are approximately 30°-40° in the horizontal or vertical direction. Rotating Modulation Collimators (RMC's), first introduced by Mertz in 1967, typically use two masks with parallel slits that run the entire length of the mask. When the masks are rotated, the projection of the front mask appears to orbit the rear mask with respect to the source. The rotation of the masks creates a modulated count pattern at the detector that depends on the number of sources, source intensity, location and size. The RMC has a number of draw backs, including: a single RMC has difficulty imaging extended sources, it has a small field of view, when using a single RMC it is impossible to distinguish a source on the central axis of rotation. See, B. R. Kowash, A Rotating Modulation Imager for the Orphan Source Search Problem, PhD Thesis, 2008 The scenes to be imaged in many gamma-ray imaging applications are sparse in nature and typically require the detection of one or more point sources. For the simple case of a single point source that will be sampled into a 16×16 image, and assuming background is zero, this will provide 1 non-zero pixel and 255 zero pixels. Rather than taking N (in this case 256) measurements, most of which will be zero, intuition says that smarter strategies should be able to determine the location of the non-zero pixel in far fewer than N measurements. This intuition has recently been proven through the development of a new signal processing theory, known as Compressed Sensing. Compressed sensing is enabling new approaches to image formation. The Compressed Sensing approach can produce images with a fraction of the measurements (when compared to traditional imaging techniques) and enables low cost (single detector) system options to be realised. Single pixel imaging systems, based on compressed sensing, have been recently developed for optical, infra-red and THz wavelengths. See, R. G. Baraniuk et al, Method and Apparatus for Compressive Imaging Device, U.S. Pat. No. 8,199,244 B2, 2012. For example, a terahertz imaging system is known that uses a single pixel detector in combination with a series of random masks to enable high-speed image acquisition. W. L. Chan et al, A Single-Pixel Terahertz Imaging System Based on Compressed Sensing, Applied Physics Letters, Vol. 93, 2008. These single pixel imaging systems all use some sort of lens to focus an image and then use random compressive measurements to sample the image plane. However, it should be possible to perform compressive measurements when sampling the scene plane rather than forming an image and then sampling. Huang et al have taken this approach and describe a single pixel optical imaging system that requires no lens. They use an aperture assembly to randomly sample the scene and at no stage form a ‘traditional’ image. G. Huang et al, Lensless Imaging by Compressive Sensing, 2013. The present invention overcomes shortcomings of the prior gamma-ray imaging approaches by designing a system around the principles of compressed sensing. It is an object of the invention to provide a gamma-ray imaging device that takes fewer measurements than prior gamma-ray imaging techniques. Images of a scene can be produced with fewer measurements than the number of pixels in the image. It is another object of the invention to provide a gamma-ray imaging device having a larger field of view than prior aperture based gamma-ray imaging techniques. It is an object of the invention to provide a mask apparatus that can randomly sample a scene for gamma-rays. These random projections of the scene can be used to reconstruct images. Accordingly, there is provided an imaging apparatus comprising a single detector surrounded by one or more rotating masks. In preferred embodiments, the masks are cylindrical, hemispherical, or segments of spheres, or spheres. Imager Layout and Sensing As shown in FIGS. 1 and 2, a single gamma-ray detector 10 is located at the centre of a mask 11 that encircles or encloses the detector 10. The detector is located centrally of the mask or masks preferably the detector occupies a centre or axis or rotation of the mask 11. A cylindrical or spherical mask 11 may be used. Although a non-central detector position can be used, it will have a slightly different field of view. More than one detector 12, 13 can be used and these additional detectors can be in different positions. Using multiple detectors can reduce the imaging time. An optional cylindrical or other radiation shield 14 may have an arcuate opening 15 for limiting the field of view to an arc defined by the opening 15. The mask 11 may be indexed or rotated by a stepper motor driven turntable 19 or directly geared stepper motor 20 or otherwise to suit the coded mask or optic methodology being employed. Through the use of stepper motors 20, gearing 21 and a control computer 22 having for example display and print capabilities for generating an image from the collected and processed data, the data collection and coordinated motion/rotation of the mask can be automated. The motion of the mask may be in discrete steps or in a continuous movement. As shown in FIG. 2, when a cylindrical mask 11 is used, the top and bottom usually need to be covered by a shield 16, 17, so that the only radiation reaching the detector is through the open apertures 18 of the mask 11 that are not otherwise shielded. The compressed sensing gamma-ray imager may be used in conjunction with any gamma-ray sensitive sensor 10, 12, 13. The typical gamma-ray detector systems based on materials such as Sodium Iodide (NaI), Caesium Iodide (CsI), Bismuth Germanate (BGO), Cadmium Telluride (CdTe), Cadmium Zinc Telluride (CZT), High Purity Germanium (HPGe), Strontium Iodide (SrI2) and CLYC may be used. Spectroscopic detectors that determine the energy of each measured photon can be used to identify the radionuclide being imaged. Non-spectroscopic detectors that just record gross counts will provide general information on radiation hotspots. Other radiation detection equipment, such as dose rate meters, could be used as the sensor and in this case would map the dose in the field of view. The preferred embodiment uses a spectroscopic detector that measures the energy of each gamma-ray photon detected. The photon count values from any particular energy bin or energy bin range can be used as the observed data from a set of measurements. The reconstruction of observed photon count data for a given peak region of interest (e.g. the 60 keV 241Am line) will provide the location of the 241Am, provided the radionuclide is present. The reconstruction of observed photon data for additional regions of interest can give the location of additional radionuclides. A compressed sensing neutron imager may be used in conjunction with any neutron sensitive sensor or sensors 10, 12, 13. Dual modality sensors 10, 12, 13, including but not limited to CLYC, may be used to measure the modulation of both the gamma-rays and neutrons. It will be appreciated that the teachings of this invention may be applied to radiation of any wavelength (or of any particle) by using the appropriate mask and detector. Mask and Mask Apertures Mask pattern openings or apertures are preferably arranged in rows and columns. The location of mask pattern openings 18 may, for example, be produced randomly. For example, in a 16×16 possible aperture mask there are a total of 256 numbered apertures. A random number generator is used to randomly select 128 of the aperture numbers between 1 and 256. These 128 numbers are then set to be the open apertures. The remaining 128 locations (from the original 256 numbers) are set as zero (closed). This provides a mask pattern that is 50% open. For rotational masks, where the mask columns are indexed or rotated, the random selection of open/closed apertures may be made for each row rather than the whole mask. This would ensure that each mask row is 50% (for example) open and would prevent situations where a row has too many or too few open apertures, which may impact on the image reconstruction. The geometry of the system will define the spatial resolution. The aperture size should preferably be equal to or greater than the detector dimensions. For example, a system may have apertures 18 with dimensions of 0.5 cm×0.5 cm and the cross-sectional area of the detector should also be 0.5 cm×0.5 cm or less. The further away the detector is from the mask, then the better the spatial resolution. Detectors with dimensions larger than those of the aperture may be used, however, for this case there will be an increased overlap between the fields of view of adjacent apertures. This overlap (which is a degradation/blurriness in the spatial resolution) can be removed by deconvolving the response function of the mask. The preferred aperture cross-sectional shape is square. The preferred number of apertures is a power of 2 (i.e. 64, 128, 256, 512, 1024), although it is not essential. It is preferred that there be minimal or no separation between the mask apertures. The thickness of the mask will depend on the application. For the imaging of high energy photons (for example the 1.3 MeV photons from 60Co) a total mask thickness of 2 cm of lead would attenuate approximately 72% of the 1.3 MeV photons. The mask materials are made from a body material that can sufficiently modulate the intensity of the incoming radiation. For high energy gamma-rays the materials will typically be high in atomic number (Z) and high in density, which would absorb (attenuate) the gamma-ray radiation. Typical materials could include but not be limited to tungsten, lead, gold, tantalum, hafnium and their alloys or composites (i.e. 3D printing—mixing tungsten powder with epoxy). For low energy gamma-ray photons, low to medium Z materials, such as steel, are sufficient to modulate the photon intensity. In a preferred embodiment the mask material will attenuate the photons in order to modulate the photon intensity. Other embodiments may use other interaction mechanisms, such as Compton scattering, if they show an appreciable modulation in photon intensity. For imaging of neutron radiation, the mask body will need to modulate the neutron intensity and therefore mask materials will require a high neutron interaction cross-section. Neutron mask body materials may include but not be limited to: Hafnium, Gadolinium, Cadmium, Boron doped materials, Hydrogen rich materials and their combinations. Masks may be designed from materials that would enable the modulation of both gamma-rays and neutrons. A single material such as Hafnium may be suitable to modulate the intensity of both gamma-rays and neutrons. Use of multiple materials, for example, a combination of Tungsten and Cadmium, may be suitable to modulate the intensities of both gamma-rays and neutrons. The open apertures, for the gamma-ray mask, may consist of some hydrogen rich material which does not influence the modulation of the gamma-ray intensity. These hydrogen rich apertures would then represent the closed apertures or modulating regions for the neutron mask. By extension, these mask materials could be used to modulate the intensity of any EM wavelength (i.e. optical, infrared, THz etc) or any particle (i.e. electrons, protons etc). As shown in Figure 11, a coded mask is capable of modulating both gamma-rays and neutrons separately, that is, some mask regions being used to block gamma-rays only and some mask regions being used to block neutrons only. In the example of FIG. 11, one sub-set of mask regions 91 (represented in solid black) are fabricated from a material that modulates gamma-rays only. Another sub-set of mask regions 92 (represented in white) modulates only neutron and not gamma-rays. Masks of this type may be fabricated in accordance with any of the techniques and materials, shapes or configurations disclosed by or suggested by this specification. Masks may be singular or multiple and nested, rectangular, circular, arcuate, hemispherical or spherical. Consecutive measurements required for coded mask sensing will require a new mask pattern obtained by replacing a current mask with a new one or using some form of rotation of the mask or masks. Flat mask shapes will have a limited field of view as they are only looking in the forward direction, with the field of view angle determined by the detector and mask geometry. The advantage of arcuate, cylindrical or spherical masks is that large fields of view (FOV) are possible. Current commercially available pinhole/coded aperture gamma-ray cameras have horizontal and vertical FOV between approximately 30° and 40°. An upright cylindrical mask embodiment would have a horizontal FOV of 360°, a hemispherical mask embodiment would have a 2π FOV and a spherical mask embodiment would have a near 4π FOV. Other embodiments may include but not be limited to: ellipsoid, cone, cuboid or hexagonal shaped masks. In the case of a single cylindrical mask embodiment, the rotation of the mask by one column would constitute a new mask pattern viewing the desired FOV for a new measurement. For a single cylindrical mask embodiment, a radiation shield can be used to restrict the FOV and therefore have a large number of columns to enable more measurements (see FIG. 2). The down side to the single cylindrical mask approach is that more columns are required to perform more measurements, which increases the diameter of the cylinder and the physical size of the whole system. As shown in FIG. 3, an approach utilising a nested or mask within a mask (or dual or multiple mask approach), where each mask body 35, 36 can move or be indexed by the computer 22 independently, enables far more measurements from the number of possible combinations of the two mask patterns. In a preferred embodiment the dual mask approach would consist of a cylinder within a cylinder (see FIG. 3). Each mask is rotated independently in the manner suggested for a single mask in FIG. 2 about a sensing axis or imaging axis along which a detector may be located. The large number of mask patterns (and therefore measurements) would allow for a more compact system (less total columns in one cylinder) that could image a 360° FOV. A similar argument for dual hemispherical and spherical mask designs can also be made. For the dual mask approach, the combined open fraction of the mask may approximate 50%, but there will be a variation in this as the masks are rotated. One mask may be indexed in rotation angle for a full revolution before the other mask is indexed by a single column, thus generating a number of virtual masks, being the number of columns squared. In other embodiments the masks are counter-rotated by one column in an alternating or non-alternating arrangement. Each virtual mask is used for a radiation measurement before the next mask is generated. Each mask need only rotate in one direction. The cross-sectional or projected shape of the mask apertures may include but not be limited to: square, rectangular, circular, triangular and hexagonal. There may or may not be separation between the mask apertures. In a preferred embodiment of a single mask system, the mask aperture shape is square. As shown in FIG. 4, for a dual mask embodiment the dimensions and orientation of the inner 30 and outer mask 31 may be different, such that they are tapered 32 (but aligned as to their edges) to produce the same FOV for both the inner and outer masks relative to the detector 33. The 3 dimensional shapes of these apertures 34 may include but not be limited to a trapezoidal prism and a cone. As shown in FIG. 5, the open apertures may be formed through the overlapping of continuous open structures, in the form of spiral lines 41 or some other structure on one mask and another shape such as a vertical slit 43 on the other mask. Rotation of the masks 42, 44 relative to one another produces a coded aperture. The mask pattern may be random, pseudo-random, non-random or deterministic in design. The mask pattern will typically be required to meet the defined conditions for compressed sensing to work. A representation of the mask pattern, in matrix form, will be used in the reconstruction process. The sensing matrix used in the reconstruction may be a Circulant or Toeplitz matrix, which may provide a faster computational time. In a preferred embodiment a pseudo-random mask pattern is generated where each mask element has an equal probability to be either 1 (open—100% transmission) or 0 (closed—0% transmission). The percentage transmission for a closed mask element should be some value less than 100%, for example, preferably 0% but a transmission of 50% will still be enough to effectively modulate the intensity to reconstruct an image. The percentage transmission relates to the increased penetrating nature of higher energy gamma-rays. For example, a closed mask element consisting of 10 mm lead may have 0% transmission for 60 keV gamma-ray photons, but its percentage transmission may be approximately 53% for 1332 keV gamma-ray photons. There will be a point where the transmission percentages for the open and closed apertures are too close together to modulate the photon intensity enough to reconstruct an image. As an example, transmission percentages of 100% and 90%, for open and closed apertures respectively, may be too close together for sufficient modulation in the photon intensity. There may be more than two levels of transmission within the mask for a given energy, for example, three levels of transmission may be 33%, 66% and 100%. Other levels of transmission may be 25%, 50%, 75% and 100% or 0.16%, 4% and 100%. In the latter example, the proximity of the two lower transmissions states will effectively cause the three levels of transmission to resemble two levels, potentially providing quicker reconstruction times, higher quality reconstruction and few measurements. The levels of transmission may cover two or more levels between 0% and 100%. The sensing matrix values may be the attenuation values for particular gamma-ray energies. Different attenuation values and therefore different sensing matrices may be used for reconstructions at different gamma-ray energies. As shown in FIG. 6, the mask pattern for any shape mask may be generated such that mask structure is self-supporting. For example, mask patterns with an array of floating or unattached “closed” elements 50 are fixed, adhered or attached to a non-masking substrate 51. Thus the radiation opaque mask elements 50 need not be attached to one another other than by the substrate 51. Alternatively, mask patterns with no floating or unattached “closed” elements 50 may be selected, which would not require a substrate 51, but would require the outer closed elements 50 to be attached to a common structure. As shown in FIGS. 7-9, the mask or masks may be hemispherical, spherical or a part of a sphere such as a cap above any given secant plane or optionally a segment between two planes. FIG. 7 shows two nested and concentric masks in the shape of spherical caps, an inner cap 61 and an outer cap 62, both being hemispheres with the rims (or lowest rows) of both in a common plane. One or both masks 61, 62 are rotated into data sampling positions wherein the columns 63, 64 and the rows of both are aligned or in registry when data is sampled or acquired. Both have the same number of columns and rows. Each row occupies a zone of a sphere between two parallel planes. In one example, the inner hemispherical mask 61 is indexed by one column in one direction and the outer mask 62 is indexed or rotated by an angle defined by a single column in the opposite direction, consistent with FIG. 3. Having both masks move simultaneously offers greater variability in which mask elements are open or closed when compared to having one mask stationary and the other mask moving. This arrangement allows for single detector coded mask imaging of the entire space above the plane that includes the rims 65, 66. FIGS. 8 and 9 illustrate the use of two masks or optionally two pairs of nested masks 71, 72 that are spherical and concentric. In this way, all of the space around the central detector or detectors can be imaged. Each spherical mask or mask pairing 71, 72 may be formed from 2 hemispherical masks or mask pairings as shown in FIG. 7. Each mask in the arrangement will have its own drive system comprising a turntable or stepper motor arrangement, driven by the system's computer 22 (See FIG. 2). Mask Geometrical Design The mask design will be dictated by the requirements of the radiological imaging application in question. The geometry of the system will influence the system performance such as spatial resolution, FOV and sensitivity. The geometrical parameters of importance include: the detector dimensions, the detector to mask distance, the aperture dimensions (i.e. thickness, length and width), the mask to source distance, the septal thickness, the number of mask apertures and the angle subtended from the centre of the detector and two neighbouring mask apertures. For example, a smaller mask aperture will provide a higher spatial resolution. Reconstruction Algorithm There are a large number of reconstruction algorithms that have been used for compressed sensing. For example, there are gradient projection methods, iterative shrinkage/thresholding methods and matching pursuit methods. See, R. M. Willett, R. F. Marcia and J. M. Nichols, Compressed Sensing for Practical Optical Imaging Systems: a Tutorial, Optical Engineering Vol. 50(7), July 2011. Any of these methods or some other appropriate method can be used for reconstructing the compressed sensing measurements. The ANSTO compressed sensing implementation used the Gradient Projection for Sparse Reconstruction (GPSR) algorithm. See, Gradient Projection for Sparse Reconstruction: Application to Compressed Sensing and Other Inverse Problems, by M. A. Figueiredo, R. D. Nowak, S. J. Wright, Journal of Selected Topics in Signal Processing, December 2007. Image Fusion The gamma-ray image that is generated after the compressed sensing measurements may be overlayed with an optical image that is registered to the same field of view. The neutron image may be overlayed with an optical image. The overlayed radiation images with an optical image will help the user to visualise the location of the radiation sources. The radiation images may be overlayed with images at any other wavelengths (i.e. infrared). Method As shown in FIG. 10, a source emits radiation 80. That radiation 80 passes through a mask or masks 81 as previously disclosed. The system's computer 22 causes the detector 10 to operate or takes a reading from an operating detector 82. The detector then transmits a measured value 83 to the computer 22. The computer saves and uses the value and the positioning of the mask or masks to compile data that will be reconstructed into an image. The computer then causes the motor or motors controlling the mask or masks to rotate or index to the next measurement position. Radiation then passes through, in effect, a new mask or mask orientation 81 as the process is repeated. Although the invention has been described with reference to specific examples, it will be appreciated by those skilled in the art that the invention may be embodied in many other forms. As used herein, unless otherwise specified the use of the ordinal adjectives “first”, “second”, “third”, etc., to describe a common object, merely indicate that different instances of like objects are being referred to, and are not intended to imply that the objects so described must be in a given sequence, either temporally, spatially, in ranking, or in any other manner. Reference throughout this specification to “one embodiment” or “an embodiment” or “example” means that a particular feature, structure or characteristic described in connection with the embodiment is included in at least one embodiment of the present invention. Thus, appearances of the phrases “in one embodiment” or “in an example” in various places throughout this specification are not necessarily all referring to the same embodiment or example, but may. Furthermore, the particular features, structures or characteristics may be combined in any suitable manner, as would be apparent to one of ordinary skill in the art from this disclosure, in one or more embodiments. Similarly it should be appreciated that in the above description of exemplary embodiments of the invention, various features of the invention are sometimes grouped together in a single embodiment, figure, or description thereof for the purpose of streamlining the disclosure and aiding in the understanding of one or more of the various inventive aspects. This method of disclosure, however, is not to be interpreted as reflecting an intention that the claimed invention requires more features than are expressly recited in each claim. Rather, as the following claims reflect, inventive aspects lie in less than all features of a single foregoing disclosed embodiment. Any claims following the Detailed Description are hereby expressly incorporated into this Detailed Description, with each claim standing on its own as a separate embodiment of this invention. Unless specifically stated otherwise, as apparent from the following discussions, it is appreciated that throughout the specification discussions utilizing terms such as “processing,” “computing,” “calculating,” “determining” or the like, refer to the action and/or processes of a microprocessor, controller or computing system, or similar electronic computing or signal processing devices, that manipulates and/or transforms data. Furthermore, while some embodiments described herein include some but not other features included in other embodiments, combinations of features of different embodiments are meant to be within the scope of the invention, and form different embodiments, as would be understood by those in the art. For example, in the following claims, any of the claimed embodiments can be used in any combination. Thus, while there has been described what are believed to be the preferred embodiments of the invention, those skilled in the art will recognize that other and further modifications may be made thereto without departing from the spirit of the invention, and it is intended to claim all such changes and modifications as fall within the scope of the invention. While the present invention has been disclosed with reference to particular details of construction, these should be understood as having been provided by way of example and not as limitations to the scope or spirit of the invention.
claims
1. Count rate measurement device of at least one fission chamber that contains fissile material, said count rate measurement device comprising:a measurement cell that contains the fission chamber,a neutron generator operable to emit neutrons, at a predetermined periodic pulse frequency, towards the fission chamber;a measurement cable, which collects, via a connector, a signal delivered by the fission chamber following the interaction of the neutrons with the fissile material;a neutron counter that delivers a neutron count signal corresponding to the neutrons emitted by the neutron generator at the predetermined periodic pulse frequency;a processing system that processes both the signal delivered by the fission chamber and a corresponding neutron count signal delivered by the neutron counter, and provides, during a predetermined time interval contained within a period defined by two successive pulses of neutrons emitted by the neutron generator, respectively, a signal representative of the signal delivered by the fission chamber and a signal representative of the neutron count signal, anda circuit for computing the count rate of the fission chamber normalized with reference to the neutron count signal, from the signal representative of the signal delivered by the fission chamber and the signal representative of the neutron count signal. 2. Count rate measurement device according to claim 1, wherein the measurement cell further comprises:a structure in which is formed a cylindrical cavity that opens via an opening into a wall of the structure,a first cylindrical jacket disposed on a wall of the cylindrical cavity,a second cylindrical jacket disposed inside the cylindrical cavity, at a distance from the first cylindrical jacket, the second cylindrical jacket containing the fission chamber, the connector and a first part of the measurement cable, the first and the second cylindrical jackets each having a first end fixed, in the structure, by a first ring situated on a side where the cavity opens into the wall of the structure and a second end fixed, in the structure, by a second ring,a third cylindrical jacket situated at an end of the structure and aligned with the second cylindrical jacket, the third cylindrical jacket containing a second part of the measurement cable that extends the first part and a centering ring, with a central opening in which a part of the second part of the measurement cable is placed, thereby maintaining the second part of the measurement cable in the third cylindrical jacket. 3. Count rate measurement device according to claim 2 further comprising:a sheet of material that covers the second cylindrical jacket, anda block of material that is placed in a space separating the sheet of material and the first cylindrical jacket. 4. Device according to claim 3, wherein the sheet of material is a sheet of cadmium. 5. Device according to claim 3, wherein the material of the block of material is made of boron and polyethylene. 6. Device according to claim 2, wherein the first cylindrical jacket and the second cylindrical jacket are separated by an air filled space. 7. Device according to claim 2, wherein the structure in which the cavity is formed is made of graphite. 8. Device according to claim 2, wherein the neutron generator is integrated in the structure of the measurement cell. 9. Device according to claim 2, wherein the neutron counter is integrated in the structure of the measurement cell. 10. Calibration device operable to measure an effective mass of fissile material contained in at least one fission chamber, characterised in that it comprises a count rate measurement device according to claim 1 and a circuit for computing the effective mass of the fissile material from the count rate delivered by the circuit for computing the count rate.
claims
1. Imaging apparatus comprising:a radiation source for emitting radiation from a focal region through an imaging area,a detection unit for detecting radiation from said imaging area, said detection unit comprising an anti-scatter grid and a detector,a gantry to which said radiation source and said detection unit are mounted and which allows rotation of said radiation source and said detection unit around said imaging area, anda controller for controlling said detection unit to detect radiation at a plurality of projection positions during rotation around said imaging area and for manipulating the position, setting and/or orientation of at least a part of said radiation source and/or said detection unit at first projection positions so that the radiation incident on the detector at said first projection positions is attenuated by said anti-scatter grid to a larger extent compared to second projection positions representing the remaining projection positions. 2. Imaging apparatus as claimed in claim 1,wherein said first projection positions are distributed around said imaging area. 3. Imaging apparatus as claimed in claim 1,wherein said controller is configured to control said radiation source and/or said detection unit, or at least a part thereof, to change their position and/or orientation relative to each other at first projection positions during the rotation around said imaging area. 4. Imaging apparatus as claimed in claim 1,wherein said controller is configured to control said radiation source, or at least a part thereof, to change its relative position and/or orientation with respect to the detection unit at said first projection positions compared to said second projection positions. 5. Imaging apparatus as claimed in claim 1,wherein said controller is configured to control said radiation source to change its focal spot with respect to the detector at said first projection positions compared to said second projection positions. 6. Imaging apparatus as claimed in claim 1,wherein said controller is configured to control said detection unit, or at least a part thereof, to change its relative position and/or orientation with respect to the radiation source at said first projection positions compared to said second projection positions. 7. Imaging apparatus as claimed in claim 1,wherein said controller is configured to control said anti-scatter grid to change the angle of its lamellae at said first projection positions compared to said second projection positions. 8. Imaging apparatus as claimed in claim 1,further comprising an actuator for manipulating the relative position and/or orientation of the radiation source, or at least a part thereof, with respect to the detection unit and/or for manipulating the relative position and/or orientation of the detection unit, or at least a part thereof, with respect to the radiation source. 9. Imaging apparatus as claimed in claim 1,further comprising a reconstruction unit for reconstructing an image from the detected radiation. 10. Imaging apparatus as claimed in claim 9,wherein said reconstruction unit is configured to use the radiation detected at said first projection positions for data extrapolation of truncated projections obtained from radiation detected at said second projection positions. 11. Imaging apparatus as claimed in claim 1,wherein said controller is configured to manipulate the position, setting and/or orientation of at least a part of said radiation source and/or said detection unit at said first projection positions, which amount to more than 0.1% and less than 50% of all projection positions. 12. Imaging apparatus as claimed in claim 1,wherein said controller is configured to manipulate the position, setting and/or orientation of at least a part of said radiation source and/or said detection unit at said first projection positions depending on a position of an object of examination within the imaging area. 13. Imaging apparatus as claimed in claim 1,wherein said controller is configured to manipulate the position, setting and/or orientation of at least a part of said radiation source and/or said detection unit at said first projection positions such that radiation incident on areas of the detector with the highest radiation flux at said second projection positions are attenuated most by said anti-scatter grid. 14. Imaging method comprising:emitting radiation from a focal region through an imaging area by a radiation source,detecting radiation from said imaging area by a detection unit comprising an anti-scatter grid and a detector,rotating said radiation source and said detection unit around said imaging area during radiation emission and detection,controlling, with a controller, said detection unit to detect radiation at a plurality of projection positions during rotation around said imaging area, andmanipulating, with said controller, the position, setting and/or orientation of at least a part of said radiation source and/or said detection unit at first projection positions so that the radiation incident on the detector at said first projection positions is attenuated by said anti-scatter grid to a larger extent compared to second projection positions representing the remaining projection positions. 15. A non-transitory computer program comprising program code means for causing a computer to control an imaging apparatus, to carry out the steps of the method as claimed in claim 14 when said computer program is carried out on the computer.
abstract
A blood irradiator for providing a uniform dose of X-ray beam irradiation for blood products contained within bags positioned in a cannister. A first X-ray tube is positioned to irradiate said bags from one surface of the bags, and a second X-ray tube is positioned to irradiate said bags from the opposite surface of said bags concurrently with said first tube. A low Z high density material collar mounted around said cannister to reflect X-rays. The X-rays from the two tubes and the reflected X-rays combine to provide a uniform dose of X-rays to said bags.
048256471
description
Before discussing the present invention, the subject of matter of FIGS. 1-13 as disclosed in the patent application will be set forth. Referring to FIGS. 1-10, a thruster assembly 11, according to the present invention, is comprised of a heater assembly 13 and heat exchanger assembly 15. The heat exchanger 15 contains fuel passages 17 through which propellant is caused to enter. Energy is transferred to the propellant for any or all of the following purposes: to be vaporized, decomposed, reacted and/or heated to a higher temperature. The heat exchanger fuel passages 17 may be coated or plated at 14 as shown in FIG. 7 for the purpose of chemically isolating the fuel passages 17 from the propellant or to enhance decomposition or reaction of the propellant. One example of a material which enhances catalytic decomposition of the propellant hydrazine is platinum. The heated propellant will then pass on its way to a rocket propellant expansion nozzle 19 which includes a nozzle throat 21. The nozzle throat 21 opens into an expansion section 23. The propellant may be any one of, for example, hydrazine, N.sub.2, NH.sub.3, H.sub.2, etc. Referring to FIGS. 2, 4 and 6, the heater assembly 13 comprises a heater element 31 which is formed as a coil 33 surrounding a center conductor and/or supporting post 35. The coil 33 is formed of wire which is first tightly wound into a small diameter helix (about 0.1 inches in diameter) which is then wound into a large diameter helix (of about 1/2 inch to 3/4 inch outside diameter). The coil 33 is connected to an electric power source 200 (FIG. 6) by way of a pair of power conductors 35, 37 and 39. The power conductors 35, 37 and 39 are attached to the heater filament 33 at end 41 of a heating cavity 43 which houses the heater filament 31. The heater assembly is an elongate structure including in this embodiment the coil 33 formed of two segments that are bifilar wound as a double helix. Each lead conductor is made of several sections corresponding with temperature transition from the high temperature radiating heater or emitting cathode to the cold, less than 100.degree. C., connection to a power supply 200. For simplicity, only one section of the lead system is shown in FIGS. 1 and 6. The radiating coil wire 33 forming in the helixes includes a portion that is not coiled that extends in straight line paths away from the coiled portion until it reaches a lower temperature zone at 31 where the temperature is less than 1000.degree. C. This straight wire is a section of the power lead conductor. The coil 33 is centered along a center axis 47 (FIG. 2) of the thruster 11 from the end 41 of the heater assembly 13 to the lower temperature zone at 31. The center conductor 35 extends along the center axis 47 and is attached to the coil 33 at the end 41 of the coil. When power is provided to the power conductors 37 and 39 the heater filament 31 elevates in temperature and becomes less rigid than it would be with the power switched off. With current passing through the heater element 33, electro-magnetic forces are formed which cause the coil 33 to be biased in such a way as to center about the center post and/or conductor 35. The bifilar heating filament provides the primary source of heat from the heater assembly 13. In order to enhance the transfer of heat form the coil filament 33, the coil filament 33 can be coated (FIG. 8) or surface processed (FIG. 9) to produce a high-emissivity surface, thereby maximizing the transfer of thermal energy from the coil filament 33 to the heat exchanger cavity wall 38. Additionally, the heat exchanger 11 cavity wall 38 can have a high emissivity coating 25B as shown in FIG. 11 or surface processing as shown in FIG. 10. The use of a high emissivity surface permits a greater transfer of power for any given temperature or, alternatively, reduces the temperature required to obtain a certain amount of power transfer. In operation, for a given amount of current, a faster warm-up time is also obtained by the use of the high emissivity surface. Advantageously, the emissivity with an optimum coating or surface treatment is expected to be 0.85 or greater, with 1.0 being perfect emissivity and 0 being perfect reflectivity. In operation, the heat exchanger cavity wall 38 has a large geometric view as compared to the heater coil 35 or center post 35 and, therefore, intercepts a high percentage of radiation emitted by the heater coil 33. To reduce the amount of radiant energy that would be lost out of the open end of the heat exchanger 15 (an area defined as the plane P--P of an opening (FIG. 4) in the heat exchanger cavity wall 38), radiation shields 82, 84 and 88 are located to intercept and reflect this energy back into the heater cavity 43, where most of the energy will be intercepted subsequently by the heat exchanger cavity wall 38. This embodiment of the invention illustrates an arrangement of a number of reflective discs, shown in FIG. 4, spaced along the power lead-heater support channel. Each metallic disc 82 has cut-outs 201 to allow passage therethrough without contact by the lead connectors 37 and 39. To reduce radial outflow of radiation, reflecting cylinders 84 are attached to several of the discs 82. The coil 33 illustrated is configured as a single element with a center tap 41 and support conductor or post 35. This element may be operated either as a single total element with the post 35, in this mode, merely performing a support function, or with the post 35 being connected in common with both filaments 33, or as two distinct heater elements. Additional elements might be also enclosed within the heating cavity 43 for the purpose of providing (1) additional step levels of operating power, (2) a non-harmful ground test circuit, or (3) redundant heating elements for greater reliability and/or extension of operating capability. The heater assembly 13 and heat exchanger assembly 15 are configured such that they can be fabricated and independently tested as separate entities, and substitute or test heater assemblies may be interchanged with the flight heater assembly 13 (see FIGS. 2 and 4). The heater assembly 13 may be attached to the heater exchanger assembly 15 so as to maintain an opening gap 18 as shown in FIGS. 2 and 4 which permits pressure within the cavity 43 to reach equilibrium with ambient pressure outside of the thruster 11. Since the thruster 11 is designed to operate under extraterrestrial conditions, the ambient pressure will be quite low. Thus, the pressure inside the cavity 43 will be nearly a vacuum and energy from the element 31 will be transferred to the heat-transfer structure 15 and the nozzle 53 primarily by radiation. An alternate embodiment (not shown) would provide a complete closing or sealing of the heater cavity opening gap 18 so as to entrap and/or enclose a cavity 43 pressurant such as an inert gas which may be placed in the cavity during assembly or be permitted to bleed into the cavity from a heat exchanger flow passage 12 to the heater cavity 43 bleed 20 (FIG. 4) during engine/heat exchanger operation or be pressurized from the nozzle 19 through a nozzle flow to heater cavity bleed line 30 as shown in FIG. 6. The heater assembly 13 may also be configured to provide radiant heating and/or thermionic emission energy transfer. An exemplary embodiment 213 illustrative of this emphasis is shown as FIG. 12. Here, the heater coils 233 are shown schematically as simple coils supported by a center post cathode lead connector 235. If desired, the coil 233 could be formed with helixes like those of FIGS. 2 and 4. The heater leads 237 and 239 are similar to those illustrated previously in FIGS. 1, 2 and 4. This heater embodiment allows operation in relatively high force fields, that is, 5 "g"s without detrimental sag. In this illustration the center post cathode lead connector 235 supports a cylinder-disc cathode 236. The cathode 236 is fabricated to conform with the shape of the heat exchanger anode cavity wall 238 and a separation gap 243. FIG. 6 is a schematic illustration of the inventive propellant flow control valve 198 and power leads 35, 37, 39 and associated power system 199. Also shown are power/voltage converters 197 and 197' if required as well as power switched 196 and 196'. As shown, separate power supplies 200 and 200' may be used for the radiation heater 233 and the thermionic converter 236 (FIG. 12) respectively, as well as for the two parallel coils 33 shown in FIG. 4. The separate power supplies and separate controls therefor enable a large variety of adjustments in heater intensity to be made. If desired, the power supplies 200 and 200' may be operated in a pulsed mode with "on" condition thereof corresponding to opening of valve 198 and "off" condition thereof corresponding to closing of valve 198. FIG. 12 illustrates an embodiment wherein a relatively large surface area cathode emitter 236 would be used for the primary mode of energy transfer and the radiation heater 233 would be used to heat the cathode 236 and anode 238 to emission temperature conditions. The radiation heater 233 can also be configured to augment or serve as a backup device to transfer energy by radiation to heat exchanger wall 238. In a typical embodiment, the emitter cathode 236 will be adequately supported by a bracket or brackets 241 to maintain separation gap 243 with a supporting distance insulator 242 separating the cathode 236 and the anode 238. An alternative embodiment to provide maximum spacing between the heating coil 233' and the heat exchanger wall 238' is shown in FIG. 13. This configuration is useful for full operation life of a radiation coil 233' in a gravitational and/or centrifugal force field where all energy transfer is to be accomplished with a radiative heater 233' as contrasted to the embodiment illustrated in FIG. 12 where the principal use of the radiation heater 233 is to preheat an emitter 236 and the emitter performed the principal amount of energy transfer. Since the transfer of energy from the filament 33 to the heat exchanger assembly 15 is primarily by radiation, the outer surface of the heater filament 33 and the inner surface of the heat exchanger assembly 15 are preferably provided with high emissivity coatings or are surface treated to effect a higher than normal emissivity. Referring to FIG. 8, a cross-section of a heater filament 33 is shown to have a high emissivity coating or plating 250 formed thereon. This coating or plating may be, for example, hafnium carbide. FIG. 11 shows a similar coating or plating 256 on the inner surface of heat exchanger assembly wall 38. Referring now to FIG. 9, a blown up side view of a heater filament 33 is shown to include surface treatment 252 for the purpose of the increasing the surface area there to enhance and increase heat transfer therefrom. This surface treatment may be accomplished through mechanical or thermo-chemical means. FIG. 10 shows a similar treatment 254 on the inner surface of heat exchanger wall 38. This surface treatment may increase the filament surface area and cavity surface area by at least 20% and possibly by as much as 100% or more. For the purpose of this disclosure, extraterrestrial conditions mean the conditions normally present where orbital satellites are located. This normally includes the ionosphere and above provided that the satellite is within planetary orbit about the earth. Emissivity, .epsilon., is a property of a surface which permits the surface to radiate heat across the surface. It is given a dimensionless value, with a pure reflector having an emissivity of .epsilon.=0 and pure black body having an emissivity of .epsilon.=1. Typical values of emissivity are: ______________________________________ Gold = 0.05 Molybdenum = 0.15 Tungsten = 0.2 Hafnium Carbide (HfC) = 0.8-0.9 Tungsten Carbide (WC) = 0.5 ______________________________________ For the purpose of this patent application, high emissivity means .epsilon.&gt;0.4. The high emissivity materials should have an emissivity as high as is practical, considering the thermal stresses to which the material is exposed. Advantageously, the emissivity value of the high emissivity material should be .epsilon.&gt;0.5 and preferrably .epsilon.&gt;0.75. If possible, the emissivity of these materials should have a higher value, such as .epsilon.&gt;0.85. In the preferred embodiment, hafnium carbide is used for its high emissivity and ability to withstand high temperatures. However, tungsten may be thermally and chemically treated to modify the surface to increase the nominal .epsilon. of 0.2 to 0.5 or higher. The heat exchanger assembly 15, as mentioned above, contains fuel passages 17 which are provided in layers about the heater cavity 43. Propellant enters the fuel passages 17 either as a gas or as a liquid through a propellant inlet line 61 which directs propellant to an intermediate temperature propellant passageway 63 which connects with an elevated temperature propellant passageway 65. Passage of propellant from the intermediate temperature propellant passageway 63 to the elevated temperature passageway 65 is by way of two propellant flow passageways, to with, fore and aft conduits 67 and 69 located at fore and aft ends of the helixes, respectively. The elevated temperature propellant passageway 65 communicates with a short expansion nozzle structure conduit 71 which, in turn, communicates with a propellant expansion chamber 19. The propellant inlet line 61, the intermediate temperature propellant passageway 63, the elevated temperature propellant passageway 65 and conduits 67-71 are all considered a part of the fuel passages 17. The elevated temperature propellant passageway 65 and the intermediate propellant passageway 63 are each cut as a helix within the heat exchanger assembly 15. A series of laminations 81 arranged concentrically about the propellant passageways 63, 65 and provide a means to retain as much heat as possible within the heat exchanger assembly 15. The laminations provide a thermal insulting function within the heat exchanger assembly 15. A set of laminations 83 between the intermediate temperature and elevated temperature propellant passageways 63, 65 forms a thermal shield. A second set of laminations located concentrically outside the intermediate temperature propellant passageway 63 and forms a second shield 85. Beyond the second shield 85 are additional laminations 94 and 97. The helixes defining the intermediate and elevated temperature passageways 63, 65 are formed as thread-like cuts 87 in thermally conductive material which is defined as propellant passageway material 89. There are, or course, no mating threads for the thread-like cuts, as propellant passes through these cuts 87 instead. The thread cut arrangement facilitates fabrication because, prior to assembly, the cuts 87 are on the outside of their respective portions of the propellant passageway material 89. Due to the concentric relationship of the propellant passageways 63, 65, they are able to be assembled by merely nesting concentric layers. As best shown in FIG. 3, the propellant passageway material 89 forming the propellant passageways 63, 65 extends to a first concentric tube 91. A second concentric tube 92 is located concentrically outside of the first concentric tube 91. A third concentric tube 93 is located concentrically outside of the second concentric tube 92. The concentric tubes are separated from each other by laminations 81 which, together with the concentric tubes 91-93, form outer thermal shields. These outer thermal shields comprise the second thermal shield 85 and third thermal shield 94 and an external shield 97. The third concentric tube 93 is made continuous with a foreplate 95. The third concentric tube 93 and foreplate 95 form an exterior layer of the external shield 97. The exterior surface of the external shield 97 is coated with a low emissivity coating. A portion of the propellant passageway material 89 extends outwardly the first concentric tube as a first connecting ring portion 99. A second connecting ring portion 101 extends between the first and second concentric tubes 91, 93, at fore ends of the first and second concentric tubes 91, 92. Laminations separate the fore ends of the first and second concentric tubes 91, 92, as well as the second concentric ring portion 101 from the foreplate 95. An exterior connecting ring portion 103 extends between the second concentric tube 92 and the third concentric tube 93 at aft portions of the second and third concentric tubes 92, 93. The connecting ring portions 99-103 and the concentric tubes 91-93, as well as the foreplate 95, form a supporting structure for the propellant passageway material 89 and that part of the thruster 91 located aft of the fore plate 95. The locations of the connecting ring portions 99-103 cooperate with the concentric tubes 91-93 to form a folded configuration for the supporting structure. Thus, direct heat conduction through the supporting structure must take a tortuous path from the propellant passageway material 89 to the foreplate 95. The foreplate 95 is attached to a thruster mount 105 which is a part of the satellite designed to support the thruster. Because of the folded arrangement achieved by the concentric tubes 91-93, as connected by the connecting ring portions 99-103, the foreplate 95 is kept relatively cool, thus presenting a minimum of thermal heat conduction to the satellite via the thruster mount 105. In order to further reduce the temperatures to which the thruster mount 105 is exposed, the exterior surface of the thruster 11, particularly exterior surface 107 of the third concentric tube 93, is coated or surface conditioned to obtain a high emissivity coating. A preferred high emissivity coating would be hafnium carbide (HfC). The use of the high emissivity coating on exterior surface 107 increases radiation heat loss from the third concentric tube 93, thereby conducting less heat to the thruster mount 105. The reduction in temperature of the third concentric tube 93 is believed to also affect the infrared radiation by causing emission to occur at longer wavelengths. This not only makes it difficult for an outside observer to determine when the thruster 11 is being heated, but also makes the thruster more difficult to trap using infrared sensors. By providing the high emissivity coating on exterior surface 107, the operation temperature of the thruster 11 at the propellant passageway material 89 can be increased even though it may be necessary to maintain a low temperature at exterior surface 107. This enables the thruster 11 to operate at high efficiencies because of the use of the high emissivity coating on exterior surface 107. It should be further noted that without the use of the folded arrangement of the concentric tubes 91-93, separated by the laminations 81, it would be necessary to reduce heat loss at the exterior surface and a low emissivity coating on the exterior surface would be less practical. In addition to the thruster mount 105, various controls are attached to the thruster 11. The reduction of temperature accomplished by the use of the high emissivity coating at exterior surface 107 (similar to that shown in FIG. 11) reduces the maximum temperature to which external components of these controls are exposed. Heat conduction through the supporting structure 107, 92 and 91 may be reduced by having material cut-outs 40 as illustrated in FIGS. 1 and 3. When propellant is being expelled from the expansion nozzle 19 (FIGS. 2 and 5) to produce thrust, high temperatures are created at the nozzle throat 21. To withstand these high temperatures, it is necessary to use high temperature or refractory materials at that location. An option as shown in FIG. 5 is to use a separate insert 109 for the nozzle throat area 21. The insert extends to connect the heat exchanger flow passage 117 with the expansion nozzle structure 111. By using the separate insert 109, costly materials are only required for the hottest portions of the heat exchanger chamber 15. The expansion section of the nozzle 111 is exposed to a lower temperature. This part of the nozzle can be made as a separate section or shell which covers laminations of external radiation shields 112 which are located in that area. It can be seen that, because of the uncoupled heat-exchange relationship of the insert 109 and the expansion portion 111, the amount of heat loss through the expansion nozzle due to conduction and radiation during the operation of thruster 11 is reduced. In the preferred embodiment, the insert 109 is made of thoriated tungsten. Further, the preferred material for the interior walls of the heat exchanger 138 and the nozzle inlet 118 is molybdenum/rhenium, and the expansion nozzle 111 is preferably made of TZM (a moderate cost molybdenum alloy) or titanium. By separating insert 109 from the expansion portion 111, a means is provided to reduce radiation losses from the nozzle 119. The insert 109 is not mechanically joined directly to the expansion portion 111 and a blocking effect is accomplished by a thin diaphragm 258 between insert 109 and the expansion portion 111. As shown, the diaphragm 258 is located on the expansion side of the nozzle throat 109 and acts as a "block" to prevent propellant flow from entering the radiation shield area 112 through the gap that would otherwise be present between insert 109 and expansion portion 111. Thus, the diaphragm 258 acts as a layer of metal blocking the gap from flow-through. In the preferred embodiment, this diaphragm 258 will be made of tungsten foil. Therefore, less power is transferred from the hot insert 109 to the expansion portion 111. It is expected that temperatures at the insert 109 will reach a range of 1700.degree. to 1900.degree. K., whereas temperatures at the intermediate divergent portion 111 will reach a range of 1100.degree. to 1400.degree. K. Without a separation of the nozzle portions 109-113, energy losses would be represented by: EQU P=.sigma..epsilon..sub.n A.sub.n T.sub.N.sup.4 (16) where P=power-energy/unit time PA1 .sigma.=(Stefan-Boltzman) constant PA1 .epsilon..sub.n =integrated emissivity of the nozzle 111 PA1 A.sub.n =effective area of the nozzle 111 PA1 T.sub.N =temperature of the nozzle 111 PA1 .epsilon..sub.i =emissivity of the insert 109 PA1 A.sub.i =area of the insert 109 PA1 T.sub.i =temperature of the insert 109 PA1 T.sub.p =temperature of the expansion portion 111. PA1 d.sub.equ =equivalent or hydraulic diameter PA1 =4Ac/Pc PA1 A.sub.c =cross-sectional PA1 P.sub.c =perimeter of wetter surface PA1 Nu=Nusselt number PA1 K=thermal conductivity of the gas PA1 m=mass flow rate flowing through the channel PA1 T.sub.w =temperature of the wall PA1 T.sub.r =recovery temperature in the gas PA1 Pr=Prandtl number PA1 d1=element of length along the flow channel PA1 r=radius of curvature PA1 u=flow velocity PA1 .upsilon.=kinematic viscosity PA1 Re=Reynold's number of the flow PA1 (1) Power is supplied to the radiative heating element 601 by closing the switch 613 with the switch 615 being in the open position. PA1 .gamma.=ratio of specific heats of the gas PA1 p.sub.w =gas pressure at the wall PA1 M=Mach number at the inner edge of the boundary layer PA1 r.sub.c =radius of curvature of throat PA1 r*=throat radius PA1 f(.gamma.)=a function of the specific heat ratio, EQU .gamma..perspectiveto.0.97+0.86.gamma. PA1 Re*=flow Reynold's number based on throat diameter PA1 .gamma.=ratio of specific heats of the gas PA1 .rho.=gas density PA1 w=gas axial velocity PA1 r.sub.e =radius at nozzle exit PA1 r=radial variable PA1 dr=differential of radial variable PA1 F=thrust PA1 p.sub.c =chamber gas pressure PA1 A*=throat flow area with the separated structure of the preferred embodiment, energy losses would be represented by: EQU P=.sigma.{.epsilon..sub.i A.sub.i T+.epsilon..sub.n (A.sub.n -A.sub.i)T.multidot.p.sup.4 } (17) where These equations are approximate models because of such factors as thermal conductivity and direction of thermal radiation. Using a 0.1 pound thrust engine for an illustrative example, the typical power loss values for an integral nozzle without a diaphragm would be: ##EQU11## For the nozzle with a diaphragm, approximate values would be: ##EQU12## These examples indicate that the radiative power loss from the nozzle can be reduced by more than a factor of 3 by using the diaphragm and thermal uncoupling. To provide for flow modulated operation, the inflow of propellant through inlet 61, FIGS. 1, 2 and 3, can be shut on and off by a flow control valve 198 shown in FIG. 6. OPERATING PROCEDURES Prior to operation, a warm-up procedure is normally followed. First, non-stored electrical energy, if available, is applied to the heater filament 33 in order to gradually increase the internal temperature of the thruster 11. Typically, such non-stored energy would be provided by solar cells or by a reactor power supply on the space vehicle and would provide an initial warm-up without taxing the vehicle's battery storage system. If the power available from such a non-stored energy source is fairly low, it may be desired to use the center conductor 35 in combination with one or both of the power conductors 37, 39, thus reducing the optimum operating voltage of the filament 33 in half. Such an initial phase of warm-up may last typically from several minutes to a couple of hours and is not essential to the successful operation of the device. Warm-up may also be accomplished by flowing reacted propellant through the device. A full warm-up procedure is then initiated. During the full warm-up procedure, current is applied to the coil filament 33, normally through the power conductors 37, 39 in order to bring the temperature of the elevated temperature propellant passageway 65 to a temperature at which the thruster 11 is ready for thrusting operations. When the temperature of the elevated temperature propellant passageway 65 is elevated in such a manner, the intermediate temperature propellant passageway also warms, with temperature gradually decreasing toward the third concentric tube 93. The coil filament 33 may be off-modulated when the overall temperature of the heater assemblies 13 is at a maximum limit or when the temperature at the elevated temperature propellant passageway 65 and the expansion nozzle 19 is sufficiently high for operation. Obviously, a number of control programs can be designed in accordance with reduced energy consumption and a necessary degree of readiness. At this time, the high emissivity coating on the exterior surface 107 and the folded structure of the concentric tubes 91-93 causes the exterior surface 107 to remain at a fairly low temperature. The low temperature operation, as stated above, prevents excess thermal conditions from occurrence at the thruster mount 105 and reduces the possibility that a warm-up of the thruster 11 can be readily detected. Typically this stage of the warm-up takes between a couple of minutes and a half hour. In the event of a lower power supply or when conditions otherwise require reduction of electrical consumption, a longer warm-up is employed. When the temperature occurring at the elevated temperature propellant passageway 65 and at the expansion nozzle 19 is sufficiently high, the thruster 11 is throttled on by causing propellant to enter the propellant inlet line 61. This causes the fuel passages 17 to cool, thus requiring additional heat from the heater assembly 13. The thruster 11 has a heat-sinking capability which permits the heater to be controlled by off-modulation, rather than by partial attenuation of current. This not only enables the heater coil filament 33 to operate at maximum efficiency, but also increases the efficiency of DC electrical power supply in that voltage-changing devices or resistor banks are not required for attenuation. The heater coil filament 33 is thus switched "on" and "off" by switch 196 in order to provide a desired minimum temperature for the propellant without greatly exceeding that temperature, in order to provide optimum and safe operation. When the cooling effect of the propellant is greater than the heat able to be produced by both the exchange of heat from the expansion nozzle 19 and the heat produced by the heater assembly 13, it is possible to off-modulate the propellant supply. The heater assembly 13 can then provide enough heat to heat the propellant passageway material 89 and the expansion nozzle 19 until the propellant can be caused to flow at an optimum rate. The ability of the thruster 11 to operate in such an intermittent manner enables an increased efficiency of operation, thereby reducing the requirement for electrical power consumption and conserving propellant fuel. An additional advantage of (1) the ability to off-modulate the heater assembly 13 and (2) the ability to operate the heater assembly 13 in a way which brings the internal temperatures of the thruster to proper levels without propellant passing through the fuel passageways 17, is the fact that the propellant can be selectively throttled, with the thruster being constantly ready for thrusting operations. This gives the engineers controlling the thruster a great deal of flexibility in the operation of the satellite and permits them to rapidly change the position of the satellite as circumstances require. Referring now to FIGS. 16-20, several aspects of the present invention will be discussed. Firstly, note the first opening 298 and second opening 299. The heater assembly 311 is mounted into the first opening 298 and the nozzle 321 opens to the second opening 299. As discussed hereinabove with regard to FIG. 2, reference number 89 refers to a pair of concentric members defined as propellant passageway materials, each of which has cut therein thread-like cuts 87 which define passageways for the propellant which are connected to one another via conduits 67. With reference back to FIG. 16, it is seen that the optimized performance augmenter 300 includes propellant passageway material 389 having cut therein screw thread-like passageway means 387. Between the threads of the thread-like passageway means 387, a plurality of lands are formed which are designated by reference number 388. These lands 388 define the interface between the passageway material 389 and outside wall 317 at the inner pass heat exchanger assembly 313. The inner pass heat exchange assembly 313 includes an interface surface 316 which faces and engages the lands 388 of the propellant passageway material 389. In one aspect of the present invention, the surface 316 of the inner pass heat exchanger assembly 313 is brazed directly to the lands 388 of the propellant passageway material 389 of the inside wall of the inner pass heat exchanger component which also serves as the enclosing cavity of the heater assembly 311. As discussed hereinabove, the braze material could be a material such as, for example, vanadium, molybdenum or iridium. Several methods are available for use in brazing the inner pass wall 388 of heat exchanger assembly 313 to the outside wall 316 of the inner pass heat exchanger assembly 313 via the lands 388 and the surface 316. One such method may comprise vapor deposition on the inner diameter surface 316 of the outside wall of the heat exchanger assembly 313 as well as on the lands 388 of the inside wall 389 of the inner heat exchanger assembly 313. A further method of brazing may comprise putting the braze material in position by layering between the parts of thin foil of the base material. Another method would be to locate grooves or channels 351 in the lands 388 of the inner pass heat exchanger 313 and placement of the braze material 353 in this channel 351 as seen in FIG. 46. After this is completed, the braze may be accomplished by any one of several methods including (1) heating the whole structure in a vacuum furnace, (2) placing a heater assembly in the heat exchange cavity defined between the inner wall 389 and outer wall 317 of the inner pass heat exchanger assembly 313 and heating in a vacuum environment preferably ion-pumped to under 10.sup.-4 Torr. This technique is preferred since the inner component 389 will thereby become somewhat hotter than the outer component 317 and the extra thermal expansion of the inner component 389 caused by this extra heating will close any gaps which may exist between the components over the surface which is to be brazed. As described hereinabove, affecting this braze reduces the tension load on the outer component 317 and the compression load on the inner component 389 to negligible value so that the wall thickness and thereby the weight thereof may be substantially reduced. Also, the problem of creep and rupture of these components is almost completely eliminated since each flow passage is now equivalent to a tube and all of the metal becomes structurally involved in the assembly. This improvement alone will permit lower specific weight for the heat exchanger, while simultaneously extending the life thereof to over 500 hours of use. With reference back to FIG. 2, as discussed hereinabove, there are two concentric structures of propellant passageway material 89. As shown in FIG. 16, the outermost propellant passageway material 89 from FIG. 2 is now designated as the outer pass heat exchanger 315 and now takes the form of a continuous coiled tube 391 which extends from the propellant inlet tube 361 to an exit point 392 which opens into a intermediate passageway 367 which is equivalent to the connecting passageway 67 of FIG. 2. The intermediate connecting passageway 367 communicates the coiled tube 391 with the spiral passageway 387 within the propellant passageway material 389 to thereby allow a continuous flow of propellant therethrough. If desired, the coiled tube 391 may be made of molybdenum-rhenium, rhenium alone, or other high-temperature materials. As described hereinabove, for better performance the coiled tube 391 may also have an inside coating of iridium, tungsten or rhenium. Iridium is an advantageous coating material since it would enhance catalyzation of the reaction of converting hydrazine into separate hydrogen and nitrogen. Such a coating would also help transform any ammonia (an intermediate decomposition product of hydrazine) that might be injected into the tube 391 at the inlet thereof into hydrogen and nitrogen molecules, to thus ensure that such ammonia would not reach the inner spiral passageway 387, which is much hotter than the coiled tube 391 where some damage to the material could result from intermediate reaction products such as N or N.sup.+ reacting with some component of the material. As shown in FIG. 22, useful thicknesses for this inner coating may easily be determined. Applicant has discovered through research that when propellant is flowing in a laminar manner, greater heat exchange results through a coiled tube than through a linear tube. Programs have been developed by applicant which compute the distribution of temperature and of heat flux rate through all components of a heat exchanger. These calculations must be iterated with calculations for the gas properties at each point in the heat exchanger. The expression used to describe the heating is: ##EQU13## where h.sub.o =stagnation enthalpy of gas with ##EQU14## T.sub.g =local static temperature of the gas M=gas Mach number Once values for the Nusselt number are established, the integration can precede. Standard texts on heat transfer give the Nusselt number for straight pipes and ducts. However, as will be seen later, the effect of curvature on the skin friction is very pronounced. By Reynold's analogy, a similar effect can be expected with the heat transfer. Hence, the same curvature corrections to the friction factor will be used to correct the Nusselt number. These corrections are significant in the helical passages proposed (over a factor of 2). Helical, rather than straight flow passages can hence increase the heat transfer rate to the gas very significantly, all other factors being equal. This enhanced heat transfer rate and friction factor occurs because a secondary flow is induced in the gas, as shown in FIGS. 43 and 44. This secondary flow will also mix the gas, giving a much more uniform enthalpy to the flow at any given cross-section. The enhancing effect of curvature also permits the flow to stay laminar to higher Reynold's numbers, while at the same time giving higher heat flux rates and skin friction coefficients than would be obtained from turbulent flow at these Reynold's numbers. Using these expressions and the procedure outlined hereinbelow, reasonable agreement has been obtained between the calculated and measured pressure drops in applicant's heat exchanger designs. The influence of curvature is stronger in laminar than in turbulent flow. The characteristic dimensionless variable, which determines the influence of curvature in the laminar case, is the Dean number D: ##EQU15## where R=radius of the cross-section The measurements carried out by M. Adler for the values: r/R=50, 100, and 200, demonstrated the existence of a large increase in the resistance to flow caused by the curvature for Re.sqroot.R/r&gt;101/2. According to his calculations the resistance coefficient, .lambda., for laminar flow in a curved pipe is given by ##EQU16## where Re=Reynold's number of flow and where .lambda..sub.0 denotes the coefficient of resistance of a straight pipe. Measurements indicate, however, that the above equation only has asymptotic validity, and may be used for values of the parameter .sqroot.R/r exceeding about 10.sup.2.8. The results of measurements are approximated with a higher degree of precision by the following empirical equation, first given by L. Prandtl. ##EQU17## This equation gives good agreement with experimental results in the range EQU 10.sup.1.6 &lt;Re(R/r).sup.1/2 &lt;10.sup.3.0 (23) C. M. White has found that the resistance coefficient for turbulent flow in a curved pipe can be represented by the equation ##EQU18## These differ somewhat from, but are in general agreement with C. M. White's equation above. On the basis of calculations and data evaluation to date, a number of further design criteria can be defined: (i) The flow channels should be designed so that the Mach number increases monotonically from the injection point up to the nozzle outlet. (ii) The flow passages should be as large as possible throughout the heat exchanger to ensure the maximum possible pressure at the throat. A comparison of FIGS. 2 and 16 reveals that the invention illustrated in FIG. 16 includes further structure not contemplated by the invention shown in FIG. 2. In particular, the terminus of the inner heat exchanger assembly 313 shown in FIG. 16 includes the provision of an energy absorber structure 309 and a pre-nozzle entrance heat exchanger 310. The heat exchanger 310 may, if desired, be brazed into the wall 302 on a side thereof opposite to the side to which the energy absorber component 309 is brazed. The nozzle heat exchanger 310 may be fabricated either as a spiral brazed at both ends to a housing, FIG. 48, or as a series of cylinders with gaps at alternative ends, FIG. 49. It may be fabricated from tungsten, rhenium, tungsten-rhenium, molybdenum or molybdenum-rhenium. There are also a trio of radiation shields 306, 307 and 308 which are located within the heat exchange cavity 303 extending the entire length of the cavity with the open ends facing the energy absorber structure 309. Each of the radiation shields 306, 307 and 308 is comprised of a disc 312 extending transverse to the longitudinal axis of the heating coil 305 and some radiation shields have the further provision of a cylindrical member 304 extending along this longitudinal axis. In FIG. 16, only the cylindrical member 304 associated with the disc 312 of the shield 306 is shown, however it may be seen from FIG. 20 that these cylindrical components may extend the full distance of the heat exchange cavity 303 or 303'. Each metallic disc 312 has cut-outs 338 to allow passage therethrough without contact by the lead connectors 340, 342, 344. These discs 312 are supported in the structure by a plurality of rods 346 (FIGS. 17 and 18) anchored in insulator segment discs similar to those illustrated in FIGS. 4, 12 and 13. Short tungsten springs 348 are mounted over the four support rods 346 and placed between the discs 312. These springs accurately position the discs axially and permit thermal expansion without inducing excessive stresses in any component. In order to accurately position the discs axially a predetermined compression is induced in all springs during assembly. The length of these springs can vary along the channel in which the discs are mounted in order to improve the efficiency of the radiation shielding. To reduce radial outflow of radiation, the reflecting cylinders 304 are attached to several of the discs 312. A plurality of smaller discs similar to the discs 86 in FIG. 4 are included on the lead connector to block radiation leakage through the cut-outs 338 in the larger radiation discs 40 wherein the gap is provided for noncontact passage of the lead connectors 340, 342 and 344. This embodiment of radiation shields permits a radiation transfer efficiency to the energy absorber component 309 of 90 to 95 percent. Similar structure to the disc-cylinder shielding structure described hereinabove may be used in the thruster housing between the opening for the heating element and the outer skin to reduce power losses. As shown in FIGS. 1-3, holes 40 are formed in the outer skin and inner support structure so as to expose the housing interior to the vacuum of outer space. Referring to FIG. 16, it is seen that the thruster 300 has a wall 350 structurally connecting the nozzle 321 with the outer walls of the housing. It is important to keep the wall 350 free of holes 40 so as to prevent flow of propellant leaving the nozzle 321 from entering into the housing. FIG. 19 shows an expanded view of a portion of FIG. 16 explaining the manner of installation of space optimized radiation shielding in the housing. As shown in FIG. 16, the shielding consists of a plurality of discs 370 having respective cylinders 371 preferably micro-arc welded thereto at 372 much in the manner disclosed in U.S. Pat. No. 4,404,956 to applicant herein. As better seen in FIG. 19 rods 373 are assembled through holes 374 formed in the discs 370, which holes are comprised of opposed annular beveled surface 375 to thereby define a circular line 376 which contacts the rod 373 so as to minimize the surface area of contact therebetween. In order to separate the discs 370 between respective disc pairs are inserted wire rings 377 which contact each of the adjacent discs 370 as well as the rod 373 in a circular line contact only which minimizes the surface area of contact therebetween. Applicant has discovered through research that the smaller the ratio of surface area of contact between shields to surface area shields, the smaller the power loss through the shields. Similarly, as the pressure of the atmosphere in which the shields are mounted is reduced, reduction in power loss is evidenced. These discoveries are demonstrated in Table 1A as set forth hereinabove. For example, referring to Table 1A, it is seen that with 16 shields being used at pressure of 10.sup.-2 Torr, as this ratio is reduced from 10.sup.-3 to 10.sup.-7, the power loss is reduced from 184.06 watts to 73.71 watts. Further, with reference to Table 1A, at a constant ratio of 10.sup.-5, as the pressure is reduced from 10 Torr to 10.sup.-5 Torr, the power loss is reduced from 266.16 watts to 76.05 watts. The energy absorber component 309 is brazed into the nozzle end of the cavity wall 302 so as to maximize power transfer from the coil 305 to the fuel which has made its way to the pre-nozzle entrance heat exchanger component 310. Power is transferred to the energy absorber component 309 from two sources, firstly directly through radiation from the coil 305, and secondly by reflection from the inner surface of the shield 306. As described hereinabove, by making the ratio of the gap length to gap spacing in the energy absorber component 309 high enough, photons impinging therein will undergo enough multiple reflections so as to be absorbed thereby, thus giving the energy absorber component 309 an effective emissivity of substantially unity. If desired, the energy absorber component 309 may be fabricated from any one of tungsten, tungsten-rhenium, molybdenum, rhenium, or molybdenum-rhenium. If desired, it may be fabricated as a series of cylinders brazed to a disc, FIG. 50, or as a scroll brazed to the disc, FIG. 51. By utilizing the full length cylindrical members exemplified by the cylindrical member 304 in conjunction with discs so as to comprise the radiation shields 306, 307 and 308 in conjunction with the energy absorber component 309, a great increase in the power radiated from the coil 305 which is transferred to the energy absorber component 309 is realized, thus enabling the peak temperature of the nozzle end of the heat exchange to be raised 200.degree. C. above what it might be able to be raised to without these improvements. Referring again to FIG. 2, it is seen that the propellant supply passages 87 extend in two concentric rows of thread-like passages. As discussed hereinabove with reference to FIG. 16, the outer passages 87 may be replaced with a continuous coiled tube 391 and modifications to the inner passages may be made, including brazing operations, to reduce tension loads on the outer component 317 and for other purposes specifically set forth hereinabove. Further improvement may be made in the FIG. 2 thruster by completely replacing the inner threads 87 thereof with a coiled tube like the tube 391 of FIG. 16. In this light, reference is made to FIG. 20 which shows a thruster 300' wherein the inner thread-like passageway 87 of FIG. 2 has been replaced with an inner coiled tube 322 to convey propellant from outer tube 391 to the nozzle heat exchanger component 310. As may be seen from a comparison of FIGS. 16 and 20, the support tube 320 of the FIG. 20 does not include the thread structure of the corresponding component of FIG. 16, designated by reference number 313, and further the support tube 320 with its cavity wall 302' is only required to (1) isolate the heater assembly 311 from propellant supply, (2) support the energy absorber component 309 and (3) support the nozzle heat exchanger 310. Accordingly, the tube 320 may be made much thinner than the tube 313 of FIG. 16, which results in: (1) saving in weight, and (2) higher levels of heat transfer from the heater assembly 311 to the propellant. As discussed hereinabove with regard to the tube 391, the tube 322 may similarly be internally coated with tungsten or rhenium. With the dual-coiled tube 322, 391 configuration shown in FIG. 20, if the nozzle heat exchanger 310 and the pressure vessel are made of rhenium or tungsten-rhenium, operating temperatures may be increased to over 4000.degree. F., and with hydrazine as a propellant this could allow the mission average specific impulse (I.sub.sp) to approach 340 seconds as shown in the FIG. 21 graph. For hydrogen as a propellant, this operating temperature would result in an average specific (I.sub.sp) approaching 850 seconds. As stated hereinabove, the inside surfaces of the coiled tubes 322 and 391 may advantageously be coated with iridium, tungsten or rhenium. Iridium is an advantageous coating material for use with hydrazine since it would enhance catalyzation of the reaction of converting hydrazine (N.sub.2 H.sub.4) into only hydrogen (H.sub.2) and nitrogen (N.sub.2). Referring now to FIGS. 23 and 25, applicant has devised a device for coating the inside surfaces of a tube T before it is coiled to form tubes 322 and 391. As shown in FIG. 23, the coating apparatus 400 includes a top plate 401 and a bottom plate 403 which close openings formed in a high temperature glass tube 405 to form an enclosed chamber 407. An opening 409 is formed in the bottom plate 403 and a conduit 411 connects this opening 409 with a vacuum pump 412 which is designed to maintain the chamber 407 at a pressure of 10.sup.-4 Torr or below. Rigidly mounted to the bottom plate 403 is a bracket assembly 413 which includes an upstanding rod-like support 415. Extending outwardly from the support 415 are an upper arm 417 and a lower arm 419. The upper arm 417 has attached thereto at its extreme end a bracket 418, while the lower arm 419 has similarly attached thereto a bracket 420. Referring now to FIG. 24, it is seen that the bracket 420 has attached thereto a tube supporting member 427. The bracket 420 includes a radially inwardly directed shoulder 421 and a side wall 423 which slidably accommodate therein the member 427. An insulating disc 429 is slidably mounted in the tube supporting member 427, by virtue of the inner longitudinal wall 431 and radially inwardly projecting surface 433 thereof. The insulating disc 429 is preferably made of boron nitride and includes an opening 435 therethrough sized to slidably receive the high temperature lead 437. A flexible power lead 439 is suitably electrically attached to the high temperature lead 437. The high temperature lead 437 has an opening 441 therein designed to slidably accommodate one end of coating wire 443. A threaded opening 445 is formed transverse to and intersecting with opening 441 and a set screw is threaded into the opening 445 so as to forcibly engage the side of the wire 443 to thereby retain it in mounted configuration within the opening 441. In a similar fashion, the tube supporting member 427 includes an opening 449 in which is slidably inserted the tube T. The tube supporting member 427 further includes a transverse threaded bore 451 in which is threaded a set screw 453 which is provided so as to bearingly engage the tube T and thereby retain it within the opening 449. The high temperature lead 437 has welded thereto a vapor shield 438 which is provided so as to keep vapors created by the operation of this device from welding the tube T to the tube supporting member 427 and further prevents any short circuits involving the high temperature lead 437. A flexible power lead 440 is attached in a manner well know to those skilled in the art to the tube supporting member 427. With further reference to FIG. 24, it is seen that the bracket 418 has attached thereto a further tube supporting member 455 which includes an opening 457 for receipt of the top of the tube T and a transverse threaded bore 459 which receives therein a threaded set screw 461 which bearingly engages the surface of the tube T to thereby retain the tube within the bore 457. Further, the tube supporting member 455 includes a plurality of transverse bores 463 which are provided for the same purpose as the transverse bores 452 in the tube supporting member 427, to wit, to enable the venting from the tube T of vapors formed by the heating of the wire 443. The tube supporting member 455 further includes a further bore 465 which is provided to slidably receive therein the wire 443 and the tube supporting member 455 further includes a further transverse threaded bore 467 which threadingly receives a threaded set screw 469 which bears against the wire 465 to thereby retain it within the bore 465. As should be evident from FIG. 24, a flow path for electrical current is created by the structure shown therein from the flexible power lead 439, through the high temperature lead 437, through the wire 443, through the tube supporting member 455, through the tube T, through the tube supporting member 427 and to the flexible power lead 440. It is seen that the insulator 429 acts to electrically insulate the high temperature lead 437 from the tube supporting member 427 so as to prevent any short circuits. The vapor shield 438 prevents any vapors from impinging upon the insulator 429 so as to prevent any completion of circuitry between the high temperature lead 437 and the tube supporting member 427. As disclosed hereinabove, advantageous coating materials for the inside surfaces of the tube T include iridium, tungsten or rhenium. Accordingly, the wire 443 is made of whichever one of the hereinabove listed materials is desired to be used for the coating of the interior surfaces of the tube T. With this wire suitably attached to the high temperature lead 437 and the tube supporting member 455, a source of current of approximately 10 to 15 amps is placed across the flexible power leads 439 and 440. The wire 443 has an inherent resistance which increases substantially linearly with the temperature thereof. Accordingly, the resistance of the circuit may be measured and from the circuit resistance, a good estimate of the temperature of the wire 443 may be determined. In this way, the temperature of the wire 443 may be controlled. It is anticipated that in order to properly coat the inner surfaces of the tube T, the wire 443 will have to be electrically heated via the flexible power leads 439 and 440 for a time period of approximately one to four hours. It is noted that the current in the above described circuit flows through the tube T in the opposite direction to the flow of current through the wire 443. As a result, the electrons flowing in the tube T and the wire 443 tend to repel one another and thereby the wire 443 is maintained in a centered position within the tube T. It is further noted that as the wire 443 is heated, it will tend to expand. It is for this reason that the high temperature lead 437 is slidably mounted within the bore 435 of the insulator 429. In this way, as the wire 443 expands, the high temperature lead 437 will slide downwardly due to the force of gravity through the bore 435 to maintain the wire 443 in a taut configuration within the tube T. As stated hereinabove with regard to FIGS. 16 and 20, the shields 306, 307 and 308 may be coated with a low emissivity metal to thereby enhance their performance. Low emissivity metals include, for example, gold, silver and rhodium. With reference now to FIGS. 25 and 26, an apparatus will be described which has been devised so as to enable the coating of the shields 306, 307 and 308. As shown in FIGS. 25 and 26, the shield coating apparatus 500 is seen to include a first elongated tube 501 having an elongated slit 503 therein which extends approximately half of its longitudinal extent as best seen in FIG. 25. In surrounding relation to this tube 501, a further tube 505 is provided which includes a section removed therefrom defining faces 507 and 509 as best seen in FIG. 26. A slotted cylinder 511 is welded to the tube 501 and includes a slit 513 which is aligned with the slit 503 in the tube 501 prior to welding the tube 501 and the slotted cylinder 511 together. As may be seen through a comparison of FIGS. 25 and 26, the slotted cylinder 511 includes a first portion 515 which includes no slit therein and is completely cylindrical in nature so as to provide a guide mechanism for a slot control rod 517. A further portion 519 of the slotted cylinder 511 includes not only the slit 513, but has 180.degree. of its circumferential extent removed, as best seen in FIG. 26. Accordingly, the rod 517 may be reciprocated in guiding relation with the portion 515 and the end 518 of the rod 517 will overlie adjustable portions of the slit 513 to thereby control the opening thereof. A plate 521 is provided at one end of the apparatus 500 and has connected thereto the portion 519 of the slotted cylinder 511, one end of the tube 501 and one end of the tube 505. A hole 523 is provided through the end plate 521 for the purpose of inserting therethrough in mounting relation a pyrometer (not shown) which is utilized to measure the radiation within the tube 501 and therefrom to determine the temperature within the tube 501. The pyrometer may be utilized to sense the temperature therein and from this sensed temperature to control the current supplied to the apparatus 500 to thereby control the temperature within the tube 501. An insulator 525, which may, if desired, be made of boron nitride is installed between the tubes 501 and 505 at the end thereof opposite the end plate 521. An electrically conducting plug 527 is inserted into that end of the tube 501 adjacent the insulator 525 and a power lead 529 is electrically attached thereto. Further, an electrically conducting device 531 is attached to the outer tube 505 and a power lead 533 is connected thereto. Accordingly, an electrical circuit is created between the device 531, the tube 505, the end plate 521, the coating material contained within the tube 501 and the electrically conducting plug 527. A mounting bracket 535 is provided which enables the mounting of the apparatus in a suitable location. Further, the end plate 521 has mounted thereto shields 537. As shown in FIG. 26, in order to operate the apparatus 500, the material 539 which is to be coated on the radiation shields is inserted into the tube 501 in powdered form. In order to ensure proper operation of the device, a continuous line of powder 539 must extend from the end plate 521 to the electrically conducting plug 527 so as to complete the circuit. Thus, the device must be maintained in a level orientation so as to ensure that this electrical circuit is maintained in a complete condition. The slotted cylinder 511 acts as a nozzle with its slit 513 to control the direction of conduction of vapors caused by the evaporation of the powder 539 due to its heating by the electrical current which is supplied across the power leads 529 and 533, and which may be at a current level of approximately 100 amps. The apparatus 500 is specifically designed so as to enable the coating of the inner surfaces of these cylindrical shields, as well as the outer surfaces thereof. As shown in FIG. 26, a dashed line 543 is intended to be indicative of the shield with its interior surfaces being coated, whereas the dashed line 545 is intended to be indicative of a shield with the outer surfaces thereof being coated by the apparatus 500. In the operation of the apparatus 500, a source of current of approximately 100 amps is placed across the power leads 529 and 533. The slit controlling rod 517 is adjusted in a lateral fashion so as to enable the exposure of a predetermined longitudinal extend of the slit 513, with this adjustment depending upon the longitudinal extent of the shield which is to be coated by the apparatus 500. The pyrometer (not shown) is inserted into the hole 523 and as the current flows across the circuit melting the powder into a liquid extending between the end plate 521 and the plug 527, and being further heated to form vapors, the pyrometer senses the radiation in the vapors to thereby enable the temperature within the tube 501 to be determined, and control means (not shown) may be utilized to thereby control the current to control the temperature. Further radiation shields 538 are provided in a circumferential direction about the outer tube 505 so as to concentrate the heat formed by the electrical circuit within the tube 501. As the vapors are formed by the melting and evaporating of the powder 539, these vapors escape through the slits 503 and 513 and are guided by the portion 519 of the slotted cylinder 511 onto the surface of the cylindrical shield which is being coated thereby. The surface which is being coated is attached to a device (not shown) which enables the shield to be slowly rotated with respect to the slit 513 to thereby ensure a uniform coating thereof. In a similar manner, the disc portions of the shields may be coated by suspending them over the portion 519 of the slotted cylinder and rotating them with respect to the slit 513 to thereby ensure uniform coating thereof. With reference now to FIGS. 30 and 31, a further modification of the concepts taught in the parent file is set forth. A comparison of FIGS. 2 and 12 reveals that the heater depicted in FIG. 2 supplies only radiant heat, whereas the heating element of FIG. 12 provides both radiation and emission. In this vein, the embodiments described herein with regard to FIGS. 16 and 20 may also be modified so as to provide both radiation and emission-type heating. FIG. 30 shows the thruster 600 as including fuel supply passages similar to those shown in FIG. 16. Of course, as desired, the fuel supply passages as depicted in FIG. 20, may be utilized with this particular embodiment. As shown, the thruster 600 includes a radiation heating element 601 and an emissive heating element 603. As shown in FIG. 30, the energy absorber component 609 is comprised of a plurality of substantially concentric cylinders and the emissive heating element 603 is designed to comprise a plurality of concentric cylindrical members which interleave with the cylindrical members in the energy absorber component 609. As shown in FIG. 31, one possible power supply scheme for the heaters of the embodiment of FIG. 30, comprises a common power supply 611 which supplies the radiative heating element 601 via the switch 613 and the emissive heating element 603 via the switch 615. In this manner, easy control of the heating elements is possible. If desired, separate power supplies for each of the radiative heating element 601 and the emissive heating element 603 may be provided. A preferred mode for operating the embodiment illustrated in FIGS. 30 and 31 is as follows: (2) The power radiated from the coil 601 heats the thermionic element 603 and the energy absorber component 609 which is included in the circuit for the emissive heating element 603. (3) Once the temperature of the emissive heating element 603 is above approximately 1650.degree. K. (approximately 2500.degree. F.), the switch 615 may be closed so that great numbers of electrons are emitted by the thermionic emitting material of the emissive heating element 603 which may comprise, for example, thoriated tungsten. The electric field between the emitter 603 and energy absorber component 609 accelerates these electrons toward the energy absorber component 609 where they impact and are absorbed. (4) This electron flow constitutes an electrical current I which flows across a potential drop V equal to that of the power source, such as a battery, thereby delivering energy to the energy absorber component 609 at at rate given by P=VI; virtually all of this energy is deposited in the thermal absorber adjacent to the nozzle. (5) This power P heats the energy absorber component 609 to temperatures above that of the emissive heating elements 603. Most of this power is transferred to the gas in the heat exchanger near the nozzle, however, some small fraction is radiated back to the emissive heating element 603 thereby supplying the work function energy to maintain the electron emission and temperature of the emissive heating element, which in turn keeps the electric current flowing. (6) The gaps between the emissive heating elements 603 and the energy absorber component 609 which comprise the interstices between the respective concentric cylindrical portions thereof, are specifically designed to values which control the level of current at the space-charge limited level given by the Child-Langmuir equation as described hereinabove. (7) Once the design current is flowing and the steady state operational temperature with propellant flowing is established, the switch 613 may be opened to thereby permit the coil to cool down to the temperature of the heat exchanger. Since the coil is used essentially as an initiator, being elevated in temperature for only a few minutes each firing, the coil lifetime may be many hundreds of thruster operational hours before it begins to sag and then touches another thruster component to thereby fail. (8) When the firing of the thruster is to be terminated, after for example, 40 to 60 minutes, the switch 615 may be opened and the flow of propellant may be stopped by closing a propellant supply valve. With reference now to FIGS. 28 and 29, a further aspect of the present invention will be described. In prior art thrusters, in order to supply the thruster with vaporized, preheated and/or decomposed fuel, a separate pre-heater or decomposer assembly was necessary upstream of the fuel supply conduit extending through the outer housing of the thruster. A principal problem of the prior art of such assemblies is solved by the device set forth in FIGS. 28 and 29. It is noted that although great care is exercised in the manufacturing and handling of propellants and oxydizers to be used for long missions on spacecraft or satellites, some impurities inevitably are found in the propellant. Some of these are in the form of metallic oxides, carbonates, and/or other compounds that, when deposited on feed tube surfaces, adhere thereto and having a comparatively low vapor pressure, cannot be vaporized off these surfaces or readily removed by other means. These deposited impurity compounds have been known by the term non-volatile residues. If the supply tube temperatures or the injector orifice temperature rises above the boiling point of the propellant and/or oxydizer, then nucleate boiling of the liquid adjacent to the wall will occur. Experience has shown that when nucleate boiling occurs, these non-volatile residues deposit on the hot walls and if the surface area where nucleate boiling occurs is small, such as at the location of a fuel supply injection orifice, then the non-volatile residues will build up and partially or wholly block the propellant or oxydizer supply tube. This process of adherence of non-volatile residues to the supply tubes has been identified by those skilled in the art as the probable cause of blockages which have been observed in the feed tubes of low thrust hydrazine engines of the prior art. The invention shown in FIGS. 28 and 29 achieves the object of (1) ensuring that the temperature of the injection tubes during propellant flow never exceeds the boiling point of the propellant and/or oxydizer and (2) ensures that a high percentage of the power conducted, convected or radiated to the feed tube is regeneratively returned to the decomposition or reaction chamber with the injected fluid. In this vein, the wires 713 which are welded into the mixing chamber 709, serve several purposes: (1) The wires intersect all parts of the flow of fuel, thus permitting energy transfer of the total flow of fluid. The wires 713 will also accomplish some mixing of the fluid tending to give the heated fluid a relatively uniform temperature. (2) The wires 713 increase significantly the surface area available for heat transfer from the metal components to the fluid. (3) The combination of aspects discussed above in (1) and (2) permit the fluid flow to absorb significant quantities of power, even as much as 20 watts at the lowest flow rates, to thereby reduce the temperature of the metal components of the mixing chamber to values below the boiling point. With no nucleate boiling, there will be little or no non-volatile residue build-up on the walls of the mixing chamber 709 or on the surfaces of the wires 713. One further feature is noted, to wit, the internal diameter of the injection orifice 705 is specifically sized to get the desired injection velocity of the fluid. Internal radiation shields 721 preferably made of tungsten act to reduce or prevent convective energy transfer from the hot decomposition products or reacted propellant gases to the injection orifice 705. The liquid mixing and injecting device 700 shown in FIGS. 28 and 29 includes a fluid inlet 701 connected to an outlet 703 via an injection orifice 705. The fluid inlet 701 terminates at a diverging flow passage 707 which leads to a mixing chamber 709 which connects to the injection orifice 705 via a converging flow passage 711. Within the mixing chamber 709, a plurality of wires 713 are welded so as to enhance the heat transfer therein as well as fluid mixing. If desired, the wires 713 may be made of tungsten-rhenium. As best shown in FIG. 29, the wires 713 are oriented in circumferentially staggered relationship with respect to one another so as to provide a tortuous path for fuel flowing therethrough. The outlet 703 of the injector leads to a preheater decomposition chamber 715 which feeds the fuel to a screen pack or other decomposition and/or heat transfer structure 717 which may, if desired, be surrounded by a heater source 719. The screen pack or other decomposition and/or heat transfer structure 717 comprises a decomposition and/or heating structure and the fuel flows through the screen pack or structure 717 and thence into the fuel inlet of the thruster, for example, denoted by reference number 361 in FIG. 16. Accordingly, the device shown in FIGS. 28 and 29 has been developed for attachment to the inlet pipe of the preheater and/or decomposers 715 or directly into the thruster housing which is shown in FIG. 16 with reference numeral 361, in FIG. 20 with reference numeral 361' and in FIG. 25 with reference numeral 661. See, in this regard, FIG. 47. In the prior art there was little attempt to thermally isolate and achieve optimum energy efficiency from the preheater/decomposer and/or to provide vaporized propellant to the thruster at ideal decomposition or thermal state. This was due to the concern for the blockage problem just discussed and now solved with the feature of the present invention set forth hereinabove. With this solution, optimum designing calls for the preheater to operate with minimum thermal loss from within its self-contained heater source or from the chemical energies released from an exothermic decomposing propellant. This is achieved by thermally isolating the preheater/decomposer 700, FIG. 28 with radiation shielding 721 as shown and by using other standard thermal isolating techniques for this objective. Further, it is advantageous for optimum augmenter performance to provide as near as possible fully reacted (dissociated) propellant (in the case of hydrazine to have most of the intermediate reaction ammonia dissociated) out of the decomposer. To achieve this, the screen pack or other decomposition element 717 should be sufficiently long and operated at adequate temperatures to achieve this end. This is contrary to operation of typical decomposing thrusters which function without electrical enhancement of performance. Such thrusters minimize ammonia decomposition. This assembly 200 can also be utilized to provide additional, auxiliary preheating of a propellant such as hydrogen and/or to effect desirable chemical reactions prior to entrance into the high temperature thruster. NOZZLE DESIGN--PRIOR ART Most rocket nozzles have been designed for maximum thrust when exhausting to an ambient pressure higher than one Torr. At one Torr the mean free path is of the order of 2.times.10.sup.-3 cm, several orders of magnitude smaller than the throat diameter, or the boundary layer thickness of the gas near the nozzle exit. When these conditions prevail, the nozzle shape that results in the highest performance is one that has the invisid or "core" flow flowing parallel to the axis of the nozzle at the exit of the nozzle. This, then, results in a "bell-shaped" nozzle as the optimum configuration provided that near the exit: EQU p.sub.w sin .theta.&gt;.tau..sub.w cos .theta. (26) For example let: ##EQU19## This indicates that if the expansion half angle of the nozzle, .theta., is less than 30.degree. near the exit, then the thrust of the rocket is decreasing as the gas expands further, due to the preponderant effect of the shear stress term ##EQU20## Most, if not all, nozzles on rockets tend to terminate when some such condition is reached. Also, the angle .theta., at the nozzle exit is usually considerably smaller than 30.degree. in order to straighten the "core" flow. SPACE OPTIMIZED NOZZLE DESIGN A typical nozzle designed in this manner has a contour similar to that shown in FIG. 45. Also, in the figure, the pressures and area ratios at various positions along the nozzle are indicated. Also, drawn in phantom in FIG. 45 is an example of a nozzle designed in accordance with the present invention. If the ambient gas is much lower than one Torr and the gas in the boundary layer is expanded further to have a mean free path that is comparable with the boundary layer thickness, then a further condition on the angle .theta. can be calculated. In this region, the shear stress .tau..sub.w can be written a ##EQU21## where: .tau..sub.w =shear stress at the wall When the gas is expanded to this extent, then: ##EQU22## Even if M is only unity and .gamma.=1.40 EQU tan .theta.&gt;1.89 EQU .theta.&gt;62.degree. In general, the Mach number M at the edge of the boundary layer will be greater than unity hence the expansion half angle will be greater than 62.degree. in order to increase the thrust by expanding to high area rations. The above considerations indicate that the nozzle design procedure shold be as follows: Step I--use conventional design procedure to establish the contour between the throat and the area ratio at which ##EQU23## i.e., a point at which the thrust gain is twice the shear stress loss (the reason for the "2" on the formula). This point is chosen because shortly beyond this point, thrust loss due to shear stress will dominate over thrust gain due to pressure. This point is shown in FIG. 45. Step II--continue increasing the angle .theta., as needed, to maintain the same ratio between the thrust gain and the shear stress loss, i.e., a ratio of 2/1. Step III--it may be possible to "fine-tune" the angle .theta. as a function of the area ratio in order to get even more thrust. Step IV--at very large area ratios (greater than 2000) let .theta.=90.degree. and continue this flat plate nozzle out to the largest practical diameter. As discussed hereinabove, several improvements to the design of nozzles in the thruster art would be helpful in increasing the efficiency and life expectancy of thrusters. In this vein, theoretical aspects of nozzle design and analysis were discussed hereinabove in the Summary of the Invention. With reference now to FIGS. 38, 39 and 40 a few applications of theory with regard to nozzle design for thrusters will be set forth in greater detail. The nozzle configuration shown in FIG. 38 is specifically designed for continuous heating through M.perspectiveto.2. The design criteria for the nozzles shown in FIG. 38 were discussed hereinabove in the Summary of the Invention, and these design criteria are repeated here for convenience as follows: (1) The stagnation pressure in the heat exchanger should be as high as possible. (2) The nozzle wall temperature should be operated at a temperature equal to or greater than the recovery temperature in the gas. This must be "optimized.revreaction. by including considerations of radiation power loss from the nozzle. The curvature of the nozzle at the throat, r.sub.c, is another important parameter of the nozzle design. It appears prominently in the expression for the discharge coefficients D.sub.d in the following form: ##EQU24## where C.sub.d =discharge coefficient How the value of the discharge coefficient affects the thrust coefficient is not immediately obvious. This will be investigated by developing a novel method of computing the thrust coefficient. The thrust on a rocket, F, operating in a vacuum, can be computed by two methods: 1. Evaluating the integral: ##EQU25## where p=gas pressure at the nozzle exit or, 2. Integrating the stress tensor over the axial projection of all interior and exterior surfaces. The approach adopted here will be to compute the thrust that is generated up to the throat using method 1 above, and then to compute the additional thrust in the expanding section using method 2 above. The two components of the thrust coefficient are identified as follows: EQU C.sub.F =F/p.sub.c A* (33) where where ##EQU26## Since the velocity w* is purely axial at the throat, cylindrical coordinates are used in computing C.sub.F. In practice .DELTA.C.sub.F can be analytically maximized by choosing various configurations (.theta. as a function of R) and nozzle surface temperature distributions (.tau..sub.w) as a function of R) and then using numerical procedures to solve the Navier-Stokes equations in the nozzle. Since the different gases have different thermo-dynamic and transport properties, the nozzle shape may change from gas to gas. Also, since relaxation effects in the gas (e.g, atom-atom recombination) depend upon pressure and residence time of the gas in the nozzle, the best nozzle shape may change for any given gas with the chamber pressure of the gas. As pointed out elsewhere, best nozzle performance will be obtained by making the chamber pressure of the gas as high as possible. In order to obtain the most accurate results for the nozzle design downstream of the throat, equation 35 may be calculated for spaced nozzle wall increments as low as one millimeter or less. Such calculations may be done by computer for greater efficiency and accuracy. For invisid gas, accelerated at constant enthalpy and with a conical diverging nozzle, the integrals can be evaluated. The results are: ##EQU27## Equations 36 through 38 represent the results of the classical approach to computing the thrust coefficient. Assuming that the pressure is independent of the radius at the throat, the viscous effect on C.sub.F * can be computed. The result is: ##EQU28## The expression indicates that the radius of curvatures at the throat should be small so that C.sub.D is kept as high as possible. This conclusion may be somewhat modified by the desire to continue heating the gas as it accelerates through the throat. Some indication of the optimum nozzle shape can be determined by using equation 35. Immediately downstream of the throat there will be a negative increment to C.sub.F since p.sub.w sin .theta.-.tau..sub.w cos .theta.&lt;0. Once the expansion angle is increased to make the expression in brackets positive, the angle .theta. must be adjusted throughout the expansion to ensure that: EQU p.sub.w sin .theta.-.tau..sub.w cos .theta.&gt;0 (40) Eventually the nozzle angle will approach 90.degree., becoming a disc perpendicular to the axis of the throat. When the disc is extended out sufficiently far radially, such that substantially no collisions are occurring between propellant particles at the periphery thereof, at that circumferential location, a conical end piece may be provided having an angle with respect to the longitudinal axis of the nozzle designed to maximize deflection of propellant particles in the direction of the nozzle axis. Since the disc part and conical end piece of the nozzle can be made from extremely thin sheet material, the weight thereof can be kept low and the conical end piece should extend to the maximum diameter permitted. The pressure at the wall p.sub.w is a strong function of .theta. and .tau..sub.w, a weak function of .theta.. Both decrease as R is increased. An analysis of the nozzle in accordance with the teachings of the present invention should permit an optimization of the nozzle contour and determine the exit area for the range of operational Reybold's number. In light of the discussion hereinabove with regard to test data and design implications of nozzles, nozzle configurations worthy of analytical investigation are shown in FIGS. 38, 39 and 40. TEST PROCEDURE Inasmuch as the thruster 11, according to the present invention is designed to operate in an outer space environment, a special test facility is provided for ground testing, as shown in FIG. 42. The thruster 11 is placed in a vacuum enclosure 121, with an outside exhaust duct 123 provided in communication with expansion nozzle 19. It is recognized that the provision of the outside exhaust duct 123 would create a sea-level ambient pressure condition at the expansion nozzle 19 and the fuel passages 17, particularly at times when propellant is not being supplied to the thruster 11. The remainder of the thruster 11 is exposed to a vacuum created by sorption pumps 127 and finally by ion pumps 127 which evacuate the vacuum enclosure 121. Because of the terrestrial gravity environment to which the heater may be rotated at about 30 RPM or greater, repositioned 180 degrees as needed to compensate for any sag of coil 33 or a magnetic coil 129 may be implemented in surrounding relation to the thruster 11. The magnetic coil arrangement 129 serves to support the heater coil 33 to the extent necessary to counteract the force of gravity. Force supplied by the magnetic coil arrangement 129 is calculated to provide a force equal and opposite to that of the acceleration of gravity on the material of the heater coil 33 when it is at appropriate operating temperatures. The magnetic coil arrangement 129 is also modulated to an extent necessary to reflect changes in forces in the coil 33 during conditions of acceleration caused by the thrust of the thruster 11. Other provisions for testing in the vacuum enclosure 121 include special power features 133 and a propellant inlet supply 135. Testing will occur at a pressure of less than 10.sup.-5 Torr. What has been described are preferred embodiments of the invention. It should be noted that it is possible to provide various other arrangements. For example, while an air vent opening for the heater cavity 18 has been described, it is also possible to seal the heater assembly 13 with the heat exchanger assembly 15 in a vacuum, with a small amount of pressurant being permitted to remain within the heater assembly 13. This pressurant would affect the vaporization rate of material from the heater coil 33. It is also possible to provide various arrangements for the expansion nozzle 19 in accordance with the specific needs and application of the thruster 11. Further, if the fuel is injected into the thruster 11 in the unreacted liquid state, the heater assembly 13 is used to pre-heat the thruster 11 to a safe temperature above the thermal decomposition temperature, about 1000.degree. K. This internal coupling of the exothermic decomposition and the electrical performance augmentation eliminates the heat losses from an externally mounted decomposition chamber and from the connecting injection tube. The present invention may be utilized with such propellants as H.sub.2, N.sub.2, N.sub.2 H.sub.2, NH.sub.3, CO.sub.2, CO, CH.sub.3, H.sub.2 O, etc. Accordingly, the above description is not intended to be limiting, but is, instead, intended to be exemplary in nature.
abstract
Treatment of a radioactive waste stream is provided by adding sodium hydroxide (NaOH) and/or potassium hydroxide (KOH) together with a rapidly dissolving form of silica, e.g., fumed silica or fly ash. Alternatively, the fumed silica can be first dissolved in a NaOH/KOH solution, which is then combined with the waste solution. Adding a binder that can be a mixture of metakaolin (Al2O3.2SiO2), ground blast furnace slag, fly ash, or other additives. Adding an “enhancer” that can be composed of a group of additives that are used to further enhance the immobilization of heavy metals and key radionuclides such as 99Tc and 129I. An additional step can involve simple mixing of the binder with the activator and enhancer, which can occur in the final waste form container, or in a mixing vessel prior to pumping into the final waste form container, depending on the particular application.
claims
1. A method for investigating a cause of decrease in frequency of abnormality detections for a certain device mounted on a vehicle, wherein when a plurality of abnormality detection conditions are satisfied, an abnormality detection for the certain device is performed to determine if the certain device is in failure, the method comprising:(i) when the frequency of the abnormality detections is below a predetermined value, disabling one of the abnormality detection conditions;(ii) when the abnormality detection conditions except the disabled abnormality detection condition are satisfied, performing an abnormality detection for the certain device;(iii) repeating the step (ii) a plurality of times; and(iv) determining if the disabled abnormality detection condition at that time is the cause of the decrease in the frequency of the abnormality detections, based on frequency of the abnormality detections in the step (iii). 2. The method according to claim 1, further comprising:(v) if the determining in the step (iv) is negative, enabling the disabled one of the abnormality detection conditions and disabling another one of the abnormality detection conditions; and(vi) repeating the steps (ii) to (v). 3. The method according to claim 2, wherein the step (vi) is performed until the cause of the decrease in the frequency of the abnormality detections is found. 4. The method according to claim 2, wherein:the disabling of the step (i) comprises rewriting the one of the abnormality detection conditions so as to be always satisfied; andthe disabling of the step (v) comprises rewriting the another abnormality detection condition so as to be always satisfied. 5. The method according to claim 2, wherein:the disabling of the step (i) comprises rewriting the one of the abnormality detection conditions so as to be satisfied more easily than that before the rewriting of the step (i); andthe disabling of the step (v) comprises rewriting the another abnormality detection condition so as to be satisfied more easily than that before the rewriting of the step (v). 6. The method according to claim 2, wherein in the step (v), the one of the abnormality detection conditions is higher in possibility of the cause of the decrease in the frequency of the abnormality detection than the another one of the abnormality detection conditions. 7. The method according to claim 1, wherein the step (iv) comprises:comparing the frequency of the abnormality detections in the step (iii) with the frequency of the abnormality detections before the method is performed; anddetermining if the disabled abnormality detection condition at that time is the cause of the decrease in the frequency of the abnormality detections based on a comparing result. 8. The method according to claim 1, wherein the disabling of the step (i) comprises rewriting the one of the abnormality detection conditions so as to be always satisfied. 9. The method according to claim 1, wherein the abnormality detection conditions form a plurality of hierarchic layers each comprising a plurality of conditional statements, the method further comprising:rewriting a program for determining whether or not the abnormality detection conditions are satisfied so as to add to a conditional statement of each hierarchic layer a statement, which sets a monitor flag to ON or OFF; andidentifying which hierarchic layer comprises the cause of the decrease in the frequency of the abnormality detections, wherein:the rewriting and the identifying are performed before the step (i). 10. The method according to claim 1, wherein the disabling of the step (i) comprises rewriting the one of the abnormality detection conditions so as to be satisfied more easily than that before the rewriting. 11. The method according to claim 1, wherein the step (iii) comprises turning on and off an ignition switch of vehicle the plurality of time. 12. The method according to claim 11, wherein the frequency of the abnormality detections for the certain device is defined as a value obtained by dividing an actual frequency of the abnormality detections for the certain device within a predetermined time period by number of times the ignition switch of the vehicle is turned on within the predetermined time period. 13. A method for improving frequency of abnormality detections comprising:after the method according to claim 1 is performed, rewriting an abnormality detection condition, which has been determined as the cause of the decrease in the frequency of the abnormality detections for the certain device, so as to be satisfied more easily than that before the rewriting. 14. A method for improving frequency of abnormality detections comprising:after the method according to claim 1 is performed, disabling an abnormality detection condition, which has been determined as the cause of the decrease in the frequency of the abnormality detections for the certain device. 15. The method according to claim 1, further comprising:when the cause of the decrease in the frequency of the abnormality detections for the certain device is found, transmitting the cause to a center. 16. A method for improving frequency of abnormality detections comprising:after the method according to claim 1 is performed, transmitting to a center an abnormality detection condition, which has been determined as the cause of the decrease in the frequency of the abnormality detections for the certain device; anddisabling the transmitted abnormality detection condition by the center, to temporarily increase the frequency of the diagnosis detections. 17. A method for improving frequency of abnormality detections comprising:after the method according to claim 1 is performed, transmitting to a center an abnormality detection condition, which has been determined as the cause of the decrease in the frequency of the abnormality detections for the certain device; andrewriting the transmitted abnormality detection condition by the center so that the rewritten abnormal detection condition is satisfied more easily than that before the rewriting, to thereby temporarily increase the frequency of the diagnosis detections. 18. The method according to claim 1, wherein an abnormality detection master ECU that monitors ECUs for controlling the vehicle performs the method. 19. The method according to claim 1, wherein:in the step (i), when the frequency of the abnormality detections is below the predetermined value, an abnormality detection master ECU instructs another ECU whose frequency of the abnormality detections is determined to be decreased to investigate the cause of the decrease in the frequency of the abnormality detections; andthe another ECU performs the remaining steps. 20. The method according to claim 1, wherein respective ECUs perform the method. 21. A method for diagnosing a vehicle, the method comprising:(a) while the vehicle is running, performing the method of claim 1; and(b) while the vehicle is running, detecting an abnormality of the certain device. 22. The method according to claim 21, wherein the steps (a) and (b) are performed alternatively. 23. The method according to claim 21, wherein the steps (a) and (b) are performed simultaneously. 24. An electronic control apparatus for a vehicle, the apparatus comprising:an abnormal detecting unit that performs an abnormality detection based on a plurality of abnormality detection conditions, to determine if a certain device mounted on the vehicle is in failure; andan abnormality cause investigating unit that investigates a cause of decrease in frequency of the abnormality detections for the certain device, wherein:when the frequency of the abnormality detections is below a predetermined value, the abnormality cause investigating unit disables one of the abnormality detection conditions,when the abnormality detection conditions except the disabled abnormality detection condition are satisfied, the abnormal detecting unit performs an abnormality detection for the certain device; andthe abnormality cause investigating unit determines if the disabled abnormality detection condition at that time is the cause of the decrease in the frequency of the abnormality detections, based on the frequency of the abnormality detections performed by the abnormal detecting unit. 25. A method for investigating a cause of decrease in frequency of abnormality detections for a certain device mounted on a vehicle, wherein when a plurality of abnormality detection conditions are satisfied, an abnormality detection for the certain device is performed to determine if the certain device is in failure, the method comprising:(i) when the frequency of the abnormality detections is below a predetermined value, disabling the abnormality detection conditions except one of the abnormality detection conditions;(ii) when the one of the abnormality detection conditions is satisfied, performing an abnormality detection for the certain device;(iii) repeating the step (ii) a plurality of times;(iv) determining if the one of the abnormality detection conditions at that time is the cause of the decrease in the frequency of the abnormality detections, based on frequency of the abnormality detections in the step (iii);(v) enabling the abnormality detection conditions other than the one of the abnormality detection conditions while disabling the one of the abnormality detection conditions; and(vi) when the others of the abnormality detection conditions except the disabled one of the abnormality detection conditions are satisfied, performing an abnormality detection for the certain device;(vii) repeating the step (vi) a plurality of times; and(viii) determining if the disabled one of the abnormality detection conditions at that time is the cause of the decrease in the frequency of the abnormality detections, based on frequency of the abnormality detections in the step (vi). 26. A method for investigating a cause of decrease in frequency of abnormality detections for a certain device mounted on a vehicle, wherein when a plurality of abnormality detection conditions are satisfied, an abnormality detection for the certain device is performed to determine if the certain device is in failure, the method comprising:(i) when the frequency of the abnormality detections for the certain device is below a first predetermined value, disabling the abnormality detection conditions except one of the abnormality detection conditions, wherein abnormality detection conditions for another device whose frequency of abnormality detections is below a second predetermined value contains the one of the abnormality detection conditions;(ii) when the one of the abnormality detection conditions except the disabled abnormality detection conditions is satisfied, performing an abnormality detection for the certain device;(iii) repeating the step (ii) a plurality of times; and(iv) determining if the one of the abnormality detection conditions at that time is the cause of the decrease in the frequency of the abnormality detections, based on frequency of the abnormality detections for the certain device in the step (iii).
062018477
claims
1. A T-box assembly for attachment to a core spray nozzle safe end in a boiling water nuclear reactor pressure vessel, the safe end including a first end and a second end and a bore extending from the first end to the second end of the safe end, said T-box assembly comprising: a T-box housing configured to engage the first end of the core spray nozzle safe end; a cruciform wedge configured to engage a surface of the bore of the core spray nozzle safe end; and a draw bolt coupled to said cruciform wedge, said cruciform wedge comprising: a core spray nozzle safe end comprising a first end, a second end, and a bore extending between said first and second ends; and a T-box assembly configured to couple to said safe end, said T-box assembly comprising a T-box housing configured to engage said first end of said core spray nozzle safe end, a cruciform wedge configured to engage a surface of said bore of said core spray nozzle safe end, and a draw bolt coupled to said cruciform wedge, said cruciform wedge comprising: 2. An assembly in accordance with claim 1 further comprising a T-box cover plate configured to couple to said T-box housing, said cover plate comprising a draw bolt opening configured to accept said draw bolt to couple said cruciform wedge to said T-box housing. 3. An assembly in accordance with claim 2 wherein said T-box housing comprises a first end, a second end, a third end, and a cover opening, said first and second ends configured to be in substantial alignment and to couple to a first and a second core spray header pipe respectively, said third end configured to be positioned in a bore of the core spray nozzle and engage the first end of the core spray nozzle safe end, and said cover opening configured to be in substantial alignment with said third end of said T-box housing and to receive said T-box cover plate. 4. An assembly in accordance with claim 3 wherein said third end of said T-box housing further comprises a plurality of positioning lugs configured to engage the core spray nozzle to center said T-box housing in the nozzle bore. 5. An assembly in accordance with claim 1 wherein said draw bolt is configured to extend through said bore of said central member of said cruciform wedge, said draw bolt comprising a first end and a second end, said first end comprising a cap portion configured to be larger than the diameter of said bore through said central member of said cruciform wedge, said second end configured to extend through said draw bolt opening in said T-box cover plate and to threadenly engage a draw bolt nut. 6. An assembly in accordance with claim 5 wherein said web members of said cruciform wedge are configured to be contoured to minimize flow resistance. 7. A core spray line assembly for a reactor pressure vessel in a nuclear reactor, said core spray line assembly comprising: 8. A core spray line assembly in accordance with claim 7 wherein said T-box assembly further comprises a T-box cover plate configured to couple to said T-box housing, said cover plate comprising a draw bolt opening configured to accept said draw bolt to couple said cruciform wedge to said T-box housing. 9. A core spray line assembly in accordance with claim 8 wherein said T-box housing comprises a first end, a second end, a third end, and a cover opening, said first and second ends configured to be in substantial alignment and to couple to a first and a second core spray header pipe respectively, said third end configured to be positioned in a bore of the core spray nozzle and engage said first end of said core spray nozzle safe end, and said cover opening configured to be in substantial alignment with said third end of said T-box housing and to receive said T-box cover plate. 10. A core spray line assembly in accordance with claim 9 wherein said third end of said T-box housing further comprises a plurality of positioning lugs configured to engage said core spray nozzle to center said T-box housing in aid nozzle bore. 11. A core spray line assembly in accordance with claim 7 wherein said draw bolt is configured to extend through said bore of said central member of said cruciform wedge, said draw bolt comprising a first end and a second end, said first end comprising a cap portion configured to be larger than the diameter of said bore through said central member of said cruciform wedge, said second end configured to extend through said draw bolt opening in said T-box cover plate and to threadenly engage a draw bolt nut. 12. A core spray line assembly in accordance with claim 11 wherein said web members of said cruciform wedge are configured to be contoured to minimize flow resistance.
claims
1. A procedure for the preparation of radioisotopes comprising a first step of electrodepositing a metallic isotope target to be irradiated on a target-holder element, a second step of irradiating said target, a third step of dissolving said target and a fourth step of purifying the radioisotope from the initial metallic isotope and from other possible radioactive and metallic impurities; said procedure being characterised in that said electrodeposition step comprises a dissolution operation in which the isotope to be irradiated is dissolved in a solution of HNO3 with concentration from 0.5 to 2.5 M, a pH buffering operation, and a recirculation operation, in which the solution obtained above is circulated at a rate of 0.5 to 3 ml/min within an electrolytic cell during the output of current within the cell itself; said isotope target to be irradiated being produced by electrodeposition in said electrolytic cell during said recirculation operation. 2. A procedure according to claim 1, characterised in that in the dissolution operation, the concentration of HNO3 is from to 2 to 2.5 M. 3. A procedure according to claim 1, characterised in that during the recirculation operation the solution is circulated at a rate from 1 to 2 ml/min. 4. A procedure according to claim 1, characterised in that said pH buffering operation is an alkalisation operation adapted to take the pH to a value from 5 to 13.5. 5. A procedure according to claim 1, characterised in that said current output during the recirculation operation has an intensity from 40 to 100 mA and a difference of potential from 2 to 3 V. 6. A procedure according to claim 1, characterised in that the electrodissolution step comprising a further recirculation operation in which a solution of HCl with concentration from 4 to 6 is circulated at a rate from 3 to 5 ml/min within the electrolytic cell during the output of current reversed with respect to that output during the electrodeposition step. 7. A procedure according to claim 1, characterised in that the metallic isotope to be irradiated is comprised in the group consisting of 60Ni, 61Ni, 64Ni, 110Cd. 8. A procedure according to claim 1, characterised in that the purification step comprises an operation of elution in an ion-exchange column by means of a concentration gradient HCl solution.
abstract
An equipment inspection support system, equipment inspection support method, and program including maintenance and inspection management unit selecting and transmitting to a terminal device inspection item contents stored in contents storage unit, receiving inspection result data transmitted from terminal device; timing determination unit determining status information acquisition timing by status information acquisition device based on inspection item contents transmitted to terminal device by maintenance and inspection management unit and the inspection result data transmitted from the terminal device; status information acquisition unit collecting status information acquired by the status information acquisition device according to status information acquisition timing determined by timing determination unit; result data control unit creating result data correspondence table associating the inspection result data received by maintenance and inspection management unit and status information collected by the status information acquisition unit; and inspection result storage unit storing inspection result data, status information and result data correspondence table.
abstract
Devices and methods for implementing selective, or asymmetric, attenuation of an x-ray beam. In one example, a filter is provided that is substantially in the form of a wedge where some portions of the filter are thicker, and thus provide greater attenuation, than other, thinner portions of the filter. The filter is situated between the target surface of the anode and the x-ray subject so that x-rays generated by the target pass through the filter before reaching the x-ray subject. Specifically, the filter is oriented so that the thicker portion of the filter receives the higher intensity portion of the x-ray beam, while the thinner portion of the filter receives the relatively lower intensity portion of the x-ray beam. Thus, the gain profile of the x-ray beam is flattened so that the intensity, or flux, of the x-ray beam is relatively uniform throughout a substantial portion of the beam profile.
abstract
A sample manipulator that utilizes electrostatic traveling waves to selectively displace one or more samples deposited on its face is disclosed. The sample manipulator enables an operator to perform a wide variety of processes upon the deposited samples. Also disclosed are strategies for separating two or more samples, focusing a sample, and passing a reagent through a sample, all conducted on the face of the sample manipulator.
summary
040574687
claims
1. A fuel element sub-assembly for a liquid metal cooled fast breeder nuclear reactor, the fuel element sub-assembly being elongate and having a base end region adapted for plugging into fuel assembly support means, and inertia damping means disposed at an upper end region of the sub-assembly, the inertia damping means comprising: a lower sleeve rigidly attached to the fuel element sub-assembly; a tubular spine rigidly and co-axially attached to the lower sleeve; an upper sleeve mounted co-axially on the tubular spine with a radial spacing therebetween and resiliently coupled to the lower sleeve, the upper sleeve having coolant flow ducts extending therethrough to the radial clearance. 2. A fuel element sub-assembly according to claim 1 wherein the upper sleeve is of massive steel neutron shielding. 3. A fuel element sub-assembly according to claim 1 wherein the resilient coupling of the upper and lower sleeves comprises an expandible bellows unit.
abstract
A system for low dose x-ray imaging provides for dynamic generation of an x-ray beam with specific shape, and dynamic tracking of a detector with said beam. The detector is rotatable, and translatable along two orthogonal axes, and may mount with a circular detector tray, the tray rotating around a rotation axis. Specific detector shapes include an elongated rectangular matrix, for example with additional detector cells near the rotation center to provide an increased area of continuous detection. Dynamic low-dose x-ray tomosynthesis or limited-angle tomographic imaging is enabled via simultaneous x-ray tube and detector motions during examination, such as fluoroscopic examination of a human body. Data acquired at multiple projection angles is input to a 3D image reconstruction algorithm that provides a refreshed 3D data set during continuing examination. The system may thus also automatically track a point in three-dimensional space, for example continuously locating the tip of a catheter.
055286593
claims
1. In an arrangement comprising source means for emitting photons and a three-dimensional target to be irradiated, a radiation flux distributor disposed between said source means and said three-dimensional target; wherein said source means comprises a two-dimensional isotropic radiation source plaque for transmitting broad-beam radiation from a two-dimensional area occupied by said two-dimensional isotropic radiation source plaque to said radiation flux distributor, and said radiation flux distributor reduces the number of photons emitted by said source means and travelling at angles other than desired angles to said three-dimensional target; and wherein said radiation flux distributor comprises wall means defining at least one radiation through-passage for permitting said photons to pass generally linearly therethrough, and said wall means attenuates the photons travelling from said source means to said three-dimensional target at angles other than the desired angles; whereby said radiation flux distributor substantially evenly distributes a three-dimensional flux of the photons throughout the three-dimensional target. defining a plurality of variables for the radiation flux polarizing grid, said plurality of variables including at least one of horizontal restriction angle, vertical restriction angle, distance from radiation source to grid front, distance from front of grid to back of grid, distance from polarizing restrictor grid back to product target face, density of product target grid material, grid tenth value thickness, product target dimensions, height of restrictor grid, vertical radiation source length, and horizontal radiation source length; setting a plane height; setting a distance into a product target point; setting a distance parallel to a product face target point; accumulating a dose rate at the target point; determining if any more distance parallel to the product face target points exists and, if so, returning to the step of setting a distance parallel to the product face target point, otherwise continuing to the next step; determining if any more distance into the product target points exists and, if so, returning to the step of setting a distance into the product target point, otherwise continuing to the next subsequent step; saving plane generated data; determining if any further planes exist and, if so, returning to the step of setting the plane height, otherwise modifying the radiation flux pattern of said radiation flux polarizing grid. defining said variables with infinitely small factors so there is an appearance of eliminating each restrictor of said radiation flux polarizing grid; setting a radiation source height point; setting a distance parallel to a radiation source face point; defining restrictor locations; determining the radiation paths which hit the restrictor locations and indicating an error message or, if there are no hits, moving to a next restrictor; determining if any further distance parallel to the radiation source face points exist and, if so, returning to said step of setting a distance parallel to the radiation source face point, otherwise continuing to the next step; determining if any further radiation source height points exist and, if so, returning to said step of setting a radiation source height point, otherwise continuing to the next subsequent step; multiplying said accumulated dose by an attenuation factor; and generating a flux pattern for at least one cell. setting said plane height with data from said at least one cell; reading distance parallel to product face cell point data; reading distance into product face cell point data; determining if any further distance into product face cell point data exist and, if so, returning to the step of reading distance into product face cell point data, otherwise continuing to the next subsequent step; determining if any further distance parallel to product face cell point data exist and, if so, returning to the step of reading distance parallel to product face cell point data, otherwise continuing to the next step; aligning said each cell point and said each target point; and generating a full flux pattern based on the positioning of said each cell point. setting a radiation source height point; setting a distance parallel to a radiation source face point; defining restrictor locations on the radiation flux polarizing grid; determining the radiation paths which hit the restrictor locations and multiplying an attenuation factor by the paths attenuation through the restrictor or, if there are no hits, moving to the next restrictor; determining if any further distance parallel to the radiation source face point exists and, if so, returning to said step of setting a distance parallel to radiation source face point, otherwise continuing to next step; multiplying said accumulated dose by an attenuation factor; and generating the flux pattern for at least one cell of said radiation flux polarizing grid. setting said plane height with data from said at least one cell using said radiation flux grid; reading distance parallel to product face cell point data; reading distance into product face cell point data; determining if any further distance into product face cell point data exist and, if so, returning to the step of reading distance into product face cell point data, otherwise continuing to the next subsequent step; determining if any further distance parallel to product face cell point data exist and, if so, returning to the step of reading distance parallel to product face cell point data, otherwise continuing to the next subsequent step; aligning each of said cell points and said target points; and generating a full flux pattern based on the positioning of said each of said cell points in a horizontal direction. setting said plane with cell data from selections based on the height of the restrictor; determining if any further planes with cell data exists and, if so, returning to said step of setting said plane with cell data, otherwise continuing to the next step; and generating a full flux pattern for said radiation source. setting said plane with cell data from selections based on the height of the restrictor including any overlap; determining if any further planes with cell data exist and, if so, returning to said step of setting said plane with cell data, otherwise continuing to the next step; and generating a full flux pattern for said radiation source by vertically integrating said planes. determining a distance between at least two vertical portions of said radiation flux polarizing grid; determining a thickness of one of said vertical portions of said radiation flux polarizing grid; determining a grid thickness of said radiation flux polarizing grid; selecting a material for fabricating said radiation flux polarizing grid.; calculating a centerline distance from a source plaque centerline to a grid centerline of said radiation flux polarizing grid; calculating a face distance from said grid centerline to a face of a target product selected for irradiation; selecting a product distance from said source plague centerline to a target product centerline; and producing said radiation flux polarizing grid having vertical and horizontal patterns with variable spacing, element thickness, and grid angles for polarizing radiation flux; said method further comprising the steps of: calculating the distance calculations based on ##EQU2## basing the restrictor plate attenuation on 10th. value thicknesses, wherein so that basing product attenuation on attenuation coefficients and buildup, wherein so that selecting said material from at least one of the following: lead, depleted uranium and tungsten. determining a distance between at least two vertical portions of said radiation flux polarizing grid; determining a thickness of one of said vertical portions of said radiation flux polarizing grid; determining a grid thickness of said radiation flux polarizing grid; selecting a material for fabricating said radiation flux polarizing grid; calculating a centerline distance from a source plaque centerline to a grid centerline of said radiation flux polarizing grid; calculating a face distance from said grid centerline to a face of a target product selected for irradiation; selecting a product distance from said source plaque centerline to a target product centerline; and producing said radiation flux polarizing grid having vertical and horizontal patterns with variable spacing, element thickness, and grid angles for polarizing radiation flux; said method further comprising the step of: wherein rads is a unit of absorbed dose in the product (100 ergs/gram), and curie is a measure of the amount of radioactivity (3.7.times.10.sup.10 disintegrations per second). selecting said material from at least one of the following: lead, depleted uranium and tungsten. 2. In the arrangement of claim 1, wherein said source means comprises a stationary two-dimensional isotropic radiation source plaque. 3. In the arrangement of claim 1, wherein said wall means comprises a grid defining a plurality of radiation through-passage cells. 4. In the arrangement of claim 3, wherein said cells are laterally aligned in side-by-side relation. 5. In the arrangement of claim 4, wherein said wall means comprise curvilinear walls. 6. In the arrangement of claim 3, wherein said wall means comprises planar walls. 7. In the arrangement of claim 6, wherein said planar walls define cells of polygonal cross-sectional configuration. 8. In the arrangement of claim 7, wherein said cells are of rectangular cross-sectional configuration. 9. In the arrangement of claim 7, wherein said cells are of a cross-sectional configuration having at least three sides. 10. In the arrangement of claim 9, wherein said cells are of a honeycomb cross-sectional configuration. 11. A method for modifying radiation flux utilizing a radiation flux polarizing grid placed between a radiation source and a product target and characterized by a radiation flux pattern, said method comprising the steps of: 12. The method for modifying radiation flux of claim 11, further comprising the steps of: 13. The method for modifying radiation flux of claim 12, further comprising the steps of: 14. The method of claim 11, further comprising the steps of: 15. The method for modifying radiation flux of claim 14, further comprising the steps of: 16. The method for modifying radiation flux of claim 15, further comprising the steps of: 17. The method for modifying radiation flux of claim 15 or 13, further comprising the steps of: 18. A method for producing a radiation flux polarizing grid having vertical and horizontal portions, said method comprising the steps of: 19. The method for producing the radiation flux polarizing grid of claim 18, further comprising the steps of: 20. A method of producing the radiation flux polarizing grid having vertical and horizontal portions, said method comprising the steps of: 21. The method for producing the radiation flux polarizing grid of claim 20, further comprising the steps of:
062884018
abstract
A field emission source produces a charged particle beam that can be electrostatically aligned with the optical axis. Quadrupole (or higher multipole) centering electrodes approximately centered on the optical axis are placed between the emitter and the extraction electrode. By applying centering potentials of equal amplitude and opposite polarity on opposing elements of the centering electrodes, an electrostatic deflection field is created near the optical axis. The electrostatic deflection field aligns the charged particle beam with the optical axis thereby obviating the need to mechanically align the emitter with the optical axis. A second set of centering electrodes may be used to deflect the charged particle beam back and to ensure that the charged particle beam is parallel with the optical axis. Further, the extraction electrode may be split into a quadrupole arrangement with the extraction and centering potentials superimposed.
summary
description
This is the U.S. National Phase application of PCT/JP2013/080650, filed Nov. 13, 2013, which claims priority to Japanese Patent Application No. 2012-257209, filed Nov. 26, 2012, the disclosures of each of these applications being incorporated herein by reference in their entireties for all purposes. The present invention relates to a scintillator panel which constitutes a radiation detector that is used for a medical diagnostic apparatus, a nondestructive inspection instrument and the like. X-ray images using films have been widely used heretofore in medical settings. However, the X-ray image using a film provides analog image information, and in recent years, radiation detectors capable of outputting digital images, such as computed radiography (CR) and flat panel radiation detectors (flat panel detectors: FPDs), have been developed. In an X-ray CT apparatus, a radiation detector that detects the radiation intensity is provided at a position opposite to an X-ray radiation source. Radiation detectors are classified broadly into direct conversion-type detectors and indirect conversion-type detectors. In the indirect conversion-type detector, a scintillator panel is used for converting a radiation into visible light. The scintillator panel contains an X-ray phosphor such as cesium iodide (CsI), the X-ray phosphor emits visible light in response to an applied X-ray, and the emitted light is converted into an electric signal by a TFT or a CCD to thereby detect the X-ray intensity. However, a radiation detector having such a configuration has a problem of low S/N ratio. This is attributable to scattering of visible light by the phosphor itself when the X-ray phosphor emits light, etc. For reducing influences of the scattering of light, methods of filling a phosphor in cells divided by a barrier rib have been proposed (Patent Documents 1 to 4). However, the method which has been heretofore used as a method for forming the barrier rib is a method of etching a silicon wafer, or a method in which a glass paste, a mixture of a pigment or a ceramic powder and a low-melting-point glass powder, is pattern-printed in multiple layers using a screen printing method, and then fired to form a barrier rib pattern. In the method of etching a silicon wafer, the size of a scintillator panel that can be formed is restricted by the size of a silicon wafer, and a scintillator panel having a large size of, for example, 500 mm square cannot be obtained. A plurality of small-size panels should be arranged for making a large-size panel, but production of a scintillator panel in this way is difficult in terms of accuracy, and it is difficult to prepare a large-area scintillator panel. Further, there is a disadvantage in terms of cost because an expensive single-crystal silicon wafer is used. In the multi-layer screen printing method using a glass paste, processing of high accuracy is difficult due to a dimensional variation of a screen printing plate, etc. Further, when multi-layer screen printing is performed, a definite barrier rib width is required for enhancing the strength of a barrier rib pattern in order to prevent destructive defects of the barrier rib pattern. When the width of the barrier rib pattern increases, a space between barrier ribs becomes relatively small, so that a volume available for filling a phosphor decreases, and the filling amount is not uniform. Therefore, a scintillator panel obtained in this method has the disadvantage that the amount of an X-ray phosphor is so small that the luminescence is reduced, and luminous unevenness occurs. This makes it difficult to photograph sharp images in photographing in a low radiation dose. That is, for preparing a scintillator panel which has high luminous efficiency and provides sharp images, a technique for processing a barrier rib, which is capable of processing the barrier rib with high accuracy over a large area and narrowing the width of the barrier rib, is required. Patent Document 1: Japanese Patent Laid-open Publication No. 5-60871 Patent Document 2: Japanese Patent Laid-open Publication No. 5-188148 Patent Document 3: Japanese Patent Laid-open Publication No. 2011-7552 Patent Document 4: Japanese Patent Laid-open Publication No. 2011-21924 An object of the present invention is to provide a scintillator panel which is provided with a narrow barrier rib with high accuracy in a large area, has a high light emission luminance, and provides sharp images. The above-described object is achieved by any of the following technical means. (1) A scintillator panel including: a plate-like substrate; a grid-like barrier rib provided on the substrate; and a scintillator layer containing a phosphor filled in cells divided by the barrier rib, wherein the barrier rib is formed of a material which is mainly composed of a low-melting-point glass, and the substrate is formed of a material which is mainly composed of a ceramic selected from the group consisting of alumina, aluminum nitride, mullite and steatite.(2) The scintillator panel according to (1), wherein the low-melting-point glass contains 2 to 20% by mass of an alkali metal oxide.(3) A method for manufacturing a scintillator panel including a plate-like substrate formed of a material which is mainly composed of a ceramic selected from the group consisting of alumina, aluminum nitride, mullite and steatite, a grid-like barrier rib provided on the substrate, and a scintillator layer containing a phosphor filled in cells divided by the barrier rib, the method including: a step of forming a photosensitive paste coating film by applying onto a substrate a photosensitive paste containing a low-melting-point glass powder and a photosensitive organic component; a step of exposing the obtained photosensitive paste coating film to light through a photomask having a predetermined opening; a development step of dissolving and removing a part of the exposed photosensitive paste coating film which is soluble in a developer to obtain a photosensitive paste coating film pattern; a firing step of heating the photosensitive paste coating film pattern after development to 500 to 700° C. to remove the organic component and soften and sinter the low-melting-point glass and thereby forming a barrier rib; and a step of filling a phosphor in cells divided by the barrier rib. According to the present invention, a narrow barrier rib can be formed with high accuracy in a large area, so that there can be provided a scintillator panel which has a large size and allows sharp images to be photographed, and a method for manufacturing the scintillator panel. The preferred configurations of a scintillator panel of the present invention and a radiation detector using the scintillator panel will be described below with reference to the drawings, but the present invention is not limited thereto. FIG. 1 is a sectional view schematically showing the configuration of a radiation detector including a scintillator panel of an embodiment of the present invention. FIG. 2 is a perspective view schematically showing the configuration of the scintillator panel of an embodiment of the present invention. A radiation detector 1 includes a scintillator panel 2, an output substrate 3 and a power supply portion 12. The scintillator panel 2 includes a scintillator layer 7 containing a phosphor, and absorbs energy of an incident radiation such as an X-ray to emit an electromagnetic wave having a wavelength ranging from 300 to 800 nm, i.e. an electromagnetic wave (light) which ranges from ultraviolet light to infrared light with visible light at the center. The scintillator panel 2 includes a substrate 4, a grid-like barrier rib 6 formed on the substrate and intended for dividing cells, and the scintillator layer 7 containing a phosphor filled in a space formed by the barrier rib. By further forming a buffer layer 5 between the substrate 1 and the barrier rib 6, the barrier rib 6 can be stably formed. By increasing the reflectivity of the buffer layer 5 to visible light, light emitted at the scintillator layer 7 can be made to reach a photoelectric conversion layer 9 on the output substrate 3 efficiently. The output substrate 3 has on a substrate 11 the photoelectric conversion layer 9 in which photosensors and pixels composed of TFT are two-dimensionally formed, and an output layer 10. When the light exit surface of the scintillator panel 2 and the photoelectric conversion layer 9 of the output substrate 3 are bonded or adhered to each other with a diaphragm layer 8, which is composed of a polyimide resin or the like, interposed therebetween, the radiation detector 1 is formed. Light emitted at the scintillator layer 7 reaches the photoelectric conversion layer 9, undergoes photoelectric conversion at the photoelectric conversion layer 9, and exits. In the scintillator panel of an embodiment of the present invention, each cell is divided by a barrier rib, and therefore when the size and pitch of pixels of a photoelectric conversion element arranged in a grid-like shape are made coincident with the size and pitch of cells of the scintillator panel, scattered light can be prevented from reaching a neighboring cell even if light is scattered by a phosphor. In this way, blurring of images resulting from light scattering can be reduced, so that high-accuracy photographing is possible. A substrate to be used for the scintillator panel of the present invention should preferably be formed of a material which is mainly composed of a ceramic selected from the group consisting of alumina, aluminum nitride, mullite and steatite. Such a substrate has high reflecting properties, a high strength, high flatness, high X-ray permeability and high heat resistance, and also has a thermal expansion coefficient adequate for a substrate for forming a barrier rib by a photosensitive paste method. The phrase “mainly composed of a ceramic” means that a ceramic constitutes 20 to 100% by mass, more preferably 50 to 100% by mass, of a material that forms the substrate. If the content of the ceramic is less than 20% by mass, the reflecting properties of the substrate are deteriorated. Here, the mullite refers to a material having a composition of 3Al2O3.2SiO2 to 2Al2O3—SiO2. The steatite refers to a fired product of talc (3MgO.4SiO2.H2O) as well as a material which is mainly composed of MgO.SiO2. The reflectivity of the substrate to light having a wavelength of 550 nm is preferably 20% or more, more preferably 40% or more, further preferably 70% or more for making it possible to photograph sharper images by increasing the light emission luminance of the scintillator panel. The material that forms the substrate may contain other ceramic materials and other components such as a borosilicate glass in an amount of less than 80% by mass in addition to a ceramic selected from the group consisting of alumina, aluminum nitride, mullite and steatite. For example, a substrate composed of zirconia-reinforced alumina with 5 to 50% by mass of zirconia added to 50 to 95% by mass of alumina, or a glass ceramic substrate composed of a mixture of 20 to 80% by mass of alumina and 20 to 80% by mass of a glass powder can be suitably used. The glass powder as a raw material of the glass ceramic substrate is preferably an oxide glass such as a borosilicate glass, a borate glass, a silicate glass, a phosphate glass, a lead borate glass, a lead borosilicate glass or a bismuth silicate glass for controlling the reflecting properties, colorability, thermal expansion coefficient or panel strength of the glass ceramic substrate. The glass ceramic substrate can be prepared by firing a green sheet composed of a ceramic fine powder, a glass powder and a binder. The glass ceramic substrate may be obtained by firing a single-layer green sheet, but a laminated glass ceramic substrate prepared by laminating a plurality of green sheets and firing the laminate is preferable because reflecting properties are improved. A substrate to be used for the scintillator panel of the present invention is preferably a polycrystalline substance produced by firing a raw material containing, as a main component in inorganic components, a fine powder of a ceramic selected from the group consisting of alumina, aluminum nitride, mullite and steatite. When the substrate is a polycrystalline substance, light is easily scattered, so that the reflectivity of the substrate is improved. The chemical composition of the substrate can be conveniently confirmed by quantitatively analyzing the contained element species by fluorescent X-ray analysis. More specifically, the content of each component as a polycrystalline substance can be determined by using methods such as ICP emission spectroscopic analysis, atomic absorption spectrometry and powder X-ray diffractometry in combination. The thermal expansion coefficient of the substrate is preferably 40 to 90×10−7/K for suppressing warpage that may cause breakage of a panel, crosstalk of emitted light and so on. Further, it is more preferable that the thermal expansion coefficient of the substrate is made larger than the thermal expansion coefficient of a low-melting-point glass that forms a barrier rib because the scintillator panel and the output substrate can be adhesively bonded to each other to reduce crosstalk. The thickness of the substrate is preferably 0.1 to 2.0 mm, more preferably 0.1 to 0.7 mm for ensuring that the substrate has a sufficient strength, a sufficient reflectivity and sufficient X-ray permeability. If the thickness of the substrate is less than 0.1 mm, the reflectivity of the substrate easily decreases, and the strength of the substrate decreases, so that breakage of the scintillator panel easily occurs. If the thickness of the substrate is more than 2.0 mm, the X-ray permeability decreases. A barrier rib is formed on the substrate, and it is preferable that the barrier rib is formed of a glass material in terms of durability and heat resistance. In the scintillator panel of an embodiment of the present invention, the barrier rib is formed of a material which is mainly composed of a low-melting-point glass. The material which is mainly composed of a low-melting-point glass has a proper softening temperature, and is therefore suitable for forming a narrow barrier rib with high accuracy in a large area using a photosensitive paste method. Here, the low-melting-point glass refers to a glass having a softening temperature of 700° C. or lower. The phrase “mainly composed of a low-melting-point glass” means that a low-melting-point glass powder constitutes 50 to 100% by mass of a material that forms the barrier rib. If the low-melting-point glass is not a main component, the strength of the barrier rib decreases. A method for manufacturing a scintillator panel according to the present invention includes a step of forming a photosensitive paste coating film by applying a photosensitive paste containing a low-melting-point glass powder and a photosensitive organic component onto a substrate formed of a material which is mainly composed of a ceramic selected from the group consisting of alumina, aluminum nitride, mullite and steatite; an exposure step of exposing the obtained photosensitive paste coating film to light through a photomask having a predetermined opening; a development step of dissolving and removing a part of the exposed photosensitive paste coating film which is soluble in a developer to obtain a photosensitive paste coating film pattern; and a firing step of heating the photosensitive paste coating film pattern after development to a high temperature to remove the organic component and soften and sinter the low-melting-point glass and thereby forming a barrier rib. In the exposure step, a necessary part of the photosensitive paste coating film is photocured, or an unnecessary part of the photosensitive paste coating film is photodecomposed by exposure to add a contrast of dissolution of the photosensitive paste coating film in a developer. In the development step, an unnecessary part of the exposed photosensitive paste coating film is removed with a developer, so that a photosensitive paste coating film pattern in which only a necessary part of the coating film remains is obtained. In the firing step, the obtained photosensitive paste coating film pattern is fired at a temperature of preferably 500 to 700° C., more preferably 500 to 650° C. When the photosensitive paste coating film pattern is fired at a temperature in the above-mentioned range, the organic component is decomposed and distilled away, and the low-melting-point glass powder is softened and sintered to form a barrier rib containing a low-melting-point glass. For completely removing the organic component, the firing temperature is preferably 500° C. or higher. If the firing temperature is higher than 700° C., deformation of the substrate in the firing step increases, and therefore the firing temperature is preferably 700° C. or lower. Preferably, the photosensitive paste to be used in the method for manufacturing a scintillator panel according to the present invention is mainly composed of a low-melting-point glass. The phrase “mainly composed of a low-melting-point glass” means that a low-melting-point glass powder constitutes 50 to 100% by mass of an inorganic component in the photosensitive paste. By using such a photosensitive paste, a barrier rib formed of a material which is mainly composed of a low-melting-point glass can be formed. The method for manufacturing a scintillator panel according to the present invention is capable of processing with high accuracy as compared to a processing method in which a glass paste is printed by laminating by multi-layer screen printing and then fired. The photosensitive paste is formed of an organic component containing a photosensitive organic component, and an inorganic powder containing a low-melting-point glass powder. The organic component is required in a definite amount for forming a photosensitive paste coating film pattern before firing, but if the amount of the organic component is excessively large, the amount of substances removed in the firing step increases, so that the shrinkage rate after firing becomes large, and therefore pattern defects easily occurs in the firing step. On the other hand, when the amount of the organic component is excessively small, miscibility and dispersibility of inorganic fine particles in the paste are deteriorated, so that not only defects easily occur during firing, but also coatability of the paste is deteriorated due to an increase in viscosity of the paste, and further the stability of the paste is adversely affected. Thus, the content of the inorganic powder in the photosensitive paste is preferably 30 to 80% by mass, more preferably 40 to 70% by mass. The content of the low-melting-point glass powder is preferably 50 to 100% by mass based on the total of the inorganic powder. For ensuring that in the firing step, the organic component is almost completely removed and the barrier rib obtained has a definite strength, it is preferable to use a glass powder composed of a low-melting-point glass having a softening temperature of 480° C. or higher. If the softening temperature is lower than 480° C., the low-melting-point glass is softened before the organic component is sufficiently removed during firing, so that residues of the organic component are captured in the glass. In this case, the organic component may be gradually released later to deteriorate product quality. Further, residues of the organic component captured in the glass become a cause of coloring of the glass. When a low-melting-point glass powder having a softening temperature of 480° C. or higher is used and firing is performed at a temperature of 500° C. or higher, the organic component can be completely removed. Since the firing temperature in the firing step is preferably 500 to 700° C., more preferably 500 to 650° C. as described above, the softening temperature of the low-melting-point glass is preferably 480 to 700° C., more preferably 480 to 640° C., further preferably 480 to 620° C. The softening temperature is determined by extrapolating a heat absorption completion temperature at an endothermic peak by a tangent method from a DTA curve obtained by measuring a sample using a differential thermal analyzer (DTA, “Differential Type Differential Thermal Balance TG8120” manufactured by Rigaku Corporation). Specifically, an inorganic powder as a measurement sample is measured by elevating the temperature at 20° C./minute from room temperature with an alumina powder as a standard sample using a differential thermal analyzer, thereby obtaining a DTA curve. A softening point Ts determined by extrapolating a heat absorption completion temperature at an endothermic peak by a tangent method from the obtained DTA curve is defined as a softening temperature. The thermal expansion coefficient of the low-melting-point glass is preferably 40 to 90×10−7/K. If the thermal expansion coefficient is more than 90×10−7/K when a photosensitive paste coating film containing a low-melting-point glass is formed on a substrate and fired, the panel is significantly warped, and therefore construction as a radiation detector is difficult. In a radiation detector with warpage occurring in a panel, it is difficult to detect high-definition images because crosstalk of emitted light occurs in the panel plane, or variations occur in detection sensitivity of an amount of emitted light. If the thermal expansion coefficient is less than 40×10−7/K, the softening temperature of the low-melting-point glass cannot be sufficiently lowered. For obtaining a low-melting-point glass, a metal oxide selected from lead oxide, bismuth oxide, zinc oxide and alkali metal oxides, which are materials effective for lowering the melting point of glass, can be used. Particularly, it is preferable to adjust the softening temperature of glass using an alkali metal oxide. Generally the alkali metal refers to lithium, sodium, potassium, rubidium and cesium, but the alkali metal oxide in the present invention refers to a metal oxide selected from the group consisting of lithium oxide, sodium oxide and potassium oxide. The content X of an alkali metal oxide (M2O) in the low-melting-point glass is preferably 2 to 20% by mass. If the content of the alkali metal oxide is less than 2% by mass, the softening temperature becomes high, and therefore it is preferred to perform the firing step at a high temperature. Accordingly, it is likely that the scintillator panel obtained is distorted or defects occur in the barrier rib due to deformation of the substrate in the firing step, and therefore the content of less than 2% by mass is not suitable. If the content of the alkali metal oxide is more than 20% by mass, the viscosity of glass decreases too much in the firing step. Accordingly, the shape of the barrier rib obtained is easily distorted. Further, the porosity of the barrier rib obtained becomes excessively small, leading to a decrease in light emission luminance of the scintillator panel obtained. Further, it is preferable that the low-melting-point glass contains 3 to 10% by mass of zinc oxide in addition to the alkali metal oxide for adjusting the viscosity of glass at a high temperature. If the content of zinc oxide is 3% by mass or less, the viscosity of glass at a high temperature becomes high, and if the content of zinc oxide is 10% by mass or more, the cost of glass tends to increase. Further, by including, in addition to the alkali metal oxide and zinc oxide, silicon oxide, boron oxide, aluminum oxide, an oxide of an alkali earth metal or the like in the low-melting-point glass, the stability, crystallinity, transparency, refractive index, thermal expansion characteristic and the like of the low-melting-point glass can be controlled. As a composition of the low-melting-point glass, the composition range shown below is preferable because a low-melting-point glass having a viscosity characteristic suitable for the present invention can be prepared. Alkali metal oxide: 2 to 20% by mass Zinc oxide: 3 to 10% by mass Silicon oxide: 20 to 40% by mass Boron oxide: 25 to 40% by mass Aluminum oxide: 10 to 30% by mass Alkali earth metal oxide: 5 to 15% by mass Here, the alkali earth metal refers to one or more metals selected from the group consisting of magnesium, calcium, barium and strontium. The particle diameter of the low-melting-point glass powder can be evaluated using a particle size distribution analyzer (“MT 3300” manufactured by NIKKISO CO., LTD.). As a measurement method, an inorganic powder is charged in a sample chamber filled with water, and subjected to an ultrasonic treatment for 300 seconds, followed by performing a measurement. The 50% volume average particle diameter (D50) is preferably in a range of 1.0 to 4.0 μm as a particle diameter of the low-melting-point glass powder. If the D50 is less than 1.0 μm, agglomeration of particles becomes strong, so that it becomes difficult to achieve uniform dispersibility, leading to unstable fluidity of a paste. In this case, thickness uniformity when a paste is applied is deteriorated. If the D50 is more than 4.0 μm, the surface unevenness of a sintered body obtained increases, so that a pattern tends to be broken in a subsequent step. The photosensitive paste to be used in the method for manufacturing a scintillator panel according to the present invention may contain as a filler a high-melting-point glass that is not softened at 700° C., or ceramic particles such as those of silicon oxide, cordierite, mullite or feldspar in addition to the above-described low-melting-point glass powder. The filler, when used together with the low-melting-point glass powder, has an effect of controlling the shrinkage rate after firing of a paste composition and retaining the shape of the barrier rib formed. However, if the ratio of the filler to the total of the inorganic powder is more than 50% by mass, sintering of the low-melting-point glass powder is hindered to cause a problem such as a reduction in strength of the barrier rib, and therefore the ratio of more than 50% by mass is not preferable. Preferably, the filler has a D50 of 0.5 to 4.0 μm for the same reason as that described for the low-melting-point glass powder. The D50 of the filler can be evaluated by a method similar to that for the low-melting-point glass powder. In the photosensitive paste, the refractive index n1 of the low-melting-point glass powder or the filler and the average refractive index n2 of the photosensitive organic component satisfy preferably −0.1<n1−n2<0.1, more preferably −0.01 n1−n2≦0.01, further preferably −0.005≦n1−n2≦0.005. By satisfying the above-described requirement, light scattering at the interface between the low-melting-point glass powder or the filler and the photosensitive organic component is suppressed in the exposure step, so that pattern formation can be performed with high accuracy. By adjusting the compounding ratio of oxides that form the low-melting-point glass powder, a low-melting-point glass powder having both a preferable heat characteristic and a preferable refractive index can be obtained. The refractive index of the low-melting-point glass powder or the filler can be measured using a Becke line detection method. A refractive index at 25° C. and at a wavelength of 436 nm (g-ray) was defined as the refractive index of the low-melting-point glass powder or the filler. The average refractive index of the photosensitive organic component can be determined by measuring a coating film composed of a photosensitive organic component by ellipsometry. A refractive index at 25° C. and at a wavelength of 436 nm (g-ray) was defined as the average refractive index of the photosensitive organic component. When the photosensitive paste includes a photosensitive organic component as an organic component, it can be pattern-processed by the photosensitive paste method described above. By using a photosensitive monomer, a photosensitive oligomer, a photosensitive polymer, a photo-polymerization initiator or the like as the photosensitive organic component, reactivity can be controlled. Here, the photosensitivity in the photosensitive monomer, the photosensitive oligomer and the photosensitive polymer means that when the paste is irradiated with active light rays, the photosensitive monomer, the photosensitive oligomer or the photosensitive polymer undergoes a reaction of photo-crosslinking, photopolymerization or the like to change the chemical structure. The photosensitive monomer is a compound having an active carbon-carbon double bond, and monofunctional compounds and polyfunctional compounds having a vinyl group, an acryloyl group, a methacryloyl group or an acrylamide group as a functional group are preferably used. Particularly, a photosensitive paste including in an organic component 10 to 80% by mass of a compound selected from polyfunctional acrylate compounds and polyfunctional methacrylate compounds is preferable in that the crosslinking density during curing is increased by a photoreaction to improve pattern formability. As the polyfunctional acrylate compounds and polyfunctional methacrylate compounds, a variety of kinds of compounds have been developed, and an appropriate one can be selected from those compounds in consideration of reactivity, a refractive index and the like. As the photosensitive oligomer and the photosensitive polymer, oligomers and polymers having an active carbon-carbon double bond are preferably used. The photosensitive oligomer and the photosensitive polymer are obtained by, for example, copolymerizing a carboxyl group-containing monomer such as acrylic acid, methacrylic acid, itaconic acid, crotonic acid, maleic acid, fumaric acid, 3-butenoic acid or an acid anhydride thereof, and a monomer such as a methacrylic acid ester, an acrylic acid ester, styrene, acrylonitrile, vinyl acetate or 2-hydroxyethyl acrylate. As a method for introducing an active carbon-carbon double bond into an oligomer or a polymer, a method can be used in which acrylic acid chloride, methacrylic acid chloride or acryl chloride, an ethylenically unsaturated compound having a glycidyl group or an isocyanate group, or a carboxylic acid such as maleic acid is reacted with a mercapto group, an amino group, a hydroxyl group or a carboxyl group in an oligomer or a polymer, etc. By using as the photosensitive monomer or the photosensitive oligomer a monomer or oligomer having a urethane structure, a photosensitive paste insusceptible to pattern defects in the firing step can be obtained. Pattern defects can be suppressed because rapid shrinkage is hard to occur in the process of sintering of a glass powder in the later stage of the firing step due to use of a low-melting-point glass powder as the glass powder. In addition, when a compound having a urethane structure is used for the organic component, stress is relaxed in the process of decomposition and distillation of the organic component in the early stage of the firing step, so that pattern defects are hard to occur. Owing to both the effects described above, pattern defects can be suppressed over a wide temperature range. The photo-polymerization initiator is a compound which generates radicals when irradiated with an active light source. Specific examples thereof include benzophenone, methyl ortho-benzoylbenzoate, 4,4-bis(dimethylamino)benzophenone, 4,4-bis(diethylamino)benzophenone, 4,4-dichlorobenzophenone, 4-benzoyl-4-methyl diphenyl ketone, dibenzyl ketone, fluorenone, 2,2-dimethoxy-2-phenylacetophenone, 2-hydroxy-2-methylpropiophenone, thioxanthone, 2-methylthioxanthone, 2-chlorothioxanthone, 2-isopropylthioxanthone, diethylthioxanthone, benzyl, benzyl methoxyethyl acetal, benzoin, benzoin methyl ether, benzoin butyl ether, anthraquinone, 2-t-butylanthraquinone, anthrone, benzanthrone, dibenzosuberone, methylene anthrone, 4-azidobenzalacetophenone, 2,6-bis(p-azidobenzylidene)cyclohexanone, 2,6-bis(p-azidobenzylidene)-4-methylcyclohexanone, 1-phenyl-1,2-butadione-2-(O-methoxycarbonyl)oxime, 1-phenyl-1,2-propanedione-2-(O-ethoxycarbonyl)oxime, 1,3-diphenylpropanetrione-2-(O-ethoxycarbonyl)oxime, 1-phenyl-3-ethoxypropanetrione-2-(O-benzoyl)oxime, Michler ketone, 2-methyl-1-[4-(methylthio)phenyl]-2-morphorino-1-propanone, 2-benzyl-2-dimethylamino-1-(4-morpholinophenyl)butanone-1, naphthalenesulfonyl chloride, quinolinesulfonyl chloride, N-phenylthioacridone, benzothiazole disulfide, triphenylphosphine, benzoin peroxide and eosine, and combinations of a photo-reductive pigments such as methylene blue and reducing agents such as ascorbic acid and triethanolamine. These compounds may be used in combination of two or more thereof. The photosensitive paste may contain as a binder a copolymerized polymer having a carboxyl group. The copolymer having a carboxyl group is obtained by, for example, selecting a carboxyl group-containing monomer such as acrylic acid, methacrylic acid, itaconic acid, crotonic acid, maleic acid, fumaric acid, 3-butenoic acid or an acid anhydride thereof and other monomers such as a methacrylic acid ester, an acrylic acid ester, styrene, acrylonitrile, vinyl acetate or 2-hydroxyethyl acrylate, and copolymerizing the monomers using an initiator such as azobisisobutyronitrile. As the copolymer having a carboxyl group, a copolymer having an acrylic acid ester or a methacrylic acid ester and an acrylic acid or a methacrylic acid as copolymerization components is preferably used because the thermal decomposition temperature during firing is low. The photosensitive paste is a paste excellent in solubility in an alkali aqueous solution when it contains a copolymerized polymer having a carboxyl group. The acid value of the copolymer having a carboxyl group is preferably 50 to 150 mg KOH/g. When the acid value is 150 mg KOH/g or less, the allowable range of development can be widened. When the acid value is 50 mg KOH/g or more, solubility of an unexposed part in a developer is not reduced. Therefore, it is not necessary to increase the concentration of a developer, and peeling of an exposed part is prevented, so that a high-definition pattern can be obtained. Further, it is also preferable that the copolymer having a carboxyl group has an ethylenically unsaturated group on a side chain. Examples of the ethylenically unsaturated group include an acryloyl group, a methacryloyl group, a vinyl group and an allyl group. The photosensitive paste is prepared by adding an organic solvent and a binder as required to a low-melting-point glass powder and a photosensitive organic component composed of a photosensitive monomer, a photosensitive oligomer, a photosensitive polymer, a photo-polymerization initiator or the like, and compounding various components so as to achieve a predetermined composition, followed by uniformly mixing and dispersing the mixture using a three-roll roller or a kneader. The viscosity of the photosensitive paste can be appropriately adjusted by the added ratios of an inorganic powder, a thickener, an organic solvent, a polymerization inhibitor, a plasticizer, a precipitation preventive agent or the like, and is preferably in a range of 2 to 200 Pa·s. For example, when the photosensitive paste is applied to the substrate by a spin coating method, a viscosity of 2 to 5 Pa·s is preferable. When the photosensitive paste is applied to the substrate by a screen printing method to achieve a film thickness of 10 to 40 μm in one application, a viscosity of 50 to 200 Pa·s is preferable. When a blade coater method, a die coater method or the like is used, a viscosity of 10 to 50 Pa·s is preferable. By applying the photosensitive paste thus obtained onto the substrate, forming a desired pattern by a photolithography method and performing firing, a barrier rib can be formed. An example of manufacturing a barrier rib by the photolithography method using the above-described photosensitive paste will be described, but the present invention is not limited thereto. The photosensitive paste is applied onto the whole or part of the surface of the substrate to form a photosensitive paste coating film. As an application method, a screen printing method, or a method using a bar coater, a roll coater, a die coater or a blade coater, or the like can be used. The coating thickness can be adjusted by selecting the number of applications, a mesh of the screen, and a viscosity of the paste. Subsequently, an exposure step is performed. Generally, exposure is performed through a photomask as in usual photolithography. Alternatively, a method of directly making a drawing by laser light, etc. without using a photomask may be used. As an exposure device, a proximity exposure machine or the like can be used. When exposure of a large area is performed, a large area can be exposed with an exposure machine having a small exposure area by performing exposure while carrying out conveyance after applying the photosensitive paste onto the substrate. Examples of the active light ray used at this time include a near infrared ray, a visible light ray and an ultraviolet ray. Among them, the ultraviolet ray is most preferable, and as a light source thereof, for example, a low-pressure mercury lamp, a high-pressure mercury lamp, an ultra-high pressure mercury lamp, a halogen lamp, a germicidal lamp or the like can be used. Among them, the ultra-high pressure mercury lamp is preferred. Exposure conditions vary depending on the coating thickness, and usually exposure is performed for 0.01 to 30 minutes using an ultra-high pressure mercury lamp with a power of 1 to 100 mW/cm2. After exposure, development is performed using a difference in solubility in a developer between an exposed part and an unexposed part of the photosensitive paste coating film, thereby obtaining a desired grid-shaped photosensitive paste coating film pattern. Development is performed using a dipping method, a spray method or a brush method. For the developer, a solvent capable of dissolving the organic component in the paste can be used. Preferably the developer is mainly composed of water. When a compound having an acidic group such as a carboxyl group exists in the paste, development can be performed with an alkali aqueous solution. As the alkali aqueous solution, an inorganic alkali aqueous solution such as that of sodium hydroxide, sodium carbonate or calcium hydroxide can be used, but use of an organic alkali aqueous solution is more preferable because an alkali component is easily removed during firing. Specific examples of the organic alkali include tetramethylammonium hydroxide, trimethylbenzylammonium hydroxide, monoethanolamine and diethanolamine. The concentration of the alkali aqueous solution is preferably 0.05 to 5% by mass, more preferably 0.1 to 1% by mass. If the alkali concentration is excessively low, a soluble part may not be removed, and if the alkali concentration is excessively high, a pattern part may be peeled to corrode a non-soluble part. The temperature of the developer during development is preferably 20 to 50° C. in terms of process control. Next, a firing step is performed in a firing furnace. The atmosphere and temperature for the firing step vary depending on the types of the photosensitive paste and the substrate, but firing is performed in air or in an atmosphere of nitrogen, hydrogen or the like. As the firing furnace, a batch-type firing furnace or a belt-type continuous firing furnace can be used. Preferably, firing is performed while the temperature is kept at normally 500 to 700° C. for 10 to 60 minutes. The firing temperature is more preferably 500 to 650° C. By the step described above, the organic component is removed from the grid-shaped photosensitive paste coating film pattern, and the low-melting-point glass contained in the coating film pattern is softened and sintered to obtain a barrier rib member in which a grid-like barrier rib substantially composed of an inorganic substance is formed on a substrate. The height (H) of the barrier rib is preferably 100 to 1000 μm, more preferably 160 to 500 μm, further preferably 250 to 500 μm. If the height of the barrier rib is more than 1000 μm, pattern formation during processing is difficult. On the other hand, if the height of the barrier rib is low, the amount of a phosphor capable of being filled decreases, and therefore the light emission luminance of a scintillator panel obtained decreases, so that it is difficult to photograph sharp images. The pattern shape of the barrier rib is not particularly limited, but is preferably a grid-like shape or a stripe-like shape. When a grid-like pattern is formed, the pitch (P) of the barrier rib is preferably 60 to 1000 μm. If the pitch is less than 60 μm, pattern formation during processing is difficult. If the pitch is excessively large, it is difficult to perform image photographing with high accuracy using a scintillator panel obtained. Preferably, the bottom width (Lb) of the barrier rib is 20 to 150 μm and the top width (Lt) of the barrier rib is 15 to 80 μm. If the bottom width of the barrier rib is less than 20 μm, defects easily occur in the barrier rib during firing. On the other hand, if the bottom width of the barrier rib is large, the amount of a phosphor that can be filled in spaces divided by the barrier rib decreases. If the top width of the barrier rib is less than 15 μm, the strength of the barrier rib decreases. On the other hand, if the top width of the barrier rib is more than 80 μm, a region in the scintillator layer, which is available for extraction of emitted light, is narrowed. The aspect ratio (H/Lb) of the barrier rib height (H) to the barrier rib bottom width (Lb) is preferably 1.0 to 25.0. A barrier rib having a higher aspect ratio (H/Lb) to the barrier rib bottom width provides a larger space per one pixel divided by the barrier rib, so that a larger amount of a phosphor can be filled. The aspect ratio (H/P) of the barrier rib height (H) to the barrier rib pitch (P) is preferably 0.1 to 3.5. A barrier rib having a higher aspect ratio (H/P) to the barrier rib pitch ensures that one pixel is divided with higher definition and a larger amount of a phosphor can be filled in a space per one pixel. As a shape of the cell divided by the grid-like barrier rib, a shape such as square, rectangle, parallelogram or trapezoid can be appropriately selected. In the scintillator panel of the present invention, a grid-like barrier rib with which the shape of the cell is square is preferable in terms of uniformity of the barrier rib bottom width and uniformity of the phosphor light emission intensity in one pixel. The height and width of the barrier rib were measured by exposing a barrier rib cross section perpendicular to the substrate and observing the cross section with a scanning electron microscope (manufactured by Hitachi, Ltd., “S2400”). The width of the barrier rib at a contact part between the barrier rib and the substrate was measured as the bottom width (Lb). The width at the topmost part of the barrier rib was measured as the top width (Lt). The barrier rib is formed by sintering an inorganic powder contained in a photosensitive paste. Inorganic powders that form the barrier rib are fused together, but a pore part exists between the powders. The ratio of the pores included in the barrier rib can be adjusted by the thermal design in the firing step of firing the barrier rib. It is preferable that the ratio of the pore part to the whole barrier rib (porosity) is 2 to 25% because a barrier rib having both a proper reflection characteristic to visible light and a proper strength can be formed. If the porosity is less than 2%, the reflectivity of the barrier rib is low, and therefore the light emission luminance of a scintillator panel obtained decreases. If the porosity is more than 25%, the barrier rib has an insufficient strength, and is therefore easily collapsed. For achieving both a proper reflection characteristic and a proper strength, the porosity is more preferably 5 to 25%, further preferably 5 to 20%. A method for measuring the porosity includes precisely polishing a cross section of a barrier rib, then observing the cross section with an electron microscope, converting an inorganic material part and a pore part into images in a two-gray scale, and calculating a ratio of the area of the pore part to the area of the barrier rib cross section. Preferably a buffer layer composed of an inorganic component selected from a low-melting-point glass and a ceramic is provided between the barrier rib and the substrate. The buffer layer has an effect of relaxing stress on the barrier rib to achieve stable barrier rib formation in the firing step. It is preferable that the buffer layer has a high reflectivity because the light emission luminance of the scintillator panel can be enhanced by reflecting visible light emitted by a phosphor toward a photoelectric conversion element. For enhancing the reflectivity, it is preferable that the buffer layer is composed of a low-melting-point glass and a ceramic. As the low-melting-point glass, one similar to that for the barrier rib can be used. The ceramic is preferably titanium oxide, aluminum oxide, zirconium oxide or the like. For forming the buffer layer, a paste formed by dispersing an organic component and an inorganic powder such as a low-melting-point glass powder or a ceramic powder in a solvent is applied to a base and dried to form a buffer layer paste coating film. Next, the buffer layer paste coating film is fired to form a buffer layer, and the firing temperature is preferably 500 to 700° C., more preferably 500 to 650° C. It is also possible to perform firing of the buffer layer and firing of the barrier rib simultaneously. By using the simultaneous firing, the number of firing steps can be reduced, so that energy consumed in the firing step can be reduced. When simultaneous firing of the buffer layer and the barrier rib is used, it is preferable that using a photosensitive organic component similar to the aforementioned barrier rib photosensitive paste as an organic component of a buffer layer paste, a buffer layer paste coating film is formed, followed by exposing the whole surface of the buffer layer paste coating film to light to cure the coating film. It is also preferable that using as an organic component of a buffer layer paste a thermosetting organic component containing a polymerizable compound selected from polymerizable monomers, polymerizable oligomers and polymerizable polymers, and a thermal polymerization initiator, a buffer layer paste coating film is formed, followed by performing heat curing. According to these methods, the buffer layer paste coating film is made insoluble in a solvent, and therefore the buffer layer paste coating film can be prevented from being dissolved or peeled in a step of applying a barrier rib photosensitive paste onto the coating film. To the buffer layer paste can be appropriately added a binder such as ethyl cellulose, a dispersing agent, a thickener, a plasticizer, a precipitation preventive agent or the like in addition to the components described above. Next, a phosphor is filled in cells divided by the barrier rib, whereby a scintillator panel can be completed. Here, the cell refers to a space divided by a grid-like barrier rib. The layer containing a phosphor filled in the cell is referred to as a scintillator layer. Examples of the component, other than a phosphor, which is contained in the scintillator layer include organic binders such as ethyl cellulose and activators such as indium (In), but the scintillator layer may be formed only of a phosphor. For the phosphor, various known phosphor materials can be used. Particularly, the phosphor material is preferably CsI, CsBr, BaF2, BaFI, BaFBr, GOS (Gd2O2S), GSO (Gd2SiO5), BGO (BiGe3O12), LSO (Lu2SiO5), CaWO4 or the like which has a relatively high conversion rate of an X-ray to visible light. For enhancing luminous efficiency, various kinds of activators may be added to the phosphor. For example, it is preferable to add an activator such as indium (In), thallium (Ti), lithium (Li), potassium (K), rubidium (Rb), sodium (Na), terbium (Tb), europium (Eu) or praseodymium (Pr) to the phosphor. For formation of a scintillator layer, for example, a method in which a phosphor is deposited by vacuum deposition; a method in which a slurry of a phosphor dispersed in water is applied to a substrate; or a method in which a phosphor paste prepared by mixing a phosphor powder, an organic binder such as ethyl cellulose or an acrylic resin, and an organic solvent such as terpineol or γ-butyrolactone is applied using screen printing or a dispenser, can be used. For the amount of a phosphor filled in cells divided by the barrier rib, the volume fraction of the phosphor to the space volume in the cell (hereinafter, referred to as a phosphor volume filling ratio) is preferably 55 to 100%, more preferably 60 to 100%, further preferably 70 to 100%. If the phosphor volume fraction is less than 55%, incident X-rays cannot be efficiently converted to visible light. For enhancing conversion efficiency of incident X-rays, it is preferable to fill the phosphor in the space of the cell with a high density. The present invention will be described in detail below by way of examples. However, the present invention is not limited thereto. (Measurement of Reflectivity of Substrate) The reflectivity of a substrate to light having a wavelength of 550 nm was measured by a spectral colorimeter (“CM-2002” manufactured by KONICA MINOLTA, INC) in SCI mode. (Substrate) The following substrates were used. The substrates each had a size of 150×150 mm. Substrate A: aluminum nitride substrate (a polycrystalline substance having a composition of AlN: 96% by mass and Y2O3: 4% by mass), thickness: 0.7 mm, reflectivity: 45%, thermal expansion coefficient: 46×10−7/K Substrate B: alumina substrate (a polycrystalline substance having a composition of Al2O3: 96% by mass, SiO2: 3% by mass and MgO: 1% by mass), thickness: 0.7 mm, reflectivity: 75%, thermal expansion coefficient: 71×10−7/K Substrate C: alumina substrate (a polycrystalline substance having a composition of Al2O3: 96% by mass, SiO2: 3% by mass and MgO: 1% by mass), thickness: 1.0 mm, reflectivity: 84%, thermal expansion coefficient: 71×10−7/K Substrate D: alumina substrate (a polycrystalline substance having a composition of Al2O3: 96% by mass, SiO2: 3% by mass and MgO: 1% by mass), thickness: 2.0 mm, reflectivity: 88%, thermal expansion coefficient: 71×10−7/K Substrate E: zirconia-reinforced alumina substrate (a polycrystalline substance having a composition of Al2O3: 90% by mass and ZrO2: 10% by mass), thickness: 0.3 mm, reflectivity: 80%, thermal expansion coefficient: 75×10−7/K Substrate F: mullite substrate (a polycrystalline substance having a composition of Al6Si2O13: more than 99% by mass), thickness: 0.7 mm, reflectivity: 80%, thermal expansion coefficient: 50×10−7/K Substrate G: steatite substrate (a polycrystalline substance having a composition of MgO+SiO2: more than 99% by mass), thickness: 0.7 mm, reflectivity: 72%, thermal expansion coefficient: 77×10−7/K Substrate H: glass ceramic substrate (a polycrystalline substance having a composition of Al2O3: 20% by mass and borosilicate glass: 80% by mass), thickness: 0.7 mm, reflectivity: 43%, thermal expansion coefficient: 58×10−7/K Substrate I: glass ceramic substrate (a polycrystalline substance having a composition of Al2O3: 50% by mass and borosilicate glass: 50% by mass), thickness: 0.7 mm, reflectivity: 71%, thermal expansion coefficient: 63×10−7/K Substrate J: glass substrate (glass having a composition of SiO2: 65% by mass, B2O3: 11% by mass, MgO: 1% by mass, CaO: 8% by mass and Al2O3: 15% by mass), thickness: 0.7 mm, reflectivity: 8%, thermal expansion coefficient: 38×10−7/K Substrate K: silicon nitride substrate (a polycrystalline substance having a composition of Si3N4: more than 96% by mass) thickness: 0.7 mm, reflectivity: 18%, thermal expansion coefficient: 32×10−7/K Substrate L: glass ceramic substrate (a polycrystalline substance having a composition of Al2O3: 80% by mass and borosilicate glass: 20% by mass), thickness: 0.7 mm, reflectivity: 73%, thermal expansion coefficient: 69×10−7/K Substrate M: glass ceramic substrate (a polycrystalline substance having a composition of Al2O3: 80% by mass and bismuth silicate glass: 20% by mass), thickness: 0.7 mm, reflectivity: 75%, thermal expansion coefficient: 74×10−7/K Substrate N: glass ceramic substrate (a polycrystalline substance having a composition of Al2O3: 80% by mass and bismuth silicate glass: 20% by mass), thickness: 0.3 mm, reflectivity: 67%, thermal expansion coefficient: 74×10−7/K Substrate O: glass ceramic thin film (a polycrystalline substance having a composition of Al2O3: 80% by mass and bismuth silicate glass: 20% by mass), laminated glass ceramic substrate formed by laminating ten layers each having a thickness of 0.07 mm, thickness: 0.7 mm, reflectivity: 88%, thermal expansion coefficient: 74×10−7/K (Raw Materials of Barrier Rib Photosensitive Paste) Raw materials used for photosensitive pastes of examples are as follows. Photosensitive monomer M-1: trimethylolpropane triacrylate Photosensitive monomer M-2: tetrapropylene glycol dimethacrylate Photosensitive monomer M-3: compound of the formula (A), wherein R1 and R2 are each an acryloyl group, R3 is an ethylene oxide-propylene oxide cooligomer, R4 is an isophorone di-isocyanate residue, and the molecular weight is 19,000:R1—(R4—R3)n—R4—R2  (A)Photosensitive polymer: product of addition reaction of 0.4 equivalents of glycidyl methacrylate to carboxyl groups of a copolymer composed of methacrylic acid/methyl methacrylate/styrene in a mass ratio of 40/30/30 (weight average molecular weight: 43000, acid value: 100)Photo-polymerization initiator: 2-benzyl-2-dimethylamino-1-(4-morpholinophenyl)butanone-1 (IC369 manufactured by BASF)Polymerization Inhibitor: 1,6-hexanediol-bis [ (3,5-di-t-butyl-4-hydroxyphenyl) propionate] Ultraviolet ray absorber solution: 0.3 mass % γ-butyrolactone solution of Sudan IV (manufactured by TOKYO OHKA KOGYO Co., Ltd.) Solvent: γ-butyrolactone Viscosity modifier: Flownon EC121 (manufactured by KYOEISHA CHEMICAL CO., LTD.) Low-Melting-Point Glass Powder A: 28% by mass of SiO2, 30% by mass of B2O3, 6% by mass of ZnO, 2% by mass of Li2O, 3% by mass of MgO, 3% by mass of CaO, 3% by mass of BaO, 25% by mass of Al2O3, refractive index (ng): 1.551, softening temperature: 649° C., thermal expansion coefficient: 49×10−7/K, 50% volume average particle diameter: 2.1 μm Low-Melting-Point Glass Powder B: 27% by mass of SiO2, 31% by mass of B2O3, 6% by mass of ZnO, 7% by mass of Li2O, 2% by mass of MgO, 2% by mass of CaO, 2% by mass of BaO, 23% by mass of Al2O3, refractive index (ng): 1.56, softening temperature: 588° C., thermal expansion coefficient: 68×10−7/K, 50% volume average particle diameter: 2.3 μm Low-Melting-Point Glass Powder C: 28% by mass of SiO2, 23% by mass of B2O3, 4% by mass of ZnO, 5% by mass of Li2O, 15% by mass of K2O, 4% by mass of MgO, 1% by mass of BaO, 20% by mass of Al2O3, refractive index (ng): 1.563, softening temperature: 540° C., thermal expansion coefficient: 86×10−7/K, 50% volume average particle diameter: 2.2 μm High-Melting-Point Glass Powder: 30% by mass of SiO2, 31% by mass of B2O3, 6% by mass of ZnO, 2% by mass of MgO, 2% by mass of CaO, 2% by mass of BaO, 27% by mass of Al2O3, refractive index (ng): 1.55, softening temperature: 790° C., thermal expansion coefficient: 32×10−7/K, 50% volume average particle diameter: 2.3 μm (Preparation of Barrier Rib Paste) A barrier rib paste was prepared by the following method using the materials described above. Barrier Rib Photosensitive Paste A: 8 parts by mass of the photosensitive monomer M-1, 6 parts by mass of the photosensitive monomer M-2, 6 parts by mass of the photosensitive monomer M-3, 48 parts by mass of the photosensitive polymer, 12 parts by mass of the photo-polymerization initiator, 0.4 parts by mass of the polymerization inhibitor and 25.6 parts by mass of the ultraviolet ray absorber solution were dissolved in 76 parts by mass of the solvent under heating at a temperature of 80° C. After the obtained solution was cooled, 18 parts by mass of the viscosity modifier was added to prepare an organic solution 1. The refractive index (ng) of an organic coating film obtained by applying the organic solution 1 to a glass substrate and drying the applied solution was 1.555. Next, to 150 parts by mass of the prepared organic solution 1 were added 80 parts by mass of the low-melting-point glass powder A and 20 parts by mass of the high-melting-point glass powder, followed by kneading the mixture by a three-roll kneader to prepare a barrier rib photosensitive paste A. Barrier Rib Photosensitive Paste B: An organic solution 1 was prepared in the same manner as in preparation of the barrier rib photosensitive paste A. Next, to 150 parts by mass of the prepared organic solution 1 were added 90 parts by mass of the low-melting-point glass powder B and 10 parts by mass of the high-melting-point glass powder, followed by kneading the mixture by a three-roll kneader to prepare a barrier rib photosensitive paste B. Barrier Rib Photosensitive Paste C: An organic solution 1 was prepared in the same manner as in preparation of the barrier rib photosensitive paste A. Next, to 150 parts by mass of the prepared organic solution 1 were added 80 parts by mass of the low-melting-point glass powder C and 20 parts by mass of the high-melting-point glass powder, followed by kneading the mixture by a three-roll kneader to prepare a barrier rib photosensitive paste C. (Measurement of Light Emission Luminance) The prepared scintillator panel was set in PaxScan2520 to prepare a radiation detector. X-rays at a tube voltage of 80 kVp were applied from the substrate side of the scintillator panel, and an amount of light emitted from a phosphor layer was detected. The luminance was evaluated by relative evaluation with the result of Example 1 taken as 100%. The barrier rib photosensitive paste A was applied to the substrate A by a die coater so as to have a dry thickness of 500 and dried to form a barrier rib photosensitive paste coating film. Next, the barrier rib photosensitive paste coating film was exposed at 600 mJ/cm2 by an ultra-high pressure Mercury lamp through a photomask provided with an opening corresponding to a desired barrier rib pattern (chrome mask having a grid-like opening with both longitudinal and traverse pitches of 127 μm and a line width of 20 μm). The exposed barrier rib photosensitive paste coating film was developed in a 0.5% aqueous ethanolamine solution, so that an unexposed part was removed to form a grid-like photosensitive paste coating film pattern. Further, the photosensitive paste coating film pattern was fired in air at 585° C. for 15 minutes to obtain a barrier rib member having a grid-like barrier rib with a barrier rib pitch of 127 μm and a size of 125 mm×125 mm. Thereafter, CsI:Tl (CsI:TlI=1 mol:0.003 mol), as a phosphor, was filled in spaces divided by the barrier rib, and firing was performed at 580° C. to prepare a scintillator panel 1 having a phosphor volume filling ratio of 85%. As a result of evaluating a radiation detector including the prepared scintillator panel 1 and PaxScan2520, proper images were obtained. Evaluation was performed in the same manner as in Example 1 except that the substrate B was used as a substrate, and the barrier rib photosensitive paste B was used as a barrier rib photosensitive paste. The relative light emission luminance was 115%, and proper images were obtained. Evaluation was performed in the same manner as in Example 1 except that the substrate C was used as a substrate, and the barrier rib photosensitive paste B was used as a barrier rib photosensitive paste. The relative light emission luminance was 120%, and proper images were obtained. Evaluation was performed in the same manner as in Example 1 except that the substrate D was used as a substrate, and the barrier rib photosensitive paste B was used as a barrier rib photosensitive paste. The relative light emission luminance was 100%, and proper images were obtained. The reason why the relative luminance was lower as compared to Example 3 although the reflectivity of the substrate was higher may be that as the thickness of the substrate increased, absorption of an X-ray by the substrate increased, so that the amount of light emitted from the phosphor decreased. Evaluation was performed in the same manner as in Example 1 except that the substrate E was used as a substrate, and the barrier rib photosensitive paste C was used as a barrier rib photosensitive paste. The relative light emission luminance was 115%, and proper images were obtained. Evaluation was performed in the same manner as in Example 1 except that the substrate F was used as a substrate. The relative light emission luminance was 120%, and proper images were obtained. Evaluation was performed in the same manner as in Example 1 except that the substrate G was used as a substrate, and the barrier rib photosensitive paste C was used as a barrier rib photosensitive paste. The relative light emission luminance was 110%, and proper images were obtained. Evaluation was performed in the same manner as in Example 1 except that the substrate H was used as a substrate, and the barrier rib photosensitive paste B was used as a barrier rib photosensitive paste. The relative light emission luminance was 100%, and proper images were obtained. Evaluation was performed in the same manner as in Example 1 except that the substrate I was used as a substrate, and the barrier rib photosensitive paste B was used as a barrier rib photosensitive paste. The relative light emission luminance was 110%, and proper images were obtained. Evaluation was performed in the same manner as in Example 1 except that the substrate L was used as a substrate, and the barrier rib photosensitive paste B was used as a barrier rib photosensitive paste. The relative light emission luminance was 113%, and proper images were obtained. Evaluation was performed in the same manner as in Example 1 except that the substrate N was used as a substrate, and the barrier rib photosensitive paste B was used as a barrier rib photosensitive paste. The relative light emission luminance was 115%, and proper images were obtained. Evaluation was performed in the same manner as in Example 1 except that the substrate O was used as a substrate, and the barrier rib photosensitive paste B was used as a barrier rib photosensitive paste. The relative light emission luminance was 125%, and proper images were obtained. Evaluation was performed in the same manner as in Example 1 except that the substrate J was used as a substrate. The relative light emission luminance was as low as 80%. Due to influences of a difference in thermal expansion coefficient between the substrate and the barrier rib, the substrate was warped, so that crosstalk of emitted light occurred, resulting in poor images. Evaluation was performed in the same manner as in Example 1 except that the substrate K was used as a substrate. The relative light emission luminance was as low as 90%. Due to influences of a difference in thermal expansion coefficient between the substrate and the barrier rib, the substrate was warped, so that crosstalk of emitted light occurred, resulting in poor images. From the results described above, it is apparent that in examples according to the present invention, a radiation detector is obtained which has a high light emission luminance, and allows proper images to be obtained. 1: Radiation detector 2: Scintillator panel 3: Output substrate 4: Substrate 5: Buffer layer 6: Barrier rib 7: Scintillator layer 8: Diaphragm layer 9: Photoelectric conversion layer 10: Output layer 11: Substrate 12: Power supply portion
050540416
claims
1. In a computed tomography system including an x-ray source producing an x-ray beam along a fan beam axis, an x-ray collimator for controlling the angle of the fan beam axis of a collimated fan beam comprising: an elongate x-ray absorbing mandrel positioned within the x-ray beam and having a diametrically directed passage extending along the length of the mandrel within the x-ray beam to create one entrance and one exit aperture in the circumference of the mandrel; and a bearing means for holding the mandrel so that it may be rotated about its axis to adjust the angle of the fan beam axis. an x-ray absorbing cylindrical mandrel positioned within the x-ray beam and having a plurality of intersecting diametrically directed slots extending along the mandrel within the x-ray beam, with the slots being of different width and disposed at varying angles along the axis of the mandrel to create one entrance and one exit aperture in the circumference of the mandrel for each slot; and a bearing means for holding the mandrel so that it may rotate about its axis to align a give slot with the ray beam to produce a collimated fan beam of a particular width and with a particular fan beam angle. a motor means for applying a restoring torque to the rotatable collimator, said torque dependant on the rotational position of the rotatable collimator with respect to .alpha..sub.o ; a friction means for applying a frictional torque to the rotatable collimator such frictional torque being greater than the perturbation torque; and a motor torque control means for decreasing the motor restoring torque upon receipt of a braking signal. a motor means for applying a restoring torque to the collimator, said torque dependant on the rotational position of the collimator with respect to .alpha..sub.o ; a friction means for applying a frictional torque to the collimator such frictional torque being greater than the perturbation torque; and a motor torque control means for decreasing the motor restoring torque upon receipt of a braking signal. 2. In a computed tomography system including an x-ray source producing an x-ray beam along a fan beam axis, an x-ray collimator for controlling the angle of the fan beam axis of a collimated fan beam and the width of the collimated fan beam comprising: 3. The collimator of claim 2 wherein each entrance aperture is larger than each corresponding exit aperture. 4. The collimator of claim 2 wherein the mandrel is composed of a solid bar of sintered metal having diametrically directed slots cut therein. 5. A brake assembly for holding a rotatable collimator at a position .alpha..sub.o against the action of perturbation torques, upon receipt of a braking signal, comprising: 6. The collimator of claim 2 including a brake assembly for holding the collimator at a position .alpha..sub.o against the action of perturbation torques, upon receipt of a braking signal, comprising:
abstract
The invention provides methods, devices and systems for excimer fluorescence energy conversion from isotopes. Unprocessed spent nuclear fuel can be used as an isotope, and processed spent nuclear fuel can be used as an isotope. A method includes placing an excimer in the path of radiation decay from the isotope. The excimer is selected according to the isotope to absorb the radiation decay and emit photons in response. Surrounding environment is shielded from the radiation decay. Photons generated from the fluorescence of the excimer are received with photovoltaic material to generate electrical energy. The electrical energy is applied to a load. Systems of the invention can be based upon spent storage casks and handle unprocessed spent nuclear fuel, or can be greatly reduced in size and handle processed fuel, with single isotope isolation allowing consumer battery sized systems.
abstract
Artifacts in the reconstructed volume data of cone beam CT systems can be removed by the application of respiration correlation techniques to the acquired projection images. To achieve this, the phase of the patients breathing is monitored while acquiring projection images continuously. On completion of the acquisition, projection images that have comparable breathing phases can be selected from the complete set, and these are used to reconstruct the volume data using similar techniques to those of conventional CT. Any phase can be selected and therefore the effect of breathing can be studied. It is also possible to use a feature in the projection images such as the patient's diaphragm to determine the breathing phase. This feature in the projection images can be used to control delivery of therapeutic radiation dependent on the patient's breathing cycle, to ensure that the tumor is in the correct position when the radiation is delivered.
summary
051184478
abstract
A method is disclosed for denitrification of nitrates and nitrates present in aqueous waste streams. The method comprises the steps of (1) identifying the concentration nitrates and nitrites present in a waste stream, (2) causing formate to be present in the waste stream, (3) heating the mixture to a predetermined reaction temperature from about 200.degree. C. to about 600.degree. C., and (4) holding the mixture and accumulating products at heated and pressurized conditions for a residence time, thereby resulting in nitrogen and carbon dioxide gas, and hydroxides, and reducing the level of nitrates and nitrites to below drinking water standards.
summary
044329300
description
DESCRIPTION OF THE PREFERRED EMBODIMENT In the operation of a commercial pressurized water reactor it is desirable to be able to prolong the life of the reactor core to better utilize the uranium fuel and to be able to effectively change the reactor core power output in response to load follow requirements. The invention described herein provides a means to control a spectral shift reactor during load follow. Referring to FIG. 1, the nuclear reactor is referred to generally as 20 and comprises a reactor vessel 22 with a removable closure head 24 attached to the top end thereof. An inlet nozzle 26 and an outlet nozzle 28 are connected to reactor vessel 22 to allow a coolant such as water to circulate through reactor vessel 22. A core plate 30 is disposed in the lower portion of reactor vessel 22 and serves to support fuel assemblies 32. Fuel assemblies 32 are arranged in reactor vessel 22 and comprise reactor core 34. As is well understood in the art, fuel assemblies 32 generate heat by nuclear fissioning of the uranium therein. The reactor coolant flowing through reactor vessel 22 in heat transfer relationship with fuel assemblies 32 transfers the heat from fuel assemblies 32 to electrical generating equipment located remote from nuclear reactor 20. A plurality of control rod drive mechanisms 36 which may be chosen from those well known in the art are disposed on closure head 24 for inserting or withdrawing control rods (not shown) from fuel assemblies 32. In addition, a plurality of displacer rod drive mechanisms 38 are also disposed on closure head 24 for inserting or withdrawing displacer rods 40 from fuel assemblies 32. Displacer rod drive mechanism 38 may be similar to the one described in copending U.S. patent application Ser. No. 217,055, filed herewith in the name of L. Veronesi et al. entitled "Hydraulic Drive Mechanism" and assigned to the Westinghouse Electric Corporation. For purposes of clarity, only a selected number of displacer rods 40 are shown in FIG. 1. However, it should be understood, that the number of displacer rods 40 are chosen to correspond to the number of displacer rod guide tubes in fuel assemblies 32. A plurality of displacer rod guide structures 42 are located in the upper section of reactor vessel 22 with each being in alignment with a displacer rod drive mechanism 38 for guiding the movement of displacer rods 40 through the upper section of reactor vessel 22. A calandria 44 may be arranged between fuel assemblies 34 and displacer rod guide structures 42 and comprises a multiplicity of hollow stainless steel tubes arranged in colinear alignment with each displacer rod and control rod for providing guidance of the displacer rods and control rods through the calandria area and for minimizing flow induced vibrations in the displacer rods and control rods. Referring now to FIGS. 2-4, fuel assemblies 32 comprise fuel elements 48, grids 50, bottom nozzle 52, top nozzle 54, and guide tubes 56. Fuel elements 48 may be elongated cylindrical metallic tubes containing nuclear fuel pellets and having both ends sealed by end plugs. Fuel elements 48 may be arranged in a substantially 20.times.20 rectangular array and are held in place by grids 50. Guide tubes 56 which may number 25 are arranged in a generally 5.times.5 array within each fuel assembly 32. Each guide tube 56 occupies the space of about four fuel elements 48 and extend from bottom nozzle 52 to top nozzle 54 and provide a means to support grids 50, top nozzle 54 and bottom nozzle 52. Guide tubes 56 may be hollow cylindrical metallic tubes manufactured from Zircaloy and capable of accommodating rods such as displacer rods 40 or control rods. Displacer rods 40 and control rods are manufactured to be approximately the same size so that each guide tube 56 can equally accommodate either a displacer rod or a control rod. When not occupied by a rod, guide tubes 56 are filled with reactor coolant; however, when displacer rods 40 are inserted in guide tubes 56 displacer rods 40 displace the coolant therein. Grids 50 are positioned at various locations along the length of fuel assembly 32 and serve to space fuel elements 48 and guide tubes 56 at appropriate distances from each other and to allow the reactor coolant to circulate in heat transfer relationship with fuel elements 48. A more detailed description of a similar grid may be found in U.S. Pat. Nos. 3,379,617 and 3,379,619, both issued in the name of H. N. Andrews et al. As can be seen in FIG. 4, displacer rods 40 are elongated cylindrical substantially hollow rods which can be manufactured out of Zircaloy and may be of the type described in copending U.S. patent application Ser. No. 217,052 entitled "Displacer Rod for Use In A Mechanical Spectral Shift Reactor" filed Dec. 16,1980 in the name of R. K. Gjertsen et al. and assigned to the Westinghouse Electric Corporation. Displacer rods 40 may also contain ZrO.sub.2 or Al.sub.2 O.sub.3 pellets for weighting the rod and enhancing its lowerability. Displacer rods 40 are arranged so as to be in colinear alignment with guide tube 56 so that displacer rods 40 may be inserted in quide tubes 56 when it is desired. Displacer rods 40 are supported from a common attachment known as a spider 58. Spider 58 comprises a body 60 with struts 62 radially extending from body 60. Displacer rods 40 are individually attached to each strut 62 to form an array corresponding to the array of guide tubes 56 into which displacer rods may be inserted. Spider 58 is attached to drive shaft 64 which is connected to displacer rod drive mechanism 38. Activation of displacer rod drive mechanism 38 causes drive shaft 64 to be either lowered or raised thereby inserting or withdrawing displacer rods 40 from fuel assemblies 32 of core 34. It is important to note that each spider 58 is arranged to be able to insert displacer rods 40 into more than one fuel assembly 32. For example, as shown in FIG. 4, spider 58 is capable of inserting 25 displacer rods in center fuel assembly 32 and 4 displacer rods in each of the adjacent 4 fuel assemblies. In this manner displacer rods 40 can be moved in and out of fuel assemblies 32 without increasing the number of spiders and drive mechanisms. Referring now to FIGS. 5 and 6, displacer rod guide structures 42 comprise a plurality of split tube guides 70 which are designed to allow rods such as displacer rods or control rods to pass therethrough. Displacer rod guide structures 42 are located between calandria 44 and closure head 24 as shown in FIG. 1 and are arranged to correspond to each displacer rod drive mechanism 38. A number of spacers 72 are located at various locations along aplit tube guides 70 and together with split tube guides 70 serve to guide displacer rods 40 through the upper section of reactor vessel 22. As can be seen in FIG. 6, 8 split tube guides 70 may be provided for guiding displacer rods 40. The "split" in split tube guides 70 along with slots 74 in spacers 72 allow spider 58 to pass therethrough while maintaining alignment of the rods with guide tubes 56 in fuel assemblies 32. A center slot 76 is also provided for accommodating drive shaft 64 so that spider 58 may be moved therethrough. Referring again to FIG. 1, calandria 44 which comprises a multiplicity of tubes provides guidance for the rods such as displacer rods 40 through the calandria area. In general, the tubes in calandria 44 are not split tubes, as are split tube guides 70, so that spider 58 stops its descent when spider 58 nears the top of the tubes in calandria 44. When stopped at the top of calandria 44 all rods extend through the calandria tubes and are fully inserted in fuel assembly 32. While inserted in the calandria tubes, the rods are protected from the flow of reactor coolant thereby minimizing vibrations that would otherwise be induced by the high velocity of the reactor coolant in that area. In the invention as described herein, at least three different types of rods are capable of being inserted into guide tubes 56. For example, displacer rods, control rods, and gray rods may be arranged to be inserted in guide tubes 56. All of the rods are approximately the same size and configuration, but because of the materials with which they are made serve different purposes. Displacer rods 40 which may be either a hollow thick walled tube or may contain a low neutron absorbing material such as ZrO.sub.2 or Al.sub.2 O.sub.3 pellets are used to displace reactor coolant and thereby control reactor moderation. Control rods contain neutron absorbing material as is well understood in the art and serve to control core reactivity in a commonly understood fashion. Gray rods are similar to displacer rods 40 but are made of an intermediate neutron absorbing material such as stainless steel so that their reactivity worth per rod is greater than that of displacer rods 40. Referring now to FIGS. 7-11, the quarter core arrangement of fuel elements 48, displacer rods 40, control rods 80, gray rods 82, and unrodded locations 84 are shown. It is to be understood that the full reactor core configuration can be established by extrapolating the quarter core shown in FIG. 7. Actually, the quarter core shown in FIG. 7 is a mirror image of the eighth core taken along line A--A of FIG. 7. However, the quarter core of FIG. 7 is being shown for clarity. As can be seen in FIG. 10, each fuel assembly 32 comprises an array of fuel elements 48 and an array of guide tubes 56. Generally, control rods 38 and gray rods 82 are used only in the diagonally arranged guide tubes 56 while displacer rods 40 are generally used in all guide tubes 56 of a given fuel assembly. In addition, an instrument tube 88 is provided near the center of each fuel assembly 32 for accommodating data intrumentation. While each fuel assembly 32 is essentially identical to the one shown in FIG. 10, each fuel assembly 32 can produce a different function depending on whether guide tubes 56 are occupied by reactor coolant, displacer rods 40, control rods 80, or gray rods 82. Displacer rods 40 and gray rods 82 are generally chosen to be approximately the same size so as to displace approximately the same volume of water. However, gray rods 82 can be thick walled stainless steel cylindrical rods which gives each individual gray rod a higher reactivity worth than a single displacer rod. the wall thickness of the gray rods may be approximately 0.065 inches. But since the gray rods are usually arranged in clusters of 9 as opposed to clusters of 41 displacer rods, each gray rod cluster has a smaller reactivity worth than a displacer rod clusters. Thus, by proper selection of materials and by proper selection of the number of rods, a balanced reactivity worth can be attained for the gray rods and displacer rods. In addition, since the reactivity worth of a gray rod cluster may be approximately 25% of a displacer rod cluster, various combinations of movements of gray rods clusters and displacer rod clusters can yield numerous reactivity worths throughout the core. Referring now to FIG. 11, a fuel assembly 32 in which no control rods 80 or gray rods 82 are used and in which only displacer rods 40 are used in guide tubes 56 is referred to generally as displacer assembly 90. A fuel assembly 32 in which both displacer rods 40 and control rods 80 are employed (but no gray rods) is referred to as control assembly 92. Similarly, a fuel assembly 32 in which both displacer rods 40 and gray rods 82 are used is called a gray assembly 94. It should be noted that in FIG. 11 fuel elements 48 have been omitted for clarity and that those fuel assemblies are similar to those shown in FIG. 10. Still referring to FIG. 11, each of the control rods 80 and gray rods 82 are attached to a spider (not shown) similar to spider 58 except that the spider for the control rods 80 or gray rods 82 generally only efffects one fuel assembly. In this manner, all control rods 80 or gray rods 82 in a given fuel assembly can be raised or lowered by a single drive mechanism. Furthermore, since each displacer rod spider 58 can extend into the adjacent fuel assemblies (as illustrated in the center portion of FIG. 11 and in FIG. 4), the displacer rod spider's 58 movement effects the control on five fuel assemblies and reduces the number of displacer rod drive mechanisms needed. Of course, on the periphery of the quarter core (as shown in FIG. 7) the particular spiders may move less than the usual number of rods because there are no adjacent fuel assemblies or there are unrodded locations 84. Referring again to FIGS. 8 and 9 which comprise FIG. 7, a quarter core arrangement. Each row or partial row is numbered 100-114 and each column or partial column 116-130 and comprises: ______________________________________ Fuel Assembly ______________________________________ (100,116) quarter displacer assembly (100,118) half control assembly (100,120) half displacer assembly (100,122) half control assembly (100,124) half displacer assembly (100,126) half control assembly (100,128) half displacer assembly (100,130) half gray assembly (102,116) half control assembly (102,118) full displacer assembly (102,120) full gray assembly (102,122) full displacer assembly (102,124) full gray assembly (102,126) full displacer assembly (102,128) full control assembly (102,130) full displacer assembly (104,116) half displacer assembly (104,118) full gray assembly (104,120) full displacer assembly (104,122) full control assembly (104,124) full displacer assembly (104,126) full control assembly (104,128) full displacer assembly (104,130) partial control-unrodded assembly (106,116) half control assembly (106,118) full displacer assembly (106,120) full control assembly (106,122) full displacer assembly (106,124) full control assembly (106,126) full displacer assembly (106,128) full control assembly (106,130) full displacer assembly (108,116) half displacer assembly (108,118) full gray assembly (108,120) full displacer assembly (108,122) full control assembly (108,124) full displacer assembly (108,126) full control assembly (108,128) full displacer assembly (110,116) half control assembly (110,118) full displacer assembly (110,120) full control assembly (110,122) full displacer assembly (110,124) full control assembly (110,126) full displacer assembly (110,128) partial displacer unrodded assembly (112,116) half displacer assembly (112,118) full control assembly (112,120) full displacer assembly (112,122) full control assembly (112,124) full displacer assembly (112,126) partial displacer unrodded assembly (114,116) half gray assembly (114,118) full displacer assembly (114,120) partial control unrodded assembly (114,122) full displacer assembly ______________________________________ As can be seen from the above description of the quarter core, the core configuration based on this concept can be illustrated generally as shown in FIG. 11. Basically, the fuel assembly in the center of the full core as represented by fuel assembly (100,116) in FIG. 7 can be chosen to be either a control assembly 92 or preferably a displacer assembly 909. Once this is chosen, the four fuel assemblies immediately adjacent to the flat sides of the center fuel assembly are chosen to be the other type and the fuel assemblies on the diagonal are chosen to be the same type as the center assembly. This pattern is then continued in an alternating fashion. For example, the center fuel assembly (100,116) in FIG. 7 was chosen to be a displacer assembly 90 so that the fuel assemblies on its adjacent flat sides are chosen to be either control assemblies 92 or gray assemblies 94 while those on the diagonal are chosen to be displacer assemblies 90. This pattern is repeated in alternating fashion until the periphery of the core is reached where the end fuel assemblies may be chosen to be hybrid assemblies based on the nuclear physics of the particular core. Whether a particular assembly is chosen to be a control assembly 92 or a gray assembly 94 is determined by first selecting the number and location of control assemblies needed based on conventional core design. The remainder of the assemblies not chosen to be control assemblies 92 are then used as gray assemblies 94. Thus, substantially the entire core can be arranged on an alternating pattern of displacer assemblies and control or gray assemblies with practically all the fuel assemblies being served by at least one displacer rod spider 58 and with each displacer rod spider 58 serving generally 5 fuel assemblies. Moreover, each fuel assembly is served by at least one drive mechanism for either displacer rods, control rods or gray rods. The illustrated core arrangement provides a means by which the neutron spectrum can be controlled in a "spectral shift" fashion by controlling the moderator volume in the core. This can be accomplished by displacing and replacing the water coolant in the core at appropriate times thereby changing the moderation of the core. In the present invention, displacer rods 40 and gray rods 82 can be used to effect this moderation change. In operation, all displacer rods 40 and gray rods 82 are inserted in core 34 at the beginning of the core life. However, none of the control rods 80 need be inserted at that time. The insertion of displacer rods 40 and gray rod 82 is done by activating the appropriate drive mechanism such as displacer rod drive mechanism 38. when the driven mechanism is activated, displacer rods 40 and gray rods 82 fall into the appropriate guide tubes 56 in fuel assemblies 32. The displacer rods and gray rods will displace their volume of coolant (water) thus reducing the volume of moderator in core 34. The reduction of moderator hardens the neutron spectrum of the core and increases plutonium production. This hardening of the neutron spectrum is generally referred to as "spectral shift". The harder neutron spectrum reduces boron chemical shim requirements, results in a more negative moderator temperature coefficient, and reduces or eliminates burnable poison requirements. As the uranium fuel in the core is depleted over the life of the core, a certain number of displacer rods 40 and/or gray rods 82 may be withdrawn from the core by activating their respective drive mechanisms. The withdrawal of the rods allows more water-moderator into the core region and increases moderation of the core. This, in effect, introduces reactivity worth at a time when fuel depletion is causing a reactivity worth depletion. Thus, the reactivity of the core can be maintained at appropriate levels for a longer time. The withdrawal of the rods can continue at a selective rate (depending on core conditions) until, near the end of core life, all displacer rods 40 have been withdrawn from the core. In addition to the use of displacer rods 40 and gray rods 82 for the purpose of "spectral shift", these rods can also be used for load follow purposes. For example, when the concentration of boron in the reactor coolant falls below approximately 100 ppm the capability of a boron bleed-and-feed operation to compensate for the xenon transient during load follow may not be practical. However, by withdrawing or inserting selected displacer rods 40 or gray rods 82, a proper reactivity change can be made to compensate for the xenon transient. Moreover, such a maneuver can be performed to adjust overall power requirements or to adjust radial power distributions. Since gray rods 82 have a different reactivity worth than displacer rods 40 and since gray rods 82 and displacer rods 40 are located in different core locations, proper selection and movement of the rods can accomplish delicate reactor control. Calculations of the reactivity worth of a 41-rod displacer rod cluster indicates that such a cluster may have a reactivity worth of approximately 75 pcm. That is, core reactivity is expected to increase by about 75 pcm when a single 41-rod displacer rod cluster is moved from fully inserted to fully withdrawn when fuel burnup is about 11,000 MWD/MTU. At the same time, the moderator temperature coefficient of reactivity is predicted to be about -35 pcm/.degree.F. Hence, withdrawl of a single 41-rod displacer rod cluster, with no associated change in control rod position or power level, will result in a reactor coolant average temperature increase of about 2.degree. F. with the temperature change lagging behind displacer rod movement by about 10-20 seconds (one loop transit time). Since the coolant average temperature changes in response to displacer movement are small and occur slowly, coolant temperature change can be used to "cushion" the effect of displacer movement on overall core reactivity. That is, due to the negative moderator temperature coefficient, the reactor coolant temperature change will tend to offset a portion of the reactivity change caused by the displacer rod movement thus providing a smooth transition in core reactivity when a displacer rod cluster is moved. Since displacer rod cluster reactivity worth and the absolute value of the moderator temperature coefficient change in the same direction and at comparable fractional rates with changing boron concentration and hydrogen-to-uranium ratio in the core, the temperature change per unit displacer rod cluster movement is generally independent of core conditions throughout the latter part of the core life. Referring to FIG. 12, utilizing these concepts for reactor control two reactor coolant temperature bands can be selected for reactor operating purposes. These bands may be different from and wider than the conventional operating bands. One band, band A, is the wide band and is selected to be approximately 4.degree. F. wide, 2.degree. F. on either side of the reactor coolant average temperature set point, T.sub.s. T.sub.s is chosen to be the reactor coolant average temperature at which it is desired to operate the reactor. As an alternative, the average cold leg temperature may be used. An administrative guidance limit or narrow band, band B, may be chosen to be approximately 3.degree. F. wide, 1.5.degree. F. on either side of set point temperature T.sub.s. Band A is chosen so that if the reactor coolant temperature reaches this limit automatic systems are initiated to reverse the temperature drift. Band B is chosen as a working guide limit so that as the reactor coolant temperature approaches this limit either operator or automatic selection and initiation of displacer rod movement may begin to avoid reaching the band A limit. In this manner as the reactor coolant temperature drifts downwardly such as during xenon accumulation as illustrated between t.sub.0 and t.sub.1, withdrawal of a particular displacer or gray rod cluster is initiated. Between t.sub.1 and t.sub.2 the cluster is withdrawn which takes approximately 15 minutes to achieve complete withdrawal. The withdrawal of a cluster allows additional water-moderator to enter the core which increases core reactivity and results in the reactor coolant temperature drifting upwardly. As the xenon continues to accumulate the coolant temperature begins to drop again as illustrated between t.sub.2 and t.sub.3. As t.sub.3 is approached it again becomes necessary to select and withdraw the next cluster, either a 41 rod displacer cluster or a 9 rod gray cluster depending on the reactivity addition needed. The time frame between t.sub.4 and t.sub.5 indicates the time frame in which the next cluster should begin to be withdrawn to avoid reaching band A's limit. In this manner reactor coolant temperature variations such as those due to xenon transients can be compensated for without adjusting the boron concentration in the coolant and while prolonging the core life. In addition to determining when a particular cluster should be moved, it is also necessary to determine which cluster or group of clusters should be moved and whether they should be moved in or out of the core. In this regard it can be appreciated that since a displacer rod cluster effects a larger core area than does a gray rod cluster and since individual gray rods have a different reactivity worth than do individual displacer rods, a proper selection and movement of various clusters can effect core reactivity levels and radial power distribution. Referring now to FIG. 13, a power sharing fraction calculator 100 determines the fraction of the total core power that is attributed to each fuel assembly. This can be ascertained in conventional manner by having a sufficient number of in-core radiation detectors to determine local neutron flux or nuclear power level magnitudes. For example, about 60 fuel assemblies may be equipped with about 5 radiation detectors such as gamma detectors. The 5 radiation detectors can be axially spaced along the fuel assembly so that in all about 300 in core detectors can provide instantaneous reactivity levels for 60 core zones. These readings, together with calibration and weighting factors, can be fed to power sharing fraction calculator 100 for determining the power sharing fraction borne by each core zone. At the same time, current condition compiler 102 compiles other core conditions such as boron concentration, hydrogen-to-uranium fraction, and present cluster positions. This information together with the information from power sharing fraction calculator 100 is transmitted to displacer movement effect predictor 104 which determines the reactivity change and power sharing fraction change that would occur by moving each cluster. It has been found that the reactivity change associated with a particular fuel assembly by moving the corresponding cluster is related to the present fuel assembly power density. The correlation can be expressed as follows: EQU .DELTA.R=m.times.APD where .DELTA.R=reactivity change of the fuel assembly by inserting or withdrawing the corresponding cluster (displacer rods or gray rods); PA0 APD=fuel assembly power density before moving the cluster; and PA0 m=straight line slope PA0 NPD=new fuel assembly power density PA0 OPD=old fuel assembly power density PA0 BU=burnup in MWD/MTU It has also been determined that the slope, m, can be related to burnup as illustrated by the following data: ______________________________________ Burnup Slope, m (MWD/MTU) (pcm per cluster/unit power density) ______________________________________ 1,000 5.4 6,000 32.8 11,000 60.0 ______________________________________ yielding a relation of slope to burnup of: EQU m=0.0054.times.BU where BU=burnup in MWD/MTU. Therefore, EQU .DELTA.R=0.0054.times.BU.times.APD By using this relationship, movement effect predictor 104 can predict the reactivity change to be expected from moving the cluster corresponding to that fuel assembly. This information is then transmitted to cluster selector 106. It has also been found that the post-withdrawal power density of a particular fuel assembly can be related as follows: EQU NPD=(1.17+0.000033.times.BU).times.OPD where Thus the power density change in a particular fuel assembly can be found based on its power density prior to cluster movement. This information is then transmitted to cluster selector 106. A requirements predictor 108 which may be chosen from those well known in the art is arranged to determine and transmit to cluster selector 106 the amount of reactivity increase or decrease that is anticipated to be needed. This can be based on data such as coolant average temperature, power level, band limits, and set point considerations. Power sharing fraction calculator also feeds the power sharing fraction for each fuel assembly to cluster selector 106. Cluster selector 106 accepts the power sharing fraction for each fuel assembly prior to a cluster movement, the reactivity change to be expected if a cluster were moved, the present fuel assembly power density (OPD) for each fuel assembly, the predicted fuel assembly power density (NPD) for each fuel assembly, and the reactivity change required. From this, a new power sharing fraction for each fuel assembly can be determined. Based on this information and the current position of each cluster, cluster selector 106 can select the one or more grouping of cluster movements that will achieve the desired reactivity change without distorting the overall power sharing profile. In general, this search may include predicting the next reactivity change and the movement required thereby so as to prevent making a cluster movement that could hinder latter cluster movements. The selected cluster groupings can be transmitted directly to power distribution verifier 110, operator readout 112, and automatic system control 114. Power distribution verifier can check the predicted power sharing fractions to the old power sharing fractions and can trip alarm 116 if the predicted change is outside set limits. The operator can view operator readout 112 and select which of the selected cluster groupings to use or the selection can be made automatically by cluster selector 106 and transmitted to automatic system control 114 for implementation of the cluster movement. Thus, based on these criteria, various movements (insertions or withdrawals) of numerous combinations of available displacer rod or gray rod clusters can be evaluated and implemented for controlling a pressurized water reactor such as during load follow. Therefore, the invention provides a method of operating a pressurized water nuclear reactor in which the reactor power level can be changed without making control rod or chemical shim changes.
claims
1. A method for removing contaminant particles from a surface, the method comprising:providing a chamber including:a plasma source electrically connected to a first power supply; anda sample electrically connected to a second power supply, the sample having a surface including contaminant particles to be removed;flowing inert gas into the chamber and across the surface of the sample;applying power to the plasma source using the first power supply so as to generate a plasma sheath in contact with the surface of the sample;applying an electrical bias to the sample using the second power supply while the surface of the sample is in contact with the plasma sheath;pulsing at least one of the plasma source and the electrical bias;creating a charge imbalance between the contaminant particles and the surface; andexposing the surface of the sample to the plasma sheath for a time duration sufficient to remove a substantial fraction of the contaminant particles therefrom without substantially removing sample surface material. 2. The method of claim 1, wherein one of the plasma source and the electrical bias is pulsed. 3. The method of claim 2, wherein the plasma source is pulsed. 4. The method of claim 2, wherein the electrical bias is pulsed. 5. The method of claim 1, wherein the pulsing comprises turning off the power produced by one of the first and second power supplies and reapplying the power produced by one of the first and second power supplies. 6. The method of claim 1, wherein the pulsing is carried out at a frequency of from a few hundred to about 200 kHz. 7. The method of claim 1, wherein the pulsing comprises a pulse length of from microseconds to milliseconds. 8. The method of claim 1, wherein the pulsing comprises a square wave pulse. 9. The method of claim 1, wherein the pulsing comprises a 50% duty cycle pulse. 10. The method of claim 1, wherein 2 kW of power are applied to the plasma source to generate the plasma. 11. The method of claim 1, wherein the electrical bias is a positive bias. 12. The method of claim 1, wherein the electrical bias is a negative bias. 13. The method of claim 1, wherein the electrical bias is applied at a 10 mA current. 14. The method of claim 1, wherein at least about 80% of the contaminant particles are removed from the surface. 15. The method of claim 1, wherein the surface of the sample is exposed to the plasma sheath for a time duration of 10 minutes. 16. The method of claim 1, wherein the inert gas is He. 17. The method of claim 1, wherein the surface is a surface employed in a lithography process. 18. The method of claim 17, wherein the surface is that of a semiconductor wafer. 19. The method of claim 17, wherein the surface is that of a mask.
044938098
summary
BACKGROUND OF THE INVENTION The present invention relates to nuclear fuels, and more particularly to a fuel permitting the design of small, efficient reactors suitable for remote and mobile applications. The relatively long fuel recycling times of nuclear reactors makes them well suited as power sources in mobile units, such as ships, submarines and satellites, and in remote locations, such as the Arctic, Antarctic, deep sea bases and outer space. For example, the exploration of the deep sea bed will require ample power sources sustainable without frequent refueling. Mobile and remote applications place a premium on reactor compactness and fuel efficiency. Volume and mass constraints in satellite and submarine design, for example, dictate the use of lightweight and compact reactors. The inconvenience of refueling such mobile units makes high fuel efficiency desirable. Fuel efficiency is also desirable from the standpoint of conservation of natural reserves of fissile materials. Similar considerations govern the design of reactors for remote locations. The cost of transporting reactors and fuel to the Arctic or a deep sea drilling facility stresses the need for small, efficient reactors. The TRIGA reactors, developed by General Atomic Company, include a variety of small, efficient reactors. The TRIGA reactors utilize metal hydride fuels, such as U-Zr-H. These fuels may include a fine dispersion of uranium fuel throughout a zirconium hydride matrix. The zirconium hydride matrix serves as a neutron moderator as well as a matrix for the fissile fuel. The metal hydride fuels are characterized by a large prompt negative temperature coefficient of reactivity, which provides for a high degree of inherent safety. Additional safety and durability are provided because U-Zr-H does not generally react with materials employed as coolants or structural members. There are, however, some significant limitations to the metal hydride fuels heretofore developed. For one thing, at high temperatures hydrogen dissociates from the hydride. In the case of Zr-H, the hydrogen pressure is 1 atm. at 760.degree. C. This limits the operating temperature and the moderating ability of the metal hydride. The loss of hydrogen from the fuel matrix can also reduce the moderating efficiency of the hydride. A related problem concerns the atomic percent of hydrogen within the Zr-H matrix. Normally, Zr-H includes approximately 1.7 hydrogen atoms per zirconium atom (ZrH.sub.1.7). Finally, the zirconium itself does not contribute in any significant positive sense to the neutronic performance of an incorporating reactor. It is an object of the present invention to provide an improved metal hydride fuel that can be used alone or in combination with other fuels. More particularly, the present invention is directed to a fuel with improved neutronics performance, improved moderating characteristics, and an enhanced large prompt negative temperature coefficient of reactivity. It is also an object of the present invention to provide a fuel which permits the design of improved small and efficient reactors. SUMMARY OF THE INVENTION In accordance with the present invention, a nuclear fuel includes uranium dispersed within a thorium hydride matrix. The uranium may be in the form of particles including fissile and non-fissile isotopes. Various hydrogen to thorium ratios may be included in the matrix. The matrix with the fissile dispersion may be used as a complete fuel for a metal hydride reactor or may be combined with other fuels. The thorium serves to bind the hydrogen moderating material while serving as fertile fuel for breeding .sup.233 U. When compared to the commonly employed U-Zr-H fuel mixture, the fuel of the present invention provides improved moderating and neutronics performance.
summary
abstract
The present invention relates to an X-ray fluorescence, XRF, spectrometer, for measuring X-ray fluorescence emitted by a target, wherein the XRF spectrometer comprises an X-ray tube with an anode to emit a divergent X-ray beam, a capillary lens that is configured to focus the divergent X-ray beam on the target, an aperture system that is positioned between the anode of the X-ray tube and the capillary lens and comprises at least one pinhole, and a detector that is configured for detecting X-ray fluorescence radiation emitted by the target, wherein the at least one pinhole is configured for being inserted into the divergent X-ray beam and for reducing a beam cross section of the divergent X-ray beam between the anode and the capillary lens. The present invention further relates to an aperture system for a spectrometer, to the use of an aperture system for adjusting the focal depth of a spectrometer and to a method for adjusting the focal depth of as spectrometer.
summary
description
The present invention relates to an electron beam detecting device using electron beams to sterilize caps fitted on a synthetic resin-made bottle, and more specifically, relates to a device for detecting whether filaments, which are an emission source of thermal electrons, are normal. If the filaments are broken because of any reason while irradiating electron beams onto objects being conveyed at a high speed while being sterilized, the predetermined dose of electron beams cannot be obtained and the sterilizing process becomes insufficient. Therefore, the objects, which are not subjected to a sufficient amount of sterilization during the conveyance, should be ejected from the path. As a device for detecting broken filaments, various kinds of devices have been conventionally proposed. In the electron beam radiation device disclosed in Patent Document 1, the breaks are determined by measuring the electric current flowing through a plurality of filaments to check for changes in the whole electric current. In the electron beam radiation device disclosed in Patent Document 2, the total dose of electric beams is detected. PATENT DOCUMENT 1: Japanese Unexamined Patent Publication No. (HEI) 11-109098 PATENT DOCUMENT 2: Japanese Patent No. 4745399 There is a problem with the electron beam radiation device of Patent Document 1, in which, although a break can be detected based on a change in the electric current value when the break occurs during the operation of the device, a break cannot be detected when the break occurs during maintenance, since the electric current value would not change. In the electron beam radiation devices of Patent Documents 1 and 2, the total electric current flowing through the filaments or the total dose of electric beams is measured, and thus, they cannot detect which filaments are broken. An object of the present invention is to provide an electron beam detecting device in which even when a filament is broken other than during the operation of the electron beam detecting device, the break can be detected, and further, the broken filament can be specified. An electron beam detecting device according to the present invention comprises a plurality of conductors disposed corresponding to a plurality of filaments that are provided in the electron beam radiation device to radiate thermal electrons, the conductors being electrically insulated from each other, in the area in which the electron beams are radiated; a measuring unit measuring the current value flowing through each of the conductors; and a determining unit determining the radiation level of the electron beams by receiving a signal output by the measuring unit, wherein the determining unit judges that when the measuring unit measures a decrease in the current value, an abnormal condition exists in the filament corresponding to the conductor in which the lower current value is detected. It is preferable that the plurality of conductors are disposed in parallel to the plurality of filaments, due to which the electron beam output from each of the conductors can be accurately detected. The plurality of conductors may be attached to an outer surface of a radiation window for outputting the electron beams from the electron beam radiation device to the outside thereof. According to this structure, the electron beam, which was just radiated through the radiation window and has not diverged yet, can be detected. In this case, the plurality of conductors may be attached to an insulator, and the insulator may be fixed to the radiation window to enclose the radiation window. According to the present invention, even when a filament is broken other than during the operation of the electron beam detecting device, the break can be detected, and further, the broken filament can be specified. 23 radiation window 25 filament 46 wire electric pole (conductor) 48 electric current measuring instrument (measuring unit) 49 CPU (determining unit) In the following, the present invention will be described with reference to an embodiment of the present invention. FIG. 1 generally indicates a construction of the cap-sterilizing device. Rod-shaped guides 11-15 are provided in parallel to each other in an aseptic chamber 10 of the cap-sterilizing device. These guides 11-15 extend in a direction perpendicular to the plane of the page in which FIG. 1 is indicated, to form a path through which a cap C passes, which is subjected to the sterilization. The cap C is fitted on a synthetic resin-made bottle, for example, and has a cylindrical shape with a closed bottom. The cap C is supplied from above the aseptic chamber 10, and is conveyed through a space enclosed by the guides 11-15 such that the opening of the cap faces the side (the left side in the drawing) of an electron beam radiation device 20 described later. The electron beam radiation device 20 is fixed to a side surface of the aseptic chamber 10. A housing 21 of the electron beam radiation device 20 is formed with a frame portion 22, which is fixed to an opening 16 of the aseptic chamber 10. In the frame portion 22, a radiation window 23 is provided, which is made of a foil such as titanium, to output electron beams outside of the electron beam radiation device 20. The housing 21 is maintained in a vacuum state. A terminal 24 is disposed in the center of the housing 21, and filaments 25, which are an emission source of thermal electrons, are housed in the terminal 24. A grid 26 is provided between the filaments 25 and the radiation window 23. On the other hand, in the aseptic chamber 10, a beam collector 32 is fixed to the opposite side of the opening 16, through an insulator 31. The beam collector 32 is grounded through a lead wire 33, on which an electric current measuring instrument 34 is provided. Thermal electrons emitted from the filaments 25 are drawn to the grid 26, accelerated by a high voltage applied between the grid 26 and the radiation window 23, and radiated into the atmosphere in the aseptic chamber 10 through the radiation window 23. In the aseptic chamber 10, the electron beams reach the beam collector 32 with damping. In the beam collector 32, electric current occurs in accordance with the dose of electron beams, and the electric current value is measured by the electric current measuring instrument 34, so that it is determined whether the radiation levels of the electron beams radiated by the electron beam radiation device 20 are normal or not, i.e., the filaments 25 are normal or not. On the other hand, an electron beam detecting device 40 for detecting the radiation levels of the electron beams is provided on an outer surface of the radiation window 23, i.e., on a surface inside the aseptic chamber 10, as described below. FIG. 2 shows a disposition relationship of the electron beam radiation device 20 and the electron beam detecting device 40. The filaments 25 are made of tungsten steel, for example, and in the illustrated example, six filaments are provided and positioned across a portion between a pair of support members 27 and 28, which are provided in parallel to each other. None of the filaments 25 are perpendicular to the support members 27 and 28, but each extends in a slightly slanted direction. A frame member 41 of the electron beam detecting device 40 is an insulator, and has a pair of horizontal members 42 and 43, which are approximately the same length as the support members 27 and 28. The frame member 41 is positioned in the opening 16 of the aseptic chamber 10, and is fixed to the opening 16 to enclose the outer surface of the radiation window 23. Pins 44 are provided on the horizontal member 42 at regular intervals, and similarly, pins 45 are provided on the horizontal member 43 at regular intervals. Wire electrodes 46, which are conductors, are provided between the pins 44 and 45 in a tension state. The wire electrodes 46 are made of tungsten steel, for example, and their number is six, corresponding to the number of filaments 25. Namely, each of the wire electrodes 46 is disposed in parallel to the corresponding filament 25, extends in a slightly slanted direction relative to the horizontal members 42 and 43, and is electrically insulated from each other. Electron beams are output from each of the filaments 25 toward the radiation window 23, and radiated into the aseptic chamber 10 through the radiation window 23. Electron beams radiated by a single filament 25 form a plane containing the filament 25 in the electron beam radiation device 20. The wire electrodes 46 are provided close to the radiation window 23, so that the electron beams passing through the radiation window 23 strike the wire electrodes 46. Namely, each of the wire electrodes 46 is arranged corresponding to each one of the plurality of filaments 25, on a line in the plane formed by the radiated electron beams. Each of the wire electrodes 46 is grounded through a lead wire 47, on the midway of which an electric current measuring instrument 48 is provided. Abeam current flows in the electrode 46 due to the collision of electron beams; the electric current value is measured by the measuring instrument (measuring unit) 48. Each of the measuring instruments 48 is electrically connected to a CPU (determining unit) 49. The measuring instruments 48 output detection signals corresponding to the electric current values, and the CPU 49 receives the detection signals to determine the radiation level of the electron beams. Specifically, when the measuring instruments 48 measure a lower current value compared to a predetermined value, it is judged that an abnormal condition exists in the filament 25 corresponding to the wire electrode 46 with the lower current value. With reference to FIGS. 3 and 4, an operation of the embodiment will be described. Note that, in these drawings references A-F correspond to references A-F referred to on the wire electrodes 46 in FIG. 2. When all of the filaments 25 are normal, as indicated in FIG. 3, the value of the electric current flowing through each of the wire electrodes 46 is the same as shown by the broken lines, and thus, the combined electric current shows an approximately constant value from reference A to reference F, as indicated by the solid line 51. Conversely, when the filament 25, corresponding to reference D, is broken, no electric current flows in the filament 25 as indicated in FIG. 4. Therefore, the combined electric current value is largely hollowed at a portion of reference D, as indicated by the solid line S2. Thus, when a broken filament 25 exists, since electron beams do not strike the wire electrode 46 corresponding to the filament 25, a beam current does not occur. Therefore, the CPU 49 can specify the particular filament 25 that is broken, and can display it on a monitor, for example. As described above, the embodiment is constructed in such a manner that a plurality of wire electrodes (conductors) 46 are disposed at positions corresponding to a plurality of filaments 25 to measure the electric currents flowing through each of the wire electrodes 46 and compare the values with each other. Accordingly, for example, if any filament is broken during maintenance of the electron beam radiation device 20, the break can be detected, and the broken filament can be specified. Further, the wire electrodes 46 are attached to an outer surface of the radiation window 23 through the frame member 41 of an insulator, i.e., the outside of the electron beam radiation device 20. Therefore, during maintenance of the electron beam detecting device 40 it is not necessary to disassemble the electron beam radiation device 20; maintenance can be performed in a state in which the electron beam detecting device 40 is removed from the radiation window 23. Note that, although the wire electrodes 46 are attached to the outer surface of the radiation window 23 in the embodiment, alternatively, the wire electrodes 46 may be provided inside of the radiation window 23, i.e., in the electron beam radiation device 20. Further, in the embodiment, the wire electrodes 46 interfere with only a small part of the transmission area of the electron beams, and almost all of the electron beams are irradiated into the aseptic chamber 10. However, when it is acceptable that almost all of the electron beams encounter interference, a round rod-shaped or belt-shaped electrode can be used instead of the wire electrode 46. Furthermore, instead of the construction in which the measuring instrument 48 is provided on each of the lead wires 47, another construction may be adapted in which a switch is provided to each of the lead wires 47, the switches are connected in series, and a single measuring instrument 48 is provided between the switches and the CPU 49. In this case, by successively operating the switches such that only one switch is turned ON, the value of electric current flowing through each of the wire electrodes 46 can be measured, so that a broken filament 25 can be specified. The embodiment is an example in which the present invention is applied to a cap-sterilizing device, but the present invention can be applied to various kinds of devices using electron beams. For example, it can also be applied to technical fields, such as sterilization of sanitary containers, manufacture of certain types of film and sheets, and the fixing of ink and paint. Since the wire electrodes 46 almost never interrupt electron beams, the electron beam radiation device 20 can be used not only for detecting the abnormal state of the filament 25, but also for monitoring a beam current occurring in accordance with the electron beams during radiation.
050283820
description
DETAILED DESCRIPTION OF THE INVENTION Referring to FIG. 1 of the drawing, a typical nuclear fuel bundle 10 comprises a group of spaced apart, parallel aligned fuel rod elements 12. Fuel rod elements 12 comprise a container 14 housing fissionable fuel (not shown) sealed therein. Fuel rod elements 12 are secured in the spaced apart, parallel aligned array by each passing transversely through a series of spacing units 16 positioned at intervals along the length of the grouped array of fuel rod elements 12. Both ends of the grouped array of fuel rod elements are fixed within sockets of upper and lower tie plates 18 and 20. The bundle assembly of grouped fuel rod elements 12 and securing spacing units 16 is surrounded by an open ended channel 22. It has been determined that abrasions or damage such as scratches in the surface of metal fuel rod elements present potential sites for the subsequent occurrence of destructive forms of corrosion. For example, surface abrasions or scratches in zirconium alloys render the site susceptible to a form of corrosion which can result in progressive erosion when exposed to the aggressive thermal and chemical environment of a nuclear reactor fuel core. This progressive form of corrosion, referred to as modular corrosion because it occurs as a deeply penetrating area of erosion producing a white oxide surface module, can significantly impair the structural integrity of the metal wall of fuel rod elements to the degree of rendering the elements vulnerable to breaching. Such a corrosion penetration or impairment leading to rupture of a fuel rod element results in leakage of radioactive fission products from the fuel container into the coolant which carries the contaminants throughout the system, and/or the entry of coolant and any entrained impurities into the container and contact with the fissionable fuel. Evaluations have demonstrated that surface abrasions and damage such as scratches are primarily due to assembly of the fuel bundles, in particular the meeting of the fuel rod elements passing through openings in the spacing units in fabricating the grouped array of fuel containers traversing through a series of spacing units at spaced intervals. However, such damage or abrasions can also occur prior to assembly as a result of preassembly handling the fuel rod containers, such as rubbing against each other or contact with storage and production structural members, or after assembly such as during shipping and/or handling prior to installation in the reactor fuel core. In accordance with this invention a scratch resisting, temporary protective barrier of a water soluble material is applied intermediate to the fuel rod elements and the openings of the spacing units prior to assembly, then subsequently removed from the assembled components or bundle. A preferred measure of this invention comprises applying a scratch resisting, temporary coating 24 of a water soluble sodium silicate or gelatin over the outer surface of each fuel rod container 14 prior to assembly, and optimally as early in production stages as practical. Following assembly of the fuel bundle comprising inserting the protective coated fuel rod containers 14 through the spacer unites 16, or later, the water soluble protective coating can be readily removed, when feasible, by the application of hot water or steam, and preferably a combination of hot water and steam. For example, good results can be obtained by soaking in water briefly, about five minutes, then applying steam. Sodium silicate and gelatin, or protein material derived from animal material, being water soluble, can be readily applied as a water soluble solution to form a coating film of any apt thickness, and subsequently effectively removed completely with a hot water bath and/or steam spray. These protective coatings are easy to apply and remove, the materials do not effect or react with the metal surface, and they are environmentally innocuous and wastes can be disposed of with minimal concern. Another preferred embodiment of this invention, shown in FIG. 3, comprises the utilization of protective "sleeves" 26, or tube sections composed of water soluble gelatin which are installed within the openings of the spacing units 16 that receive the fuel rod containers 14 by insertion therethrough. Gelatin sleeves positioned intermediate the openings in the spacing units 16 and the fuel rod containers 14 inserted therethrough prevents abrasion or damage such as scratching of the fuel containers 14, and are readily removable with hot water or steam when appropriate. Gelatin sleeves 26 can be molded as a multiple unit of joined sleeves with a number and in a pattern matching the openings of a given spacing unit 16 design, such as the composite unit 28 shown in FIG. 4, whereby all sleeves for a single spacing unit 16 can be installed together as a unit to facilitate the assembly operation. A preferred composite unit 28 comprises a flat base connecting member 30 joining a multiplicity of sleeves 26 projecting therefrom. Moreover, this technique insures that some protective sleeve installation in a spacing unit are not overlooked prior to assembly whereby some damage to the inserted fuel rod containers might occur. The thickness of the water soluble sodium silicate or gelatin coating 24 or sleeve 26, including a composite of multiple sleeves 28, can be easily regulated by controlling the viscosity of the water solution, and temperatures used in its application. Also the thickness of the coating 24 or gelatin sleeve 26 or composite 28 needed for adequate protection can be reduced by the inclusion therein of a lubricant in the form of a liquid or dry powder, preferably of a water soluble composition or form to facilitate removal. A lubricant reduces the level of friction at contact points, which in turn reduces the thickness for adequate protection. Due to the stringent requirements of nuclear reactor service, namely that the coolant system be as free as possible for any foreign materials or ingredients, it is especially important that all extrinsic matter be removed from the assembled fuel bundles before they are put into service. The water soluble agents employed in this invention are readily removable in a hot water bath or any washing with hot water or steam, including spraying. This ease of removal without the need for special equipment, provides for leaving the protective measures of the invention in place in the fuel bundle to protect it from damage up to the time of its installation within the reactor fuel core since the materials can be easily removed at the reactor site just before installation for service. Moreover, to insure the complete removal of all extrinsic protective material from the assembled fuel bundle before use, a small amount of an indicator material, such as a fluorescent agent can be included in the water soluble sodium silicate or gelatin. For example, vitamin B-2 (riboflavin) or fluorescein disodium salt. Such agents will absorb specific wavelengths of ultraviolet radiation and reemit the energy as visible light. This phenomenon of such agents can be used for post removal inspection to determine complete elimination. Also this means is suitable for use with on-line rinse water concentration measurement with a spectrophotometer to evaluate removal and cleanliness. An additional aspect of this invention comprises protecting assembled fuel bundles throughout subsequent handling and/or shipping, until the time of their installation into a nuclear reactor fuel core for performance in the fission reaction. Thus, other than protecting the fuel rod elements by means of temporary coating or sleeves, this invention comprises providing a temporary barrier of a water soluble material consisting of sodium silicate and/or gelatin, within fuel bundles intermediate the components thereof including fuel rod elements 12 and openings of the spacing units 16 and including substantially occupying all the remaining free area within the surrounding channel 22. The water soluble materials providing the temporary barrier substantially filling the free or open area within the channel unit 22 defining the perimeter of the fuel bundle, and as such essentially surrounding the fuel rod elements and spacing units, can be left in place throughout handling, storage and/or shipping of the fuel bundles to protect all components against damage up until the fuel bundles are on site at the reactor plant and ready for installation into the fuel core. At this time, the water soluble, temporary barrier material is removed with hot water and/or steam as noted above just prior to installation, whereby potential damage occuring any time from prior to their assembly through to the time of installation in a reactor fuel core can be minimized. An example of a preferred means for the practice of this invention is as follows: A temporary protective barrier comprising a composite unit of joined multiple gelatin sleeves which correspond to the fuel rod receiving openings in a spacing unit and are of a dimension to extend therethrough and cover spacer springs over all contact points, is produced accordingly. The composite gelatin sleeve protective barrier is molded from a gelatin solution on a metal mold comprising aptly sized, slightly tapered studs secured to a flat base connecting member. Preferably the studs would be provided with mold relief taper radiuses, and fillets to eliminate sharp edges and corners. Also, the mold should be provided with a suitable release agent such a coating of vegetable oil. The suitably designed and prepared mold is immersed into a liquid solution of gelatin, preferably containing additives to enhance its strength, shrinkage, and cleanliness testing. One such additive comprises glycerin which increased the flexibility of gelatin, and fluorescein disodium salt comprises a cleanliness testing additive. The viscosity and temperature of a water soluble molding solution is controlled to provide the desired thickness of the formed sleeves. The molding technique can be modeled on the manufacture of gelatin capsules in the pharmaceutical industry. Drying of the molded composite sleeve unit is effected by passing through zones of temperature and humidity control. The rate and degree of drying the water soluble gelatin can influence the strength and consistency of the unit. The dried gelatin sleeve unit is easily removed from the mold by providing the mold with a floating base plate which can be moved along the studs so as to strip the molded gelatin sleeves from the studs. The end of each molded sleeve can be cut with an X slot or a puncture to enable easy passage of fuel rod through the sleeve end during assembly of the fuel bundle. The X cut or puncture should occur prior to removal from the mold to prevent vacuum collapse of the sleeves during removal from the mold. The molded and dried multiple sleeve unit of gelatin is inserted into a metal spacing unit prior to its mounting in the fuel bundle assembling fixtures or frames. The connecting base of the multiple sleeves should be positioned on the side of the spacing unit where the fuel rod elements first enter in passing transversely therethrough. This connecting base will rest on the spacer unit and act to prevent the attached protective sleeves from being forced through the spacing unit as the fuel rods are inserted and moved therethrough. After the fuel bundle is assembled the assembled bundle is placed in a suitable vessel and briefly soaked in cold water, then hot water is flowed over its surfaces to dissolve the water soluble gelatin material and flush the dissolved gelatin from the fuel bundle. The final flushing is monitored for traces of fluorescein to determine whether the assembled fuel bundle is fully cleaned and free of all gelatin. When cleaning is complete the fuel bundles is dried with hot air.
claims
1. A method for high spatial resolution imaging of x-ray and gamma radiation comprising:a) supplying one or more sources of radiation;b) focusing said radiation onto one or more detectors by means of mechanically bent diffracting crystals, wherein each source has a first size and wherein the radiation emanating from said source is focused onto a detector area four times larger than said first size;c) analyzing said focused radiation to collect data as to the type and location of the radiation; andd) producing an image using the data wherein said image is produced by an array of detectors. 2. A method for high spatial resolution imaging of x-ray and gamma radiation comprising:a) supplying one or more sources of radiation;b) focusing said radiation onto one or more detectors by means of mechanically bent diffracting crystals;c) analyzing said focused radiation to collect data as to the type and location of the radiation; andd) producing an image using the data, wherein said source is a point source and said radiation is focused onto a detector area having a width of 0.3 mm. 3. The method as recited in claim 2 wherein an extended image having 0.3 mm resolution is produced from a 3 mm diameter source with said source, crystals, and detectors remaining stationary. 4. The method as recited in claim 2 wherein the mechanically-bent crystals are produced by a method which comprises:a) selecting a crystalline material and cutting from said material single crystal slabs of desired thickness and with Miller indices orientation determined according to the radiation to be focused;b) forming sets of two or more juxtaposed plates, at least one of which plates is one of said crystal slabs, by contacting said plates with an uniform layer of glue placed intermediate the plates, wherein said glue hardens only when it is activated;c) bending to a predetermined curvature one or more of said sets by means of a bending apparatus that allows in-situ measurements of the curvature of the plates;d) activating said glue while the set of plates is in the bending apparatus;e) releasing said set from the bending apparatus. 5. The method as recited in claim 4 wherein at least two of said crystal slabs in a said set are chosen to be dissimilar. 6. A device for high spatial resolution imaging of a plurality of sources of x-ray and gamma-ray radiation comprising:a) a means for locating the sources of radiation;b) a plurality of mechanically bent diffracting crystals of a width not exceeding the resolution for focusing radiation emanating from the located sources and directing it to a plurality of detectors;c) detector arrays for analyzing said directed radiation to collect data as to the type and location of the source of the radiation wherein each source has a first size and wherein the radiation emanating from said source is focused onto a detector area four times larger than said first size; andd) a means for converting the data to an image. 7. The device as recited in claim 6 wherein the diffracting crystals form a plurality of lenses. 8. The device as recited in claim 7 wherein each lens comprises a plate defining apertures arranged as concentric rings, and wherein the crystals are oriented within the apertures so as to diffract radiation of a predetermined energy to the same focal point. 9. The device as recited in claim 7 wherein said lenses have a focal length of 0.25 meters or less. 10. The device as recited in claim 6 wherein said bent crystals have lattice planes with a continuously variable lattice spacing. 11. A device for high spatial resolution imaging of a plurality of sources of x-ray and gamma-ray radiation comprising:a) a means for locating the sources of radiation;b) a plurality of mechanically bent diffracting crystals of a width not exceeding the resolution for focusing radiation emanating from the located sources and directing it to a plurality of detectors;c) detector arrays for analyzing said directed radiation to collect data as to the type and location of the source of the radiation wherein said detectors in said detector arrays have a resolution of 0.3 mm or less; andd) a means for converting the data to an image. 12. A method for bending crystals for use in a crystal diffraction system comprising:a) selecting a crystalline material and cutting single crystal slabs with pre-determined Miller indices orientation and of desired thickness from large single crystals;b) forming sets of two or more juxtaposed plates, said plates comprising one or more of said slabs, by contacting said plates with an uniform layer of glue placed intermediate said plates, wherein said glue hardens only when it is activated;c) bending to a predetermined curvature one or more of said sets by means of a bending apparatus that allows in-situ measurements of the curvature of the plates;d) activating said glue while the set of plates is in the bending apparatus; ande) releasing said set from the bending apparatus. 13. The method as recited in claim 12 wherein at least two of said crystal slabs in a said set are chosen to be dissimilar.
abstract
The invention is intended to shorten a positioning time required for forming an irradiation area with high accuracy using a number of leaf plates, and to reduce physical and mental burdens imposed on patients. A multi-leaf collimator comprises leaf plate driving body each including a plurality of movable leaf plates and provided respectively on one side and the other side, the plurality of leaf plates of the leaf plate driver on one side and the plurality of leaf plates of the leaf plate driver on the other side being disposed in an opposing relation to form an irradiation field of a radiation beam between the opposing leaf plates. Each of the leaf plate driving body includes a motor provided in common to the plurality of leaf plates. Driving force of the motor can be transmitted to the plurality of leaf plates at the same time through a pinion gear, upper and lower air cylinders, and upper and lower guides. Also, the driving force can be cut off selectively for each leaf plate.
060524316
claims
1. An X-ray converging mirror that can be positioned adjacent an X-ray source for reflecting X-ray beams from the X-ray source, comprising: an X-ray converging mirror having a reflecting surface of a cross-sectional profile expressed by a curve of the following equation: EQU x=y tan .theta.[1-ln(y/b)] a source of X-rays; a sample stage for supporting a sample; an optical microscope for observing the sample; a fluorescent X-ray detector operatively positioned to the sample stage; a scintillation X-ray detector operatively positioned to the sample stage; an X-ray converging mirror having a reflecting surface of a cross-sectional profile expressed by a curve of the following equation: EQU x=y tan .theta.[1-ln(y/b)] an X-ray converging mirror having a reflecting surface of a cross-sectional profile expressed by a curve of the following equation: EQU x=y tan .theta.[1-ln(y/b)] 2. The X-ray converging mirror of claim 1, wherein the mirror is formed of silica glass with zinc. 3. An improved X-ray analytical microscope system comprising: 4. An improved X-ray system having a source of X-rays, a sample stage for supporting a sample, an X-ray detector operatively positioned to the sample stage, the improvement comprising:
abstract
Fuel elements are moved between an upper pool and a lower pool of a nuclear plant by a conveyor tube having an upper end at the upper pool and a lower end at the lower pool and extending at an acute angle to the vertical between the ends. A plurality of transport baskets can move through the conveyor tube, each holding at least one of the fuel. An upper transfer device at the upper end in the upper pool loads the fuel elements into or unloads them from the transport baskets. A lower transfer device in the lower pool loads fuel elements into or unloads them from the transport baskets. Each transfer device can hold two of the transport baskets that are displaceable for positioning above or below the conveyor tube and movable during displacement through the tube between a vertical transfer position and an angled transport position.
054835607
claims
1. In a method for testing, repairing or exchanging nozzles penetrating a bottom of a reactor pressure vessel, wherein a reactor core and other core internals have been removed from the reactor pressure vessel, and wherein each nozzle serves for the introduction of a respective probe of an in-core instrumentation of a water-cooled nuclear reactor, the improvement which comprises: a. inserting at least one shielding container into the reactor pressure vessel; b. introducing all of the probes of the in-core instrumentation into a shielding region of the at least one shielding container; c. withdrawing at least one of the probes assigned to one of the nozzles to be tested or worked on, from the reactor pressure vessel; d. exposing a shaft extending through the shielding container and being assigned to at least one of the nozzles; e. introducing testing devices or tools through the shaft; f. carrying out at least one of testing and working operations; g. withdrawing the testing devices or tools from the shaft; h. shielding the shaft; i. introducing the probe into the shielding region of the shielding container; and j. repeating steps c to j for further nozzles. cutting off a nozzle to be repaired, just above an inner surface of the bottom; drilling open a nozzle part remaining in the bottom to form a staged nozzle bore; inserting a new staged nozzle part into the nozzle bore; establishing a supporting connection by means of a weld seam; and applying a sealing weld seam at a lower end of the staged bore. an apparatus for testing, repairing or exchanging the nozzles, with a reactor core and other core internals removed from the reactor pressure vessel, the apparatus comprising: a plurality of shielding containers together filling the cross section of the reactor pressure vessel, said shielding containers having a supporting flange supported on the reactor pressure vessel flange, and said shielding containers having bottom plates ending above the nozzles; a plurality of shafts passing through said bottom plate of at least one of said shielding container and engaging over the nozzles located in a corresponding partial cross section of the reactor pressure vessel; a water-filled cartridge to be inserted into each of said shafts, said cartridges having bottoms each ending above a respective one of the nozzles; tubes for receiving the probes; at least one of said tubes being embedded in at least one of said bottoms and having a closed end protruding by a predetermined amount into said cartridge and an open end being adjacent one of the nozzles; said bottom plate of the others of said shielding containers through which said shafts do not pass, having others of said tubes embedded therein with closed ends protruding by a predetermined amount into said shielding containers and open ends adjacent the nozzles covered by said shielding container; carriers for traveling in said shaft and receiving at least one of testing devices and tools; and each of said shafts having means for guiding one of said carriers. 2. The method according to claim 1, which comprises: 3. In a water-cooled nuclear reactor having a reactor pressure vessel with a bottom, a flange and a cross section, and nozzles each penetrating the bottom for the introduction of a respective probe of an in-core instrumentation of the nuclear reactor, 4. The apparatus according to claim 3, wherein the reactor pressure vessel has a wall with a cylindrical region, and said bottom plates and said cartridge bottoms lie on a level adjacent the cylindrical region. 5. The apparatus according to claim 3, wherein each of said means for guiding said carriers are assigned to a region of said shaft beginning below a respective one of said cartridges. 6. The apparatus according to claim 3, wherein said means for guiding said carriers are rails on which said carriers can be securely clamped. 7. The apparatus according to claim 3, including sealing elements each being disposed between the bottom of the reactor pressure vessel and a respective one of said shafts.
summary
summary
054323495
claims
1. An apparatus for imaging a source of radiation, comprising: a first grid operatively arrangable in proximity to the source, having at least one first subgrid element having approximately parallel, equally-spaced linear first ribs including a material opaque to the radiation, and having first radiation-transparent regions alternating with the first ribs, which are transparent to the radiation; and a second grid operatively arranged in proximity to the first grid, having at least one second subgrid element corresponding to the at least one first subgrid element and which is larger than the at least one first subgrid element, the at least one second subgrid element having second ribs which are approximately parallel, equally-spaced linear second ribs including a material opaque to the radiation, and having second radiation-transparent regions alternating with the second ribs, which are transparent to the radiation; wherein each at least one first subgrid element and its corresponding at least one second subgrid element define a subgrid system, and wherein a spacing of the first ribs defines a spatial frequency of a Fourier component of the radiation detected by the subgrid system. a first grid operatively arrangable in proximity to the source, having at least one first subgrid element having approximately parallel, equally-spaced linear first ribs including a material opaque to the radiation, and having first radiation-transparent regions alternating with the first ribs, which are transparent to the radiation; and a second grid operatively arranged in proximity to the first grid, having at least one second subgrid element corresponding to the at least one first subgrid element and which is larger than the at least one first subgrid element, the at least one second subgrid element having second ribs which are approximately parallel, equally-spaced linear second ribs including a material opaque to the radiation, and having second radiation-transparent regions alternating with the second ribs, which are transparent to the radiation; wherein each at least one first subgrid element and its corresponding at least one second subgrid element define a subgrid system, and wherein an angular orientation of the first and second ribs relative to a reference coordinate system defines an angular orientation of a Fourier component of the radiation detected by the subgrid system. a first grid operatively arrangable in proximity to the source, having at least one first subgrid element having approximately parallel, equally-spaced linear first ribs including a material opaque to the radiation, and having first radiation-transparent regions alternating with the first ribs, which are transparent to the radiation; and a second grid operatively arranged in proximity to the first grid, having at least one second subgrid element corresponding to the at least one first subgrid element and which is larger than the at least one first subgrid element, the at least one second subgrid element having second ribs which are approximately parallel, equally-spaced linear second ribs including a material opaque to the radiation, and having second radiation-transparent regions alternating with the second ribs, which are transparent to the radiation; wherein each at least one first subgrid element and its corresponding at least one second subgrid element define a subgrid system, further comprising: a position-sensitive detector operatively arranged in proximity to the second grid, having at least one detector element for receiving the radiation which passes through the subgrid system, and for generating a signal based on the radiation which passes through the subgrid system; wherein the signal indicates an amplitude of a Fourier component detected by the subgrid system. a first grid operatively arrangable in proximity to the source, having at least one first subgrid element having approximately parallel, equally-spaced linear first ribs including a material opaque to the radiation, and having first radiation-transparent regions alternating with the first ribs, which are transparent to the radiation; and a second grid operatively arranged in proximity to the first grid, having at least one second subgrid element corresponding to the at least one first subgrid element and which is larger than the at least one first subgrid element, the at least one second subgrid element having second ribs which are approximately parallel, equally-spaced linear second ribs including a material opaque to the radiation, and having second radiation-transparent regions alternating with the second ribs, which are transparent to the radiation; wherein each at least one first subgrid element and its corresponding at least one second subgrid element define a subgrid system, further comprising: a position-sensitive detector operatively arranged in proximity to the second grid, having at least one detector element for receiving the radiation which passes through the subgrid system, and for generating a signal based on the radiation which passes through the subgrid system; wherein the signal indicates a phase of a Fourier component detected by the subgrid system. a first grid operatively arrangable in proximity to the source, having at least one first subgrid element having approximately parallel, equally-spaced linear first ribs including a material opaque to the radiation, and having first radiation-transparent regions alternating with the first ribs, which are transparent to the radiation; and a second grid operatively arranged in proximity to the first grid, having at least one second subgrid element corresponding to the at least one first subgrid element and which is larger than the at least one first subgrid element, the at least one second subgrid element having second ribs which are approximately parallel, equally-spaced linear second ribs including a material opaque to the radiation, and having second radiation-transparent regions alternating with the second ribs, which are transparent to the radiation; wherein each at least one first subgrid element and its corresponding at least one second subgrid element define a subgrid system, further comprising: a position-sensitive detector operatively arranged in proximity to the second grid, having at least one detector element for receiving the radiation which passes through the subgrid system, and for generating a signal based on the radiation which passes through the subgrid system; wherein the signal indicates an image of the radiation intensity distribution of the source in spatial frequency domain, further comprising: a processor operatively coupled to receive the signal, for computing an image of the radiation intensity distribution of the source in spatial domain from the image of the radiation intensity distribution indicated by the signal. a memory operatively coupled to the processor, for storing at least one of the image in spatial frequency domain and the image in spatial domain. a display unit operatively coupled to the processor, for displaying at least one of the image in spatial frequency domain and the image in spatial domain. a first grid operatively arrangable in proximity to the source, having at least one first subgrid element having approximately parallel, equally-spaced linear first ribs including a material opaque to the radiation, and having first radiation-transparent regions alternating with the first ribs, which are transparent to the radiation; and a second grid operatively arranged in proximity to the first grid, having at least one second subgrid element corresponding to the at least one first subgrid element and which is larger than the at least one first subgrid element, the at least one second subgrid element having second ribs which are approximately parallel, equally-spaced linear second ribs including a material opaque to the radiation, and having second radiation-transparent regions alternating with the second ribs, which are transparent to the radiation; wherein the first and second grids are for use in generating an image of a radiation intensity distribution of the source in spatial frequency domain which can be Fourier-transformed into an image of a radiation intensity distribution in spatial domain having a predetermined spatial resolution, a spacing of the first ribs of the at least first subgrid element which has a smallest rib spacing being spaced by approximately twice the predetermined spatial resolution. a first grid operatively arrangable in proximity to the source, having at least one first subgrid element having approximately parallel, equally-spaced linear first ribs including a material opaque to the radiations and having first radiation-transparent regions alternating with the first ribs which are transparent to the radiation; and a second grid operatively arranged in proximity to the first grid, having at least one second subgrid element corresponding to the at least one first subgrid element and which is larger than the at least one first subgrid element, the at least one second subgrid element having second ribs which are approximately parallel, equally-spaced linear second ribs including a material opaque to the radiation, and having second radiation-transparent regions alternating with the second ribs, which are transparent to the radiation; wherein the first grid includes silicon; wherein the first radiation-transparent regions are formed by making a thickness of the silicon in the at least one first subgrid element sufficiently thin to produce translucency to the radiation. a first grid operatively arrangable in proximity to the source, having at least one first subgrid element having approximately parallel, equally-spaced linear first ribs including a material opaque to the radiation, and having first radiation-transparent regions alternating with the first ribs, which are transparent to the radiation; and a second grid operatively arranged in proximity to the first grid, having at least one second subgrid element corresponding to the at least one first subgrid element and which is larger than the at least one first subgrid element, the at least one second subgrid element having second ribs which are approximately parallel, equally-spaced linear second ribs including a material opaque to the radiations, and having second radiation-transparent regions alternating with the second ribs, which are transparent to the radiation; wherein the at least one first subgrid has a first predetermined number n of first ribs, n being a positive integer, and the at least one second subgrid has a second predetermined number n+m of second ribs, m being a positive integer; wherein a distance from the source to the first grid is D, and a distance from the source to the second grid is L, and the second ribs are spaced by a factor of (L/D).multidot.{n/(n+m)} times a spacing of the first ribs. a first grid operatively arrangable in proximity to the source, having at least one first subgrid element having approximately parallel, equally-spaced linear first ribs including a material opaque to the radiation, and having first radiation-transparent regions alternating with the first ribs, which are transparent to the radiation; and a second grid operatively arranged in proximity to the first grid, having at least one second subgrid element corresponding to the at least one first subgrid element and which is larger than the at least one first subgrid element, the at least one second subgrid element having second ribs which are approximately parallel, equally-spaced linear second ribs including a material opaque to the radiation, and having second radiation-transparent regions alternating with the second ribs, which are transparent to the radiation; wherein the first grid includes a first Fresnel zone plate which is operatively adapted to align the first and second grids. a first grid operatively arrangable in proximity to the source, having at least one first subgrid element having approximately parallel, equally-spaced linear first ribs including a material opaque to the radiation, and having first radiation-transparent regions alternating with the first ribs, which are transparent to the radiation; and a second grid operatively arranged in proximity to the first grid, having at least one second subgrid element corresponding to the at least one first subgrid element and which is larger than the at least one first subgrid element, the at least one second subgrid element having second ribs which are approximately parallel, equally-spaced linear second ribs including a material opaque to the radiation, and having second radiation-transparent regions alternating with the second ribs, which are transparent to the radiation; wherein a spacing d.sub.1 of the first ribs satisfies a relationship d.sub.1.sup.2 &gt;.lambda..multidot.L/2, in which .lambda. is a longest wavelength of the radiation, and L is a distance from the source to the second grid. a first grid operatively arrangable in proximity to the source, having at least one first subgrid element which has approximately parallel, equally-spaced first ribs including a material opaque to the radiation, and having first radiation-transparent regions alternating with the first ribs, which are transparent to the radiation, the at least one first subgrid element being effective to cause the generating of an image of a radiation intensity distribution of the source in spatial frequency domain which can be Fourier-transformed into an image of a radiation intensity distribution in spatial domain having a predetermined spatial resolution, a spacing of the first ribs of the at least first subgrid element which has a smallest rib spacing being spaced by approximately twice the predetermined spatial resolution. 2. An apparatus for imaging a source of radiation, comprising: 3. An apparatus for imaging a source of radiation, comprising: 4. An apparatus for imaging a source of radiation, comprising: 5. An apparatus for imaging a source of radiation, comprising: 6. An apparatus as claimed in claim 5, further comprising: 7. An apparatus as claimed in claim 5, further comprising: 8. An apparatus for imaging a source of radiation, comprising: 9. An apparatus as claimed in claim 8, wherein an average energy of the radiation is greater than or equal to two kiloelectron-volts (keV). 10. An apparatus for imaging a source of radiation, comprising: 11. An apparatus for imaging a source of radiation, comprising: 12. An apparatus for imaging a source of radiation, comprising: 13. An apparatus for imaging a source of radiation, comprising: 14. An apparatus for imaging a source of radiation, comprising:
claims
1. An inspection device for inspecting a voluminous object comprising:a high-energy source for generating a first set of pulsed beams of radiation, wherein the plurality of pulsed beams of radiation are transmitted substantially downward along an incident angle to a vertical axis extending through the voluminous object;means for discriminating against scattered radiation from the plurality of pulsed beams of radiation that are transmitted through the voluminous object;means for generating a radiographic image based on detected attenuation corresponding to the plurality of pulsed beams of radiation transmitted through the voluminous object; andmeans for determining that a high-z material exists in the voluminous object based a localized high attenuation in the radiographic image. 2. The inspection device of claim 1, wherein the high energy source produces the plurality of pulsed beams of radiation of maximum X-ray energy about 6-20 MeV having a mean energy X-ray energy about half the maximum. 3. The inspection device of claim 1, further comprising a means for collimating each of the plurality of pulsed beams of radiation into a fan beam of radiation prior to transmission through the voluminous object. 4. The inspection device of claim 3, wherein the fan beam of radiation is at least as wide as the width of the voluminous container. 5. The inspection device of claim 1, wherein the means for reducing scattering into the detecting means is placed between the voluminous container and the detecting means. 6. The inspection device of claim 1, wherein the incident angle is approximately 1° to 30° from the vertical axis. 7. The inspection device of claim 1, wherein the voluminous object is an intermodal container. 8. The inspection device of claim 1, further comprising a second set of pulsed beams of radiation generated from the high-energy source, wherein the second set of pulsed beams of radiation are transmitted substantially downward along a second incident angle to the vertical axis. 9. An inspection device for inspecting a voluminous object comprising:a high-energy source for producing a plurality of pulsed beams of radiation at an incident angle to a vertical axis extending through the voluminous object;one or more upper collimators for filtering the plurality of pulsed beams of radiation into a fan beam of radiation having a width approximate to the width of the voluminous object;one or more lower collimators for shielding scattered radiation from the fan beam of radiation that is scattered within the voluminous object; anda detector array for generating an attenuation signal based on radiation transmitted through the lower collimator, wherein the high-energy source is positioned above the voluminous object, the one or more upper collimators being positioned between the high-energy source and the voluminous object, the one or more lower collimators being positioned directly below the voluminous object and the detector array is positioned below the one or more lower collimators. 10. The inspection device of claim 9, wherein the one or more collimators have slots along the direction of the incident angle. 11. The inspection device of claim 9, wherein the lower collimator has slots along the direction of the incident angle. 12. The inspection device of claim 9, wherein the incident angle is approximately 1° to 30° from the vertical axis.
054694817
description
DESCRIPTION OF THE PREFERRED EMBODIMENTS I. THE CLADDING TUBE STRUCTURE As used herein, the phrase "alpha crystalline structure" or "alpha phase" means the hexagonal close-packed crystal lattice structure of zirconium and zirconium-containing alloys that is stable at lower temperatures. The temperature range in which the alpha phase is stable is referred to as the alpha range. For Zircaloy-2, the pure alpha phase exists at temperatures lower than about 820.degree. C. As used herein, the term "beta crystalline structure" or "beta phase" means the body-centered cubic crystal lattice structure of zirconium and zirconium-containing alloys that is stable at higher temperatures. The temperature range in which the beta phase is stable is referred to as the beta range. For Zircaloy-2, the pure beta phase exists at temperatures above about 960.degree. C. As used herein, the term "alpha plus beta crystalline structures" or "alpha plus beta phases" refers to mixtures of the alpha and beta phases that exists at some temperatures in some zirconium alloys--but not pure zirconium. In pure zirconium, the alpha crystalline structure is stable up to about 860.degree. C. At about this temperature a phase change occurs to form a beta crystalline structure which is stable at temperatures above about 860.degree. C. Zirconium alloys, in contrast, have a range of temperatures over which the alpha to beta phase change occurs. In this range, a mixture of alpha and beta crystalline structure is stable. The specific temperature range in which the mixture is stable depends on the specific alloy. Zircaloy-2, for example, exhibits a stable mixture of alpha plus beta crystalline structures from about 825.degree. C. to about 965.degree. C. Below about 850.degree. C., intermetallic precipitates form. FIG. 1 shows the various phase regions for Zircaloy-2. As used herein, the term "tubing" refers to a metal tube having various uses, and the term "fuel rod container" or simply "container" refers to tubing used in fuel rods to enclose fuel pellets. Sometimes the fuel rod container is referred to as "cladding" or "cladding tube". Referring to FIG. 2, a fuel element 14 (commonly referred to as a fuel rod) prepared according to the present invention is shown. Fuel element 14 includes a fuel material core 16 and a surrounding container 17. The fuel element 14 is designed to provide excellent thermal contact between the fuel rod container 17 and the fuel material core 16, a minimum of parasitic neutron absorption, and resistance to bowing and vibration which is occasionally caused by flow of coolant at high velocity. The fuel material core is typically composed of a plurality of fuel pellets of fissionable and/or fertile material. The fuel core may have various shapes, such as cylindrical pellets, spheres, or small particles. Various nuclear fuels may be used, including uranium compounds, thorium compounds and mixtures thereof. A preferred fuel is uranium dioxide or a mixture comprising uranium dioxide and plutonium dioxide. The cladding tube 17 is a composite structure including a substrate 21, a zirconium barrier 22, and an inner layer or liner 23. The substrate forms the outer circumferential region of a cladding tube, the inner layer forms an inner circumferential region of the cladding tube, and the zirconium barrier is located there between. The substrate may be made from a conventional cladding material such as a stainless steel or zirconium alloy. Suitable zirconium alloys for the substrate preferably include at least about 98% zirconium, up to about 0.25% iron, up to about 0.1% nickel, up to about 0.25% chromium, and up to about 1.7% tin (all percents by weight). Other alloying elements may include niobium, bismuth, molybdenum, as well as various other elements used in the art. Most genes;ally, any zirconium alloy with suitable corrosive resistance to water and with sufficient strength and ductility may be employed. In a preferred embodiment of this invention, the substrate is Zircaloy-2 or Zircaloy-4. Metallurgically bonded on the inside surface of substrate 21 is the zirconium barrier 22. See the above-mentioned U.S. Pat. Nos. 4,200,492 and 4,372,817 to Armijo and Coffin, U.S. Pat. No. 4,610,842 to Vannesjo, and U.S. Pat. No. 4,894,203 to Adamson. Because the zirconium barrier is at least partially alloyed in the methods of the present invention, it resists accelerated corrosion in the event of a cladding breach and the subsequent ingress of steam. In the present invention, such protection is provided by imparting a significant alloying element concentration to the barrier layer through a diffusion anneal step. This will drive some amount of the elements from the substrate and inner liner into the zirconium barrier layer where they provide resistance to accelerated corrosion. The alloying elements in the barrier layer should simply be present in concentrations sufficient to provide some measure of protection against accelerated corrosion without significantly compromising the compliance of the zirconium. It is especially important that the alloying elements be present at the inner surface of the zirconium barrier layer (adjacent to the inner liner). This ensures that if the barrier layer becomes exposed to a corrosive environment as a result of a defect in the inner liner, the barrier layer surface (its inner surface) will have some measure of corrosion protection. Suitable excess concentrations of alloying elements at the zirconium barrier inner surface are (on a per weight basis) at least about 0.03 percent iron, at least about 0.01 percent chromium, and at least about 0.01 percent nickel (all concentrations beyond the "unalloyed" levels for the alloying elements). More preferably, these concentrations should be between about 0.03-0.40 percent iron, between about 0.01-0.20 percent chromium, and between about 0.01-0.20 percent nickel (again, beyond the unalloyed levels). Throughout the present specification, various references are made to "alloying elements" in the zirconium barrier layer or to an "alloyed" zirconium barrier layer. Such references are intended to include cladding tubes in which the concentration of alloying elements (e.g., iron and nickel) purposely added is in excess of the concentration of those elements in a conventional "unalloyed" zirconium barrier layer. As explained above, conventional barrier layers made to specification are of only finite purity (i.e., they typically contain some low concentration of the alloying elements). Thus, all values provided herein for alloying element concentrations refer to concentrations beyond those conventionally found in zirconium barrier layers. For example, if zirconium used in "unalloyed" barrier layers is made to a specification of 500 ppm iron, an alloyed zirconium barrier layer having 0.1 weight percent iron, will contain that weight percent plus the 500 ppm of conventional zirconium. The barrier layer will have a diffusion layer extending from the barrier layer's inner surface (facing the fuel) to the barrier layer's interior (the interior being defined between the barrier layer's inner and outer surfaces). At the interior edge of the diffusion layer, there will be substantially no alloying elements beyond those normally present in zirconium (e.g., chromium--70 ppm or less; iron--500 ppm or less; and nickel--70 ppm or less). Preferably, the diffusion layer extends from the barrier layer's interface with the inner liner into the barrier layer interior by at most about 10% of the barrier layer's total width. This corresponds to about 8 micrometers of a conventional 75 micrometer barrier layer's total radial thickness. In more preferred embodiments, the diffusion layer has a thickness of at most about 5% of the barrier layer's total width. Metallurgically bonded to the inside surface of the zirconium barrier 22 is the inner liner 23. This layer provides some protection of the zirconium barrier from rapid oxidation should the fuel element interior come in contact with steam. Thus, the inner liner should be a relatively corrosion resistant material such as Zircaloy. However, modified Zircaloys and other corrosion resistant materials may also be employed. For example, the inner liner may be softer than conventional Zircaloy so that crack initiation and propagation on the inner surface of the cladding tube are minimized. See U.S. patent application Ser. No. 08/092,188, previously incorporated herein by reference. In an alternative embodiment, the inner liner may be made from an alloy designed to have strongly hydrogen absorbing properties. One such material is a zirconium alloy having a high concentration of nickel (e.g., up to 15% nickel). In some embodiments, the inner liner is so thin that it is completely consumed by interdiffusion with the barrier layer in a diffusion anneal. The resulting cladding contains a barrier layer having significant resistance to accelerated corrosion because of the increased alloying element concentration at the barrier layer inner region (where it is most susceptible to corrosion). The diffusion anneal also homogenizes the concentration distribution over the barrier layer's inner surface. This advantage also results when the inner liner is retained in the, final cladding. Thus, if there were any tears or other defects in the inner liner (that could provide a site for accelerated corrosion), the diffusion anneal causes the alloying elements to move into the barrier layer at these defects sites to protect against accelerated corrosion. Aside from the inner liner being completely consumed in a diffusion anneal, the product cladding tube is structurally similar to the three-layer cladding tube described above. Further details of the structure formed according to the methods of this invention are found in U.S. patent application Ser. No. 08/215,458 entitled A NUCLEAR FUEL CLADDING HAVING AN ALLOYED ZIRCONIUM BARRIER LAYER filed concurrently herewith, assigned to the assignee hereof, and incorporated herein by reference for all purposes. II. MANUFACTURE OF THE TUBING The methods of this invention have as their goal the formation of tubing having the above structure and properties. The methods includes a diffusion anneal of a three-layer cladding in the range of 650.degree.-1000.degree. C. (more preferably 650.degree.-825.degree. C.) for times between about 1 minute and 20 hours. The exact time and temperature depends upon the fabrication stage at which the heat treatment occurs. In the normal practice, various stages would be appropriate for application of the diffusion anneal: (1) after the tubeshell has been formed but before any tube reduction cold work passes, and (2) after any of the three or more cold work passes performed after the tubeshell stage. An overview of the process discussing the tubeshell and cold work stages is provided below. Since the invention depends on diffusion of alloying elements (particularly iron and nickel) through the zirconium barrier layer, the relevant variables associated with the diffusion anneal are time, temperature, and barrier thickness. Thus, at stages in the process when the barrier layer is thin (e.g. after the final pass cold work), relatively low temperatures and/or short times should be employed. Conversely, at stages when the barrier layer is relatively thicker (e.g., at the tubeshell stage), relatively high temperatures and/or long times should be employed. At the tubeshell stage, suitable temperatures and times include about 650.degree.-825.degree. C. for between about 4 and 20 hours. At 825.degree. C., the time should be closer to 4 hours, while at 650.degree. C., time should be between about 10 and 20 hours. At a final tubing stage (after the last pass of cold work), suitable temperatures and times include about 650.degree.-825.degree. C. for between about 5 minutes and 10 hours. At temperatures approaching 825.degree. C., the diffusion time should approach 5 minutes. In all cases, care should be taken to ensure that the diffusion anneal is not conducted to such an extent that the alloying element concentration is increased across the entire zirconium barrier layer. The anneal should produce a diffusion layer containing a concentration of alloying elements that decreases from inner surface of the barrier layer to a location interior to the barrier layer where there is substantially no alloying elements. In some cases, the anneal will be conducted under conditions in which the inner liner is completely consumed within the barrier layer. As will be apparent to those of skill in the art, the diffusion anneal can be performed with various commercially available pieces of equipment such as a vacuum furnace, an inert gas furnace, or an induction coil. Suitable vacuum annealing furnaces are available from Centorr Vacuum Industries of Nashua, New Hampshire. Generally the process is started with a Zircaloy or other suitable alloy ingot melt which is forged, pierced, and expanded to produce a thick-walled billet. The billet is subsequently quenched from the beta phase. Thereafter, an extrusion step and possibly some cold working, annealing, and surface conditioning steps designed for dimensional stability are employed. This results in a tubular section referred to as a tubeshell which is subsequently subjected to various annealing, cold working, and other steps to produce the final fuel rod cladding. At some point after the beta quench, the barrier layer and inner liner are bonded to the interior of the substrate (the exterior surface of the inner liner is actually bonded to the interior surface of the barrier layer). Both of these layers are provided as sleeves formed in one or more steps from billets of the appropriate material--zirconium for the barrier layer and a zirconium alloy for the inner liner. Various methods may be employed to bond the three components to one another. However, because the extrusion step must be conducted regardless of any other techniques employed to bond the components, extrusion is the preferred bonding process. In this approach, the extrusion process itself provides the necessary energy (in the form of compression) to bond the three layers. Other suitable bonding processes are described in U.S. patent application Ser. No. 08/091,672 previously incorporated by reference. It should be noted, that the three components are typically bonded to one another in a single step, but this is not required. For example, the zirconium barrier layer could be bonded to the substrate in one step, and the inner liner could be bonded to the substrate/barrier layer in a second step. Extrusion is accomplished by putting the tube through a set of tapered dies under high pressure at about 1000.degree. to 1400.degree. F. (about 538.degree. to 760.degree. C.). Suitable extruders are available from Mannessmann Demang, Coreobolis, Pennsylvania. After extrusion, the composite is subjected to a conventional annealing and tube reduction processes to produce a product known as a "tubeshell" which is available in specified dimensions and compositions from various vendors such as Teledyne Wahchang (Albany, Oreg. USA), Western Zirconium (A Westinghouse company of Ogden, Utah), and Cezus (France). The three-layer structure formed by bonding is normally annealed and reduced to a standard diameter (e.g. about 2.5 inches) by cold work. A post-cold work annealing step and some surface conditioning may also be conducted. The resulting tubing is referred to as a tubeshell. The tubeshell is subjected to multiple passes of cold working--usually with a pilger mill--to impart the dimensions required for a particular application. After each cold work step, an anneal is conducted for e.g. about two to four hours to relieve stress and regain ductility. Preferably, the cold work passes are each conducted to between about 30 and 80%, although this is not critical to the invention. The percent value of cold work is roughly analogous to the percent reduction of wall thickness during the process. It will be understood by the reader that pilger mills are generally available, albeit fairly complicated, pieces of equipment. During cold working with a pilger mill, a shaped die is rolled on the outside of the tube while a hard tapered mandrel supports the inside of the tube. In this manner, the wall thickness and diameter of the tube are simultaneously reduced. Further details of the cold working process are provided in the above-mentioned U.S. patent application Ser. No. 08/091,672. To impart additional corrosion resistance to the outer circumferential region of the substrate, a step of selectively heating and rapidly quenching the outer region from the alpha plus beta region or the pure beta region while maintaining the inner region at a lower temperature preferably may be performed during the subsequent tube reduction processing. This creates a metallurgical gradient in which the outer region contains fine precipitates, while the inner region retains coarse precipitates. This heat treatment step can be accomplished by various methods including induction coil heating as described below. It may also be desirable to create or preserve coarse precipitates in the inner region of the tube by performing at least one high temperature anneal and/or performing the recrystallization anneals at relatively high temperatures. As used herein, a high temperature anneal refers to a process conducted at between about 650.degree. and 825.degree. C. for between about 1 and 100 hours. A coarse precipitate microstructure generally resists crack propagation. A more detailed discussion of the procedure employed to produce this microstructure is provided in U.S. patent application Ser. No. 08/052,793 entitled ZIRCALOY TUBING HAVING HIGH RESISTANCE TO CRACK PROPAGATION and U.S. patent application Ser. No. 08/052,791 entitled METHOD OF FABRICATING ZIRCALOY TUBING HAVING HIGH RESISTANCE TO CRACK PROPAGATION, both of which were filed on Apr. 23, 1993, assigned to the assignee hereof, and are incorporated herein by reference for all purposes. In some embodiments, it will be desirable to perform an etch or other surface conditioning step to remove the inner liner from the cladding. This will yield a cladding in which the zirconium barrier layer forms the inner circumferential surface. Chemical and mechanical surface conditioning steps are currently employed in cladding fabrication. These include honing, grinding, sanding, machining with a lathe, buffing, chemical etching, and chemical mechanical polishing. For ease in understanding the processes of this invention, a specific preferred process according to this invention is now described. It should be understood that although the conditions described in this example are quite specific, each step of the process could be conducted under a range of conditions. The process is started with a hollow Zircaloy billet of approximately six to ten inches in diameter and two feet in length. The billet will form the substrate of a structure by the end of the process. At that point, the billet will be convened to about 400 feet of tubing having about a one-half inch outer diameter. First, the billet is rapidly quenched. Generally, the quench involves heating the billet above about 1000.degree. C., and then rapidly cooling from 1000.degree. C. to about 700.degree. C. by immersion in a tank of water. Maintaining a proper quench rate is important in the temperature range between 1000.degree. C. and 700.degree. C.; after 700.degree. C. is reached, however, the rate of cooling can be increased or decreased as desired. After quenching, a tube of the metal selected to be the zirconium barrier and a tube of the material selected to be the inner finer are concentrically inserted into the hollow billet. The ends of the billet, barrier, and inner liner tubes are then bonded by electron beam welding. The welded tube is extruded at a tube temperature of about 570.degree. C. to produce a tube having a diameter of about 3 inches. The extruded tube is further annealed and cold worked to produce a tubeshell of about 2.5 inches in diameter. At this stage a diffusion anneal is performed at about 800.degree. C. for about four hours to produce a three layer cladding having a diffusion layer as described above. This step can have the additional advantage of coarsening the precipitates in the billet, thereby improving resistance to axial crack propagation. In the subsequent processing, the tube diameter and wall thickness are reduced, but the barrier layer concentration profile will still include a corrosion-resistant diffusion layer. The tubeshell is subjected to three cold work passes in a pilger mill. It will be understood by the reader that pilger mills are generally available, albeit fairly complicated, pieces of equipment. During cold working with a pilger mill, a shaped die is rolled on the outside of the tube while it hard tapered mandrel supports the inside of the tube. In this manner, the wall thickness and diameter of the tube are simultaneously reduced. The first pass cold work step is typically performed to about 69%. This percent value is roughly analogous to the percent reduction of the wall thickness. If the tube is given too much cold work in a single pass, it may crack during manufacture. To relieve the stress caused by cold working, the tube is annealed at about 593.degree. C. for two hours in a large vacuum annealing furnace such as that described above (available from Centorr Vacuum Industries, located in Nashua, N.H.). Next, the tube is heat treated at about 927.degree. C. on the outer 15% of the wall. This is accomplished by heating the tubeshell with a high-energy or frequency (from an induction coil) which penetrates at most about 33% of the wall. During the induction heating water flows through the tube center. This serves two purposes: first it maintains the interior of the tube at a lower temperature while the outer region is heated, and second it very rapidly quenches the entire tube when the heating energy is removed. It is important to recognize that the inner portion of the tubeshell is not substantially heated. Further details of the induction heating process are provided in U.S. Pat. No. 4,576,654 to Eddens which is incorporated herein by reference for all purposes. This selective heating step imparts corrosion resistance to the outer region of the substrate by producing fine precipitates therein. At this point, a second pass cold work is performed (this time to about 74%) with a pilger mill. To remove the stress induced by this second pass cold work step, another anneal (again at 593.degree. C. for about 2 hours) is performed. Finally, the third pass cold work is performed as before. This reduces the tube to its final size--about one-half inch outer diameter with a nominal wall thickness of roughly 30 mils. This tube is cut up into lengths for fuel rods (i.e. about 14 feet long) and given a final recrystallization anneal at 577.degree. C. for about two hours. Alternatively, the final anneal could be a stress relief anneal conducted at any temperature between about 480.degree. C. to 577.degree. C. After the final anneal, the tube is ready for use in the reactor. It will be recognized by those of skill in the art that various steps are performed in addition to those listed in the above. For example, chemical etching is employed to remove superficial defects caused by the tube reduction mill. Further, straightening of tubes is often performed with pieces of equipment designed for this purpose. In addition, various nondestructive tests such as corrosion tests and ultrasonic tests for crack imperfections in the surface are performed. This is not an exhaustive list, but merely serves to describe some steps which may be employed. The composite tubing of this invention can be used to make nuclear fuel elements by first affixing a closure to one end of the cladding tube so that only one open end remains. The completed fuel element is then prepared by filling the cladding container with nuclear fuel material, inserting a nuclear fuel material retaining means into the cavity, evacuating the cladding tube interior, pressurizing the interior with helium, applying a closure to the open end of the container, and bonding the ends of the cladding container to the closure to form a tight seal there between. Although the foregoing invention has been described in some detail for purposes of clarity of understanding, it will be apparent that certain changes and modifications may be practiced within the scope of the appended claims. For instance, although the specification has described three or four passes of cold work, other suitable processes having more or fewer cold work passes may be used as well.
abstract
Glitches during ion implantation of a workpiece, such as a solar cell, can be compensated for. In one instance, a workpiece is implanted during a first pass at a first speed. This first pass results in a region of uneven dose in the workpiece. The workpiece is then implanted during a second pass at a second speed. This second speed is different from the first speed. The second speed may correspond to the entire workpiece or just the region of uneven dose in the workpiece.
abstract
Disclosed is a separation and receiving apparatus for a spent nuclear fuel rod. The spent nuclear fuel rod is mounted and downwardly transferred by a pin. At this time, a blade peels the hull of the spent nuclear fuel rod. The hull and a pellet positioned therein are separated by a separator. The peeled hull and pellet are each received in respective receiving vessels. Accordingly, since the hull and pellet made of uranium oxide (UO2) may be automatically separated and received in each respective vessel, safety and automation may be guaranteed.
060411015
description
DESCRIPTION OF THE INVENTION The following embodiments illustrate and exemplify the present invention and concept thereof. Yet in that regard they are deemed to afford the best embodiments for the purpose of disclosure and to provide a basis for the claims herein which define the scope of the present invention. Referring to FIG. 1, a patient's body B lies on a treatment machine couch 1 which is typical for a LINAC. The patient's head H is secured by a stereotactic ring 2 and head posts 3 to the patient's cranium. The ring 2 is immobilized to the LINAC couch by attachments 4. A target volume 5 is shown within the patient's head. A LINAC machine 7 is shown schematically by the dotted outline. Within the gantry of the LINAC are usually a set of blocking jaws which are typical opposing sets of orthogonal jaws, indicated by the pair 8 and 9 which move in the directions indicated by the arrow 10, and jaws 11 and 12, indicated by the arrows 13. A source of X-rays S delivers an X-ray beam with nominal direction indicated by the dashed line 15 converging on the target volume 5. The X-ray beam is defined by the outline of the circular collimator aperture 16 and the position of the jaws 8, 9, 11, and 12 as they intercept the beam profile through the aperture 16. The invention relates to the use, in combination, of circular apertures or other shaped fixed apertures together with blocking jaws in a linear accelerator to provide hybrid shapes of beams which enable better conformal dosimetry towards the target volume. FIG. 2 gives an example of a so-called "beam's-eye view" of a circular collimator used in conjunction with straight edged jaws in accordance with the present invention. The circular collimator profile is indicated by the dashed outline 18, and the straight edged jaws are illustrated by the dashed area 8 and 9. This view is as seen by the beam looking down the direction of the circular collimator. The nominal beam axis 15 of FIG. 1 is indicated through the point 19 in FIG. 2. The open area between the jaws 8 and 9 and the circular collimator is indicated by the solid line perimeter 20. For an irregularly shaped target volume, indicated by the profile 21, the solid line 20 conforms very much more closely to the target volume than if only the circular collimator 18 were used or, alternatively, if only the jaw configurations 8 and 9 were used. Thus the combination of the circular collimator and straight edged jaws gives much more conformality to a target volume from a given beam direction than the jaws separately or the circular collimators separately. Referring again to FIG. 1, such a configuration of beam's-eye view profile would then be swept through arcs indicated by the arrows 21 according to the so-called gantry angle and couch angle of a linear accelerator (see the specifications, for example, from Varian Corporation, California, or Siemens Corporation, California, for LINACs). Referring to FIG. 3 is another embodiment example of the present invention where (with similar numbering as given above) jaws 8 and 9 provide a straight edge perimeter and jaw 12 is one of an orthogonal pair which together with the circular collimator aperture gives rise to a solid line contour 22 that conforms relatively tightly to the tumor profile 23. Here the use of three jaws is invoked to eclipse the circular aperture 18 to provide better conformality. Other examples may be given of irregularly shaped tumors and one, two, three, or four jaws of the typical four pairs in a LINAC, as illustrated in FIG. 1, can be used to bring in secant type eclipses to the circular collimator shape to provide the best conformality with this combination of apertures. Different size radius collimators 18 could be invoked, depending on the size of the tumor. In accordance with the present invention and illustrated by FIG. 4, a system and process comprising determination of jaw positions 25 and selection of circular collimators 26 is used in cooperation with a conformal treatment planning system 27 such as the XKnife software and computer workstation of Radionics, Inc., Burlington, Mass. Such a computer workstation will have input data from image scanning of the patient's body 28 from a CT or MRI scanner, and treatment planning of beams and dosimetry can be handled in computer system 27. From this, a selection of jaw configurations in combination with circular aperture sizes can be derived, thus determining the values of jaw position 25 and circular collimator size 26. Once determined for a given arc, the jaws and circles may be fixed and the delivery of an arc with this configuration, such as illustrated by arc 30 in FIG. 1, can give rise to conformal radiation to target volume 5. The jaws may also move as the beam arc is swept over the patient in a more dynamic mode. Thus, a process of treatment planning with jaw and circular arc beams is illustrated. CT image data 28 together with treatment planning system is in accordance with the target volume and appropriate beam positions. Thereby, a selection of jaw positions and circular collimator sizes can be determined together with associated arc therapy. The treatment planning system 27 can also derive the arc positions and the arc lengths as well as X-ray dose to optimize the dosimetry on a target such as 5 in FIG. 1. Dose algorithms can be derived (such as those from XKnife or XPlan of Radionics, Inc., Burlington, Mass.) that can derive dosimetry from such jaw/circular collimator ports with swept LINAC arcs. The results of such dosimetry indicate, according to the present invention, that the quality of the conformality of the dose to the target volume is superior and the degree of radiation to normal tissue outside of the target volume is reduced from the situation where only circular collimators are used or only standard jaw configurations are used independently. Thus the present invention represents an improvement over the dosimetry possible by each of these previously used, independent methods. Since square jaws are existent in most standard linear accelerators, and circular collimators are used in standard radiosurgery, the combination of these two elements when used according to the present invention can give substantially superior radiation dose to a target volume. Once a treatment plan has been derived, the appropriate dose plan, collimator sizes, LINAC settings, and arc configurations can be derived (element 32), and the treatment of the patient can proceed (element 33). Variations of the present invention may be apparent to those skilled in the art, and the system may take other forms with a multitude of variations. The use of non-circular collimators (aperture 16) can be invoked, and this can be used as cut blocks. The use of non-orthogonal jaws in a LINAC may also be used. A non-conventional set of jaws involving one or more jaw configurations may be used in conjugation with a circular aperture in accordance with the present invention to improve treatment planning. For instance, a special set of extra jaws could be built into the LINAC in conjugation with a circular collimator as a dedicated jaw-circle collimator apparatus. Various dose algorithms may be used to determine the dosimetry for jaws and circular collimators. In view of these considerations, and as will be appreciated by persons skilled in the art, implementations, systems, and processes could be considered broadly and with reference to the claims as set for below.
claims
1. A spacer for holding a number of elongated fuel rods intended to be located in a nuclear plant, wherein said spacer encloses a plurality of sleeves, each forming a cell having a longitudinal axis and arranged to receive a fuel rod in such a way that the fuel rod extends substantially parallel with the longitudinal axis,the sleeves being permanently connected to each other in the spacer,each sleeve forming a single cell arranged to receive a single one of the fuel rods,each sleeve being manufactured of a sheet-shaped material of a nickel-based alloy,the sheet-shaped material having a first end and a second end and comprising a first connection portion in the proximity of the first end and a second connection portion in the proximity of the second end,the sheet-shaped material being formed into a substantially cylindrical shape in such a way that the first end overlaps the second end, wherein the sheet-shaped material extends around the single cell dimensioned for housing the single one of the fuel rods,the sheet-shaped material having a material thickness, which is less than about 0.20 mm, andeach sleeve comprising at least one weld joint permanently connecting the first connection portion and the second connection portion to each other. 2. A spacer according to claim 1, wherein said weld joint includes a spot weld. 3. A spacer according to claim 1, wherein the nuclear plant is arranged to permit re-circulation of a coolant flow and wherein the spacer is arranged to be located in the coolant flow, the spacer including at least one vane for influencing the coolant flow. 4. A spacer according to claim 3, wherein said vane is formed by a portion of the material, which extends from the first connection portion. 5. A spacer according to claim 3, wherein said vane is inclined in relation to the longitudinal axis. 6. A spacer according to claim 1, wherein the sheet-shaped material has a material thickness, which is less than or equal to about 0.18 mm. 7. A spacer according to claim 1, wherein the sleeve has an upper edge and a lower edge. 8. A spacer according to claim 7, wherein the sleeve includes a number of ridges, which project inwardly towards the longitudinal axis and extend substantially in parallel with the longitudinal axis for abutment to the fuel rod to be received in the cell. 9. A spacer according to claim 8, wherein said ridges extend from the upper edge to the lower edge. 10. A spacer according to claim 8, wherein each sleeve includes at least four of said ridges. 11. A spacer according to claim 7, wherein the lower edge, seen transversely to the longitudinal axis , has a wave shape with wave peaks and wave valleys and that the upper edge, seen transversely to the longitudinal axis , has a wave shape with wave peaks and wave valleys. 12. A spacer according to claim 11, wherein the sleeve includes a number of ridges which project inwardly towards the longitudinal axis and extend substantially in parallel with the longitudinal axis for abutment to the fuel rod to be received in the cell, and wherein said wave peaks are aligned with a respective one of said ridges, and wherein said wave valleys are located between two adjacent ones of said ridges. 13. A spacer according to claim 11, wherein the sleeves abut each other in the spacer along a connection area extending in parallel to the longitudinal axis between one of said wave valleys of the upper edge and one of said wave valleys of the lower edge. 14. A spacer according to claim 13, wherein the sleeves are permanently connected to each other by means of weld joints. 15. A spacer according to claim 13, wherein said weld joint includes an edged weld at said connection area at least one of the upper edge and the lower edge. 16. A spacer according to claim 1, wherein the sleeve seen in the direction of the longitudinal axis has four substantially orthogonal long sides. 17. A spacer according to claim 16, wherein the sleeve includes a number of ridges which project inwardly towards the longitudinal axis and extend substantially in parallel with the longitudinal axis for abutment to the fuel rod to be received in the cell, and wherein each long side includes one of said ridges. 18. A spacer according to claim 16, wherein the nuclear plant is arrange to permit re-circulation of a coolant flow, wherein the spacer is arranged to be located in the coolant flow, the spacer including at least one vane for influencing the coolant flow, and wherein said vane extends outwardly from one of said four substantially orthogonal long sides. 19. A spacer according to claim 16, wherein the sleeve seen in the direction of the longitudinal axis has four substantially orthogonal short sides, wherein each short side connects two of said four substantially orthogonal long sides. 20. A spacer according to claim 19, wherein the sleeve has an upper edge and a lower edge, and wherein each short side includes a portion of one of said wave valleys of the upper edge and a portion of one said wave valleys of the lower edge. 21. A fuel unit for a nuclear plant comprising:a number of elongated fuel rods, anda number of spacers for holding the fuel rods, wherein the spacers enclose a plurality of sleeves, each forming a cell having a longitudinal axis and being arranged to receive one of said fuel rods in such a way that the fuel rod extends in parallel to the longitudinal axis,the sleeves being permanently connected to each other in the spacer,each sleeve forming a single cell arranged to receive a single one of the fuel rods,each sleeve being manufactured of a sheet-shaped material of a nickel-based alloy,the sheet-shaped material having a first end and a second end and comprising a first connection portion in the proximity of the first end and a second connection portion in the proximity of the second end,the sheet-shaped material being formed into a substantially cylindrical shape in such a way that the first end overlaps the second end, wherein the sheet-shaped material extends around the single cell dimensioned for housing the single one of the fuel rods,the sheet-shaped material having a material thickness, which is less than about 0.20 mm, andeach sleeve comprising at least one weld joint permanently connecting the first connection portion and the second connection portion to each other.
051071258
abstract
An x-ray imaging screen is disclosed comprised of a thermoplastic film support having a planar coating surface and a fluorescent layer coated on that surface. The film support includes an integral lip at its outer boundary extending above the planar coating surface and along peripheral edge portions of the fluorescent layer to protect the fluorescent layer from wear and delamination from the film support. After coating the fluorescent layer on the planar surface of a film support in forming the screen, the coated film support is cut to size by locally heating the film support above its softening point. A softened portion of the film support is caused to flow over the peripheral edge of the fluorescent layer to form the integral lip while cooling the softened portion of the film support immobilizes the integral lip along the peripheral edge of the fluorescent layer, thereby providing a lateral protective buffer for the fluorescent layer along its peripheral edge.
summary
description
The present application claims priority from Japanese application JP 2005-041531 filed on Feb. 18, 2005, the content of which is hereby incorporated by reference into this application. The present invention relates to a manufacturing method and apparatus for substrates having microscopic circuit patterns, such as semiconductor devices and liquid crystal devices, and more specifically to the pattern inspection technology for semiconductor devices and photomasks. Further specifically, the present invention relates to a review SEM whereby, after an optical imaging based or electron beam based defect inspection of an arbitrary area on an unfinished wafer during the manufacturing process of semiconductor devices, a defect review is performed with high resolutions based on the defect coordinate. A semiconductor device is manufactured by repeating a process of transferring patterns formed with photomasks on the surface of a wafer by means of lithography or etching. In this manufacturing process, in order to realize early boosting of yield and stable operation of the manufacturing process, it is essential to rapidly analyze a defect found by an inline wafer inspection and use the result for countermeasures. The key to rapid application of the analysis result to countermeasures for defects is an automated defect review and classification technology whereby a large number of defects are rapidly reviewed and classified according to the causes. As the manufacturing process becomes microminiatuarized, defect sizes affecting the yield of semiconductor are becoming micromiatuarized, and consequently it is becoming difficult for an optical review apparatus to perform a review with high resolution. To address this problem, SEM (Scanning Electron Microscope) based review apparatuses capable of reviewing at high speeds and with high resolution have been commercialized. In such apparatuses, it is important for detection of microscopic foreign particles or scratches to obtain a shadow image from an SEM image, which is equivalent to a shadow made when those objects are irradiated from the side. The basic principle of obtaining such a shadow will be described with reference to FIGS. 2A to 2C. A bump 101 created by a foreign particle in the film is scanned as shown by 41 with an electron beam 37, and when the electron beam 37 is scanning the right side of the bump 101 secondary electrons 38 are emitted. At this time, noting a low angle component of the elevation angle, some of the secondary electrons emitted at the left side are hidden by the bump 101. Because of this, the number of secondary electrons detected is different between right and left detector plates 11 and 12. Thus, the images detected by the detector plate 11 and 12 will be ones whose shadows are emphasized as shown in FIGS. 2B and 2C, respectively. An example is described in JP-A No. 273569/1997 that is intended to achieve high resolution needed for detecting microscopic asperity based on this principle. An electromagnetic overlapping objective lens is used to achieve high resolution. In this case, secondary electrons emitted from the specimen rotate and cause energy distribution, and their rotation angles differ depending on the energy. As a result, even a secondary electron emitted at a certain azimuth will lose its directional information after it has passed through the objective lens. Therefore, the directional information is preserved by generating an electric field near the wafer to accelerate secondary electrons, and causing the secondary electrons to pass through a magnetic field generated by the objective lens at high speeds, whereby to reduce the energy distribution. Furthermore, by controlling the trajectories of secondary electrons and back scattering electrons, secondary electrons are detected by a ring-shaped detector plate disposed between electron source and objective lens; specifically back scattering electrons are detected by the inner ring and secondary electrons by the outer ring. The outer ring is divided into four parts in a fan-like form and the azimuths of secondary electrons can be selected, making it possible to obtain shadow images. An example of selecting the elevation angle components is described in JP-A No. 30654/2000. In this example, two detector plates are disposed at locations shifted from each other in the direction of light axis, with the distance between the detector plates being at least 25% of the distance between the specimen side detector plate and the focal plane of the objective lens. This allows the selection of detected electrons based on the emission angles of emitted electrons. To detect shallow asperities, microscopic foreign particles, and the like, it is important to emphasize the shadow contrast by selecting detected azimuths and elevation angles, and obtain high quality images without shading. In JP-A No. 273569/1997, however, all the elevation angle components (0 to 90 degrees) are detected for emitted secondary electrons, and consequently the shadow contrast lowers, making it impossible to emphasize the contrast. For shallow asperities, the shadow contrast is low and defect detection may fail. Moreover, since the ring-shaped detector plates must perform the azimuth selection for secondary electrons, it is necessary to dispose the ring-shaped detector plates symmetrically relative to the light axis along which a primary electron beam passes. However, if the detector plates are not disposed symmetrically due to a problem with mechanical precision, shading occurs in a shadow contrast image. As for JP-A No. 30654/2000, the selection of elevation angles is performed but azimuths cannot be separated, making it impossible to obtain shadow images. Because of these problems described above, it was difficult to detect shallow asperities and the like with the prior arts. An electromagnetic overlapping objective lens is used to achieve high resolution. An electron beam is narrowly focused using this objective lens to irradiate the electron beam onto a specimen. In order to suppress the dependence, on secondary electron energy, of the rotation of secondary electrons generated from the specimen, an electric field is applied near the wafer to accelerate the secondary electrons. Furthermore, a ring-shaped detector plate is provided between electron source and objective lens to sort out the low angle components and high angle components of the elevation angle of the secondary electrons where they are generated, as well as azimuth angel components, and detect them. The problem with mechanical precision that arises in designing a ring-shaped detector plate is solved either by make the detector plate movable or by controlling the trajectories of secondary electrons with an EXB (Wien filter) disposed on the trajectories of secondary electrons that orthogonalizes electric field and magnetic field on the trajectories of secondary electrons. According to the present invention, it is possible to obtain an image with emphasized shadow contrast during the inspection of a semiconductor device and the like having circuit patterns, and thereby detect shallow asperities, microscopic foreign particles, etc. with high sensitivity. It is also possible to detect with high sensitivity, review, and classify defects, thus allowing the causes of defects to be easily determined. One embodiment of the present invention will be described with reference to FIG. 3. This is an apparatus comprising an electron source 8, an objective lens 10, a specimen 20, an electrode disposed in contraposition to the specimen, and a detection system 102 disposed between electron source and objective lens. The detection system 102 consists of upper and lower reflector plates 13, 15, detector plates 11, 12, 14, and the like. Here, The energy distribution of secondary electrons that are generated when an electron beam 37 emitted from the electron source 8 is irradiated on a wafer 20 is assumed to be, for example, approximately 0 to 20 eV. The generated secondary electrons 38 are rotated by a magnetic field generated by the objective lens 38 and travel towards the reflector plates 13, 15. At this time, if the secondary electrons pass through the magnetic field at low speeds, the rotation angle of the secondary electrons 38 depend on the energy of the secondary electrons, and consequently the directional information when they were generated will be lost. For example, if secondary electrons are emitted from the specimen at elevation angles of 0 to 90 degrees and on the y-z plane as shown in FIG. 4A, the spots on the reflector 15 to which the secondary electrons reach are as shown in FIG. 4B, indicating that their directional information is lost. Therefore, in order to suppress the dependence, on secondary electron energy, of the rotation angles of secondary electrons, the secondary electrons 38 is caused to pass through the magnetic field at high speed by applying sufficiently large positive electrical potential to the electrode 32 facing the wafer. As a result, the secondary electrons is able to reach the detector plate without depending on the secondary electron energy, i.e., without losing the original directional information, resulting in the directional information being maintained and the distribution becoming a linear distribution. Since directional information is thus maintained, required electric field was fifth power of 10 V/m. Also, it is necessary to make the electric field sixth power of 10 V/m or less in order to prevent discharge breakdown of the wafer. On a plane (x-y plane) perpendicular to the light axis (z axis) of primary electrons, when an angle formed between this plane and the emitted direction of a secondary electron is defined as elevation angle, a secondary electron 39 with large elevation angle reaches near the center of the plane and a secondary electron with small elevation angle reaches away from the center. Under the abovementioned electric field conditions of from the fifth power of 10 to the sixth power of 10, the secondary electrons reach the detector plate within the shaded area shown in FIG. 4D. Here, if a reflector plate is formed to have an opening in the center just like a reflector plate 15 shown at (a) in FIG. 5, it is possible to limit the elevation angle components impinging on the reflector plate 15 by changing the shape of the opening. Since secondary electrons impinging on the reflector plate 15 are directional, it is possible to draw into the detector plates 12, 13 the secondary electrons generated as a result of impinging on the right or left side of the reflector 15, by applying a 50 V electrical potential to a mesh 103. At this time, a partition 104 shown at (b) in FIG. 5 may be disposed to prevent the secondary electrons at the right and left sides of the reflector plate from being mixed. The secondary electrons having passed through the reflector 15 impinge on the reflector 13 to cause secondary electrons to be generated, and the generated secondary electrons can be drawn into the detector plate 14 by applying a 50 V electrical potential to the mesh 103. An image that can be obtained here is an object contrast image that results from the differences in secondary electron discharge efficiency, and does not contribute to the shade of an image. To emphasize shadow contrast, it is necessary to optimize the diameter of an opening formed in the reflector plate 15. FIG. 4E shows the result of changing the diameter and the minimum elevation angle (minimum elevation angle of detected electrons) of the secondary electrons impinging on the reflector plate. It is referable to detect secondary electrons with elevation angles of 45 degrees or less, in order to achieve the highest contrast. Accordingly, from in FIG. 4D, the diameter of an opening to be formed in the reflector plate 15 becomes most optimal when point of detector plate electron hitting on is 1 to 10 mm at an elevation angle of 45 degrees. Also, a similar effect can be achieved by forming this reflector plate of a multichannel plate or scintillator and directly detecting secondary electrons generated in the wafer. Examples of the construction of such a detector plate are shown in FIGS. 5C and 5D. In FIGS. 5C and SD, components with large elevation angles are detected by a detector plate 105 divided into two or four parts, and components with small elevation angles by a detector plate 106. If ring-shaped detector plates are provided, they must be disposed symmetrically relative to the light axis. In practice, they are not in place due to mechanical precision. To solve this problem, a reflector plate moving mechanism 42 as shown in FIG. 7 is provided. This makes the reflector plate 15 or the detector plates 105, 106 to be used in place of the reflector plate movable. Another solution is to control the trajectories of secondary electrons by providing an EXB (Wien filter) on the trajectories of secondary electrons. In this embodiment, an example of defect classification using a review SEM. FIG. 1 shows an example configuration of a review SEM. This apparatus comprises an electro-optic system 1, a stage mechanism system 2, a wafer carrying system 3, an evacuation system 4, an optical microscope 5, a control system 6, and an operation unit 7. The electro-optic system is composed of an electron source 8, a condenser lens 9, an objective lens 10, a first detector plate 11, a second detector plate 12, a first reflector plate 13, a third detector plate 14, a second reflector plate 15, a deflector 16, a wafer height detector 17, an electrode 32 disposed opposite to the stage, an electrode power supply 33, and an electrode power supply control unit 34. The stage mechanism system 2 includes an XY stage 18, a holder 19 to hold a wafer as a specimen, and a retarding power supply 21 to apply a negative voltage to the holder 19 and wafer 20. To the XY stage 18 is attached a position detector that performs measurements with laser. The wafer carrying system 3 comprises a cassette holder 22 and a wafer loader 23, and the holder 18 is designed so as to travel between wafer loader 23 and XY stage with the wafer 20 being loaded on it. The control system 6 comprises a signal detector system control unit 24, a beam deflection correction control unit 25, an electro-optic system control unit 26, a wafer height sensor detection system 27, and a mechanism and stage control unit 28. The operation unit 7 is composed of an operation screen and operation panel 29, an image processing unit 30, and an image and inspection data storage unit 31. Now, the operations of respective units shown in FIG. 1 is described. First, a wafer cassette containing the wafer on its shelf is loaded on the cassette holder 22 of the wafer carrying system 3. Then, the shelf number of the cassette on which the wafer 20 is set is specified from the operation screen 29, in order to specify the wafer to be reviewed. For review, to observe electron beam images based on the inspection results including the information on positions of defects and the like (defect coordinate data), after inspections are performed by other inspection apparatuses, an inspection results file is selected via the operation screen and operation panel 7. For selection of the file, it is possible to read the inspection results file by means of communication via networks or the like, or to read the file from a medium such as a flexible disk. Either way, various data on the inspection results may be read into a data input unit by specifying the inspection results file name, and then the data format may be converted to a data format or a coordinate system used in the review SEM by a data conversion unit 36. Then, the name of a review condition file is input from the operation screen and operation panel 29. This review condition file contains various parameters to determine the review items. When conditions required to perform a review is entered, an automated review sequence starts. When a review is started, the wafer set on the cassette is carried into the review apparatus. The wafer carrying system 3 is designed so that it accommodate different sizes and shapes of the wafer by replacing the holder 20 on which the wafer is held, for different diameters of wafers under inspection and for different shapes such as orientation flap type or notch type. The wafer under inspection is loaded onto the holder 19 by the wafer loader 23 consisting of an arm, auxiliary vacuum chamber, and the like, and carried to an inspection chamber with the holder. After the wafer 20 is loaded, electron beam irradiation conditions are set for respective unit by the electro-optic system control unit, based on the review conditions entered above. Then, an electron beam image of a predetermined area of the wafer is obtained, and focusing and non-focusing is performed on the image. At the same time, the height of the wafer 10 is determined by the wafer height detector 27 and the relationship between the height information and the electron beam focusing conditions is determined, so that focus is automatically adjusted to meet the focusing conditions based on the result of the wafer height detection without performing a focusing each time an electron beam image is obtained subsequently. This made it possible to obtain electron beam images continuously at high speeds. On completion of the adjustments of electron beam irradiation conditions and focusing and non-focusing, an alignment is performed between two points on the wafer. The rotation and coordinate values are corrected based on the result of an alignment, and the position of the defect to be reviewed is moved into place based on various information contained in the inspection results file already read into. When the defective position is moved into place, a beam irradiation is performed. Since the reflector plates 13, 15 are constructed as shown in FIG. 5A, it is possible to cause low angle components of the discharge elevation angle of secondary electrons to impinge on the reflector plate 15, and high angle components to impinge on the reflector plate 13 after passing them through the opening formed in the reflector plate 15. Low elevation angle components of secondary electrons depend on azimuth components, and therefore are separated right and left and then impinge on the reflector plate. Because of this, out of the secondary electrons newly generated when the secondary electrons impinge on the reflector plates, those near the detector plate 11 go to the detector plate 11, and those near the detector plate 12 go to the detector plate 12. The secondary electrons that impinged on the reflector plate 13 generate secondary electrons there, which are then detected by the detector plate 14. Thus, shallow images with emphasized contrast were obtained by the detector plates 11, 12, and object images were obtained by the detector plate 14. As an example of another reflector plate shape, a type with the partition 104 as shown in FIG. 5A, a funnel type as shown in FIGS. 6A and 6B, or a drip coffee filter type may be employed. This makes it possible to obtain the shadow images as shown in FIGS. 2B and 2C. Also, multichannel plates, semiconductor detector plates, or scintillator may be disposed instead of the reflector plates 13, 15. The obtained images are saved in the image/data storage unit as needed. It is possible to set in advance whether or not to save the images in the review condition file, or to simultaneously save multiple types of images detected by a plurality of detector plates according to the setting. At the same time as saving the images, the image processing unit 30 extracts the features of the defect from the image information to automatically classify the defect. The result of classification is coded as a value of, for example, 0 to 255, and the code number is written to the place corresponding to the defect classification code in the inspection results file. Then, the abovementioned defect review process is repeated. After the abovementioned sequence of operations are completed for all the defects to be reviewed on one wafer, the inspection results file for that wafer (a file in which classification result is written) is automatically saved, and output to a specified device. Then, the wafer is unloaded to finish the review. Using this method made it possible to detect low angle components and high angle components of the elevation angles of secondary electrons, and additionally azimuth angles separated, and thereby to detect, review, and analyze the defects detected by optical inspection, with high sensitivity. Now, a second embodiment is described. FIG. 8 shows the configuration of the second embodiment. In this embodiment, a so-called ExB deflector 201 for deflecting secondary electrons is disposed on the light axis of a primary electron beam. The other configuration is the same as that of the first embodiment. The term ExB deflector here is a deflector overlapping electric field and magnetic field, wherein, for the primary electron beam, the amount of deflection by electric field and that of magnetic field are set so that they become the same in opposite direction from each other to cancel out the deflection effect of each field, and, electric field and magnetic field acts on secondary electrons in such a direction in which the amount of deflection by electric field becomes equal to that of magnetic field, to thereby deflect only the secondary electrons independently. FIG. 9 shows the operation of the second embodiment. The central trajectory 202 of secondary electrons is deflected by operating the ExB deflector 201. This causes the balance among the directional components when secondary electrons 38 impinge on the lower reflector plate 15 to vary. A background requiring this embodiment is described. In an electro-optic apparatus of the present invention, generally the light axis of a primary electron beam and secondary electrons is slightly inclined relative to the direction normal to the specimen surface depending on the mechanical restraints and/or adjustments of the apparatus. For the primary electron beam, it is common to use the apparatus after adjusting the light axis so that the influence of the inclination of the light axis is minimized. On the other hand, for the secondary electrons, it is enough to be able to detect them efficiently, and there is no need to adjust the inclination of the light axis, generally. In a configuration like the present invention having a detector system that separates the secondary electrons into two or more directional angle components, if the central trajectory is tilted relative to the opening of a reflector plate, the precision in the separating direction of secondary electrons lowers. Therefore, in this embodiment, the balance among the directional components when secondary electrons impinge on the reflector plate 15 is adjusted towards a desired direction, by independently deflecting the light axis of the secondary electrons relative to the axis of the primary electron beam. When separating the secondary electrons by the reflector plate 15 in right and left directions, if the central trajectory 202 of the secondary electrons passes at the center of the opening of the reflector plate 15, secondary electrons generated from the horizontal surface of a specimen are separated evenly in right and left directions, resulting in the amounts of signal SR and SL obtained by the left and right detector plates becoming SR=SL. On the other hand, if the central trajectory 202 of the secondary electrons is off the center of the opening of the reflector plate, signals from the horizontal surface of a specimen are not evenly separated in right and left directions, thus resulting in SR≠S1. To accurately separate the signals so as to faithfully reflect the shadows of asperities on a specimen as with the present invention, it is important that signals from the specimen are separated evenly in right and left directions. Therefore, the deflection angle θ by the ExB deflector 201 is adjusted by irradiating the electron beam 37 on a spherical specimen while scanning it, so that exactly the half of the sphere becomes a shadow on an image obtained. By obtaining a shadow image of the specimen to be observed after adjusting such that the amount of right signals SR becomes equal to that of left signals SL, it is possible to faithfully and accurately image the shadows of asperities as shadow contrast. This adjustment is essential in a case where the apparatus operates under the electro-optic system conditions that secondary electrons are generated from a specimen and then accelerated by the electric field on the specimen, wherein the spread of the secondary electrons become relatively small and the balance between the amount of right signals and that of left signals is lost due to subtle inclination of the axis of secondary electrons. Also, the ExB deflector 201 may be disposed at any position below the reflector plate 15, but as the position is lowered relative to the reflector plate 15, larger adjustment range can be obtained at a small deflection angle θ, and the primary electron beam by the ExB is less affected by deteriorated resolution. Now, a third embodiment is described. The entire configuration is similar to that of the second embodiment shown in FIG. 8. In this embodiment, the ExB deflector is composed of a 4-polar electrode and a magnetic pole, and secondary electrons can be deflected at any azimuth. As a result, it is possible to align the secondary electrons not only in the direction (x direction in FIG. 9) of separating the reflector plate 15 as shown in FIG. 9, but also in the direction (y direction) perpendicular to the surface of the page on which FIG. 9 is drawn. This allows correction of a displacement in the y direction of the central trajectory of secondary electrons relative to the opening of the reflector plate 15. It is also possible to adjust so that secondary electrons impinge on the reflector plate in a desired manner. Finally, a forth embodiment is described. In this embodiment, the reflector plates 13 and 15 are configured as shown in FIG. 11, as viewed from the specimen side, the other configuration being the same as that of the third embodiment. That is, the direction of separating the secondary electrons by the reflector plate 15 is defined as x direction, the direction perpendicular to the x direction is y direction, and the light axis of the primary electron beam is z direction, and the beam passing opening 215 of the reflector plate 15 is an ellipse whose y direction axis is longer than the x direction axis, and the beam passing opening 213 of the reflector plate 13 is an circle whose diameter is shorter than the minor axis of the 215. Needless to say, the opening 215 of rectangular shape or the like has the same effect and is included in the present invention. This and the 4-polar ExB deflector of the third embodiment are combined to operate. This embodiment allows the secondary electrons alignment for increasing the accuracy in separation of signals right and left, as with the second embodiment, and it is possible to deflect the secondary electrons so that they impinge on the reflector plate 13 instead of passing through the opening 213 and going upward after passing through the reflector plate 15 in y direction. This secondary electron deflection improves the right and left separation accuracy, and also makes it possible to adjust so that the secondary electrons that have passed through the reflector plate 15 will not be directed upward and lost, but impinge on the reflector plate 13, and thereby to obtain an electronic signal image without signal loss. This embodiment has the following advantages. If secondary electrons 38 are detected above a scanning deflector 16, the secondary electrons 38 are also deflected through a scanning deflection electric field or magnetic field. Particularly, if secondary electrons 40 are narrowly converged at the height of the reflector plate 13, a phenomenon occurs that the shadow of the opening appears on an image. According to this embodiment, however, since secondary electrons can be deflected in y direction so that they will not go upward through the opening 213, it is possible to obtain a uniform image without the shadow of the opening. Also, since the loss at the opening is eliminated by deflecting secondary electrons in y direction, it is possible to make the beam passing opening 213 of the reflector plate 1 larger than with the other embodiments. This allows the primary electron beam to travel downward through the reflector plate 13 without impinging on it, even when the primary electron beam is required to be deflected above the reflector plate 13, thus increasing the adjustment range and control capability of the electro-optic system.
summary
description
This application is a national phase of International Application No. PCT/FR2005/050552 entitled “Storage Device For Storing And Transporsing Nuclear Fuel Assemblies”, which was filed on Jul. 7, 2005, which was not published in English, and which claims priority of the French Patent Application No. 04 07625 filed Jul. 8, 2004. This invention relates in a general way to a storage device for storing and transporting nuclear fuel assemblies. More particularly, but not exclusively, the invention is applicable to the transport and/or storage of spent nuclear fuel assemblies. Conventionally, such devices, also called a storage “basket” or “rack”, are cylindrical in shape with a substantially circular cross section and have a plurality of adjacent compartments each capable of receiving a nuclear fuel assembly. This type of device is designed to be capable of simultaneously performing three essential functions, which will be briefly stated below. As a matter of fact, it is first a matter of the heat transfer function involving the heat released by the spent fuel assemblies. Aluminium or one of its alloys is typically used, due to its good thermal conduction properties. The second function relates to neutron absorption, and the concern for maintaining the sub-criticality of the storage device when it is loaded with nuclear fuel assemblies. This is accomplished by using neutron-absorbing materials also know as neutrophage materials, such as boron. In addition, sub-criticality can also be ensured by providing spaces capable of being filled with water, e.g., in the interior itself of the partitions forming the compartments of the storage device. Finally, the third essential function relates to the rigidity or mechanical strength of the device, which is primarily ensured by the presence of structural elements customarily made of steel. In this regard, it is noted that the overall mechanical strength of the device must be compatible with the regulatory safety requirements for the transport/storage of nuclear materials, in particular as concerns the so-called “free drop” tests. In the prior art, several embodiments are known, which consist of stacking and crisscrossing notched structural elements, for the purpose of obtaining the adjacent compartments of the storage device. However, though this type of embodiment is actually capable of performing the three above-mentioned functions, it is pointed out that such devices with stacked and crisscrossed structural elements are often produced according to a complicated design. This unavoidably entails disadvantages in terms of costs, both from the viewpoint of manufacturing the component parts as well as from the viewpoint of their assembly time. Thus, the purpose of the invention is to propose a storage device for storing and transporting nuclear fuel assemblies, this device having a simplified design in comparison with those previously encountered, while at the same time offering performance levels and capabilities at least equivalent to those related to the embodiments of the prior art. To accomplish this, the object of the invention is a storage device for storing and transporting nuclear fuel assemblies, the device comprising a plurality of adjacent compartments, each having a lateral wall and being capable of receiving a nuclear fuel assembly, the lateral walls being made using notched structural units that are stacked and crisscrossed. According to the invention, each unit comprises two spaced-apart flats made of a neutrophage material, such as an alloy containing boron, as well as an intermediate structure situated between the flats and in contact with them. Advantageously, the proposed storage device has an uncomplicated design based on the use of inexpensive elements that have a simple geometry and that are capable of being assembled together easily. Consequently, the overall cost of the device can be reduced in comparison with those encountered previously. On the other hand, it is noted that the device according to the invention has a design such that it can easily perform all of the three essential functions stated above, by selecting materials suited to each of the component parts of the device. Furthermore, it is also able to satisfy all of the regulatory safety requirements for the transport/storage of nuclear materials. As a matter of fact, in the preferred case where the flats of each of the structural units of the device are made from an alloy containing boron, and preferably from an alloy of aluminium and boron, the transfer of heat is then primarily ensured by said aluminium, while, as concerns neutron absorption, it is carried out with the help of the boron also provided for in the flats. In this regard, it is noted that the spacing of the two flats of each of the structural units makes it possible to define spaces capable of being occupied by water, always for the purpose of maintaining the sub-criticality of the storage device. Finally, the overall mechanical strength can be substantially ensured by the intermediate structure of each of the structural units when this structure is at least partially made of steel, this intermediate structure simultaneously serving to space apart the two flats of the unit concerned. In this way, since the elements of the storage device ensuring the mechanical strength function can be disassociated from the elements ensuring the heat transfer and neutron absorption functions, implementation of safety analysis operations can be advantageously simplified. It is clearly indicated that other choices of materials than those stated above might be adopted for the component parts of the structural units, without exceeding the scope of the invention. As an illustrative example, the intermediate structure and the flats might each be made of boron steel. Each notched structural unit preferably has an overall H-shaped cross section, the two flats constituting the two sides of the H, respectively. Furthermore, it is possible to provide for each compartment to have a lateral surface delimiting it, said lateral surface then consisting at least partially of the flats of the notched structural units forming the lateral wall of said compartment. According to a first preferred embodiment of this invention, the intermediate structure of each structural unit is made so as to form a single part, preferably made entirely of steel. This single part, for example, can assume the shape of a part made in one piece, possibly later machined for the purpose of reducing its size. It is therefore equally possible to provide for the intermediate structure of each structural unit to be rigidly assembled onto the two flats, or else for said intermediate structure to be “free” in relation to the two flats of this unit. In the latter case, the intermediate structure of a given structural unit is held in one stacking direction with the help of the structural units arranged in a crossed fashion in relation to the given unit, and is directly adjacent to it in the direction of stacking. More precisely, the intermediate structure of a given unit thus does not need to be mechanically joined to the flats thereof in order to be held in the piling direction, since it is held by the cooperation between the notches of the given unit and the notches belonging to the units in contact with it and arranged in a crossed fashion. In this first preferred embodiment, it is to be understood that the “units in contact and arranged in a crossed fashion” correspond to the structural units positioned directly adjacent to the given unit and situated on either side of it in the direction of stacking. According to a second preferred embodiment of this invention, the intermediate structure of each structural unit includes two steel bars spaced apart from one another by spacing means, the two bars preferably being arranged parallel to one another and to the flats, and perpendicular to the direction of stacking. Preferably, the spacing means are rigidly assembled to the two flats of the structural unit, and the two steel bars can then be “free” in relation to the two flats of this unit. In this regard, the two steel bars are situated on either side of the spacing means, respectively, in relation to the stacking direction of the structural units. In such a case, it is possible to provide for each bar of a given structural unit to be held in the stacking direction with the help of the spacing means, on the one hand, and, on the other hand, with the help of the structural units, which are arranged in a crossed fashion in relation to the given structural unit, and which are directly adjacent to it in the direction of stacking. Here again, it is to be understood that the two bars of a given structural unit do not need to be mechanically joined to the flats thereof in order to be held in the stacking direction, since they are each held in one direction by the spacing means, and in the other direction by the cooperation between the notches of the given unit, and notches belonging to the units in contact with it and arranged in a crossed fashion. In this second preferred embodiment, it is to be understood that the “units in contact and arranged in a crossed fashion” correspond to the structural units arranged directly adjacent to the unit concerned, but only those situated on the side of the given bar, in the direction of stacking. The spacing means of each structural unit preferably include a plurality of spacers, each of which are penetrated through by fastening means joining them rigidly to the two flats of the structural unit. For illustrative purposes, the aforesaid fastening means can be chosen from amongst rivets and screw/nut assemblies. Finally, in a still preferential manner, for any given layer n of structural units, the structural units of the layers n+1 and n+3 are in contact in pairs with the edges of the flats situated between the cut-outs provided on these flats. In the same way, the structural units of the layers n and n+2 are likewise in contact in pairs with edges of the flats situated between the cut-outs provided on these same flats. Other advantages and characteristics of the invention will become apparent in the non-limiting detailed description below. In reference to FIG. 1, a storage device 1 is shown, which is anticipated to be placed inside a packaging (not shown) intended for the transport/storage of nuclear fuel assemblies (not shown), preferably spent ones. As can be seen in FIG. 1, the storage device 1 includes a plurality of adjacent compartments 2 arranged in parallel, the latter each run along a longitudinal axis 4. The compartments 2 are each capable of receiving at least one, and preferably a single fuel assembly having a square cross sectional shape. The compartments 2 are thus provided so as to be juxtaposed to one another. They are produced by a plurality of notched structural units 6a, 6b, these units 6a, 6b being stacked in a stacking direction that is preferably parallel to the longitudinal axes 4 of the compartments 2, as shown schematically by the arrow 8 in FIG. 1. By agreement, it is acknowledged that, throughout the remainder of the description, the notion of “height” is to be associated with the direction of stacking 8. As can be recognised in this same FIG. 1, the notched structural units 6a, 6b are crisscrossed, preferably in a perpendicular manner. In other words, the units 6a are situated parallel to one another, while the units 6b are also situated parallel to one another, but perpendicular to the units 6a. When they are stacked in the stacking direction 8, the structural units 6a, 6b together form the lateral wall of each of the compartments 2, this lateral wall consequently having a substantially square cross sectional shape. Of course, the lateral wall of the compartments 2 could be in any other shape permitting a differently shaped fuel assembly to be held, such as a hexagonal shape. Thus, in the example shown in FIG. 1, wherein the compartments 4 have a square cross section, the structural units 6a form vertical partitions 9 parallel to a direction 10, while the structural units 6b form vertical partitions 11 parallel to a direction 12, the directions 8, 10 and 12 being perpendicular to each other. Each of the units 6a, 6b preferably runs between two peripheral partitions 14 with which it is made integral, these peripheral partitions 14 making it possible to close the storage device 1 laterally. For illustrative purposes, and as shown, these peripheral partitions 14 can be provided so as to number four, to each run along the entire height of the device 1, and to constitute a peripheral portion of the lateral wall of the peripheral compartments 2 of this device 1. Furthermore, as clearly evident from the preceding, it is noted that each of the vertical partitions 9, 11 participates in the formation of a portion of the lateral wall of several compartments 2 situated on either side of the vertical partition in question. As will be explained in detail below, each of the notched structural units 6a, 6b is produced with the help of two parallel flats 16, 18, preferably made of an alloy of aluminium and boron. However, other neutrophage materials could be adopted, such as boron steel, or else any other material containing elements of the gadolinium, hafnium, cadmium, or indium type, etc. Thus, still with reference to FIG. 1, it can be seen that each of the flats 16, 18 is parallel to the stacking direction 8 as well as to one of the directions 10, 12, and participates in the definition of a plurality of compartments 2. On the other hand, each of the notched structural units 6a, 6b has an intermediate structure 19 at least partially made of steel, this intermediate structure being situated between the flats 16, 18 and in contact with them. In reference now to FIG. 3, it is possible to recognise a portion of a storage device 1 according to a first preferred embodiment of this invention, this device 1 being produced with the help of a stack of notched structural units 6a, 6b such as the one shown in FIGS. 2a and 2b. In this regard, it is noted that the units 6a forming the partitions 9 are identical or similar to the units 6b forming the partitions 11, one of which will now be described in reference to FIGS. 2a and 2b. In this first preferred embodiment of the invention, the notched structural unit 6b thus comprises two flats 16, 18 each having upper cut-outs 20 as well as lower cut-outs 22, these cut-outs 20, 22 opening out towards the top and towards the bottom, respectively, in the direction of stacking 8. In addition, each notch 20, 22 has a notch edge 20a, 22a corresponding to the bottom of this notch, and preferably assuming the shape of a flat surface situated parallel to the directions 10, 12, i.e., perpendicular to the stacking direction 8. The unit 6b also comprises an intermediate structure 19, which, in this first preferred embodiment, is made so as to form a single part of steel, preferably stainless steel. This part can thus be made in one piece and then machined, or else be manufactured using elements that are welded together. The intermediate structure 19 has an upper surface 24 as well as a lower surface 26 that are both situated parallel to the directions 10 and 12. Furthermore, it also comprises two lateral surfaces 28, 30 that are situated parallel to the directions 8 and 12, and intended to be in contact with the flats 16, 18, respectively, when the unit 6b is assembled on the stack. In this regard, it is clearly indicated that this intermediate structure 19 can be rigidly assembled to the flats 16, 18 by conventional fastening means (not shown), or else be free in relation to them, as will be explained later with reference to FIG. 3. In reference to FIG. 2b, in which the intermediate structure 19 is shown in an “assembled” position in relation to the flats 16, 18, it is clearly indicated that, at the level of an upper portion of the unit 6b, the upper surface 24 of the intermediate structure 19 is situated in a plane at a level slightly lower than that of the plane in which the notch edges 20a are located, the two aforementioned planes being parallel to each other. In other words, in this assembled position, the notch edges 20a preferably protrude slightly upward in relation to the upper surface 24 of the intermediate structure 19. In addition, a plurality of upper notches 32 of the unit 6b are each formed by two cut-outs 20 situated opposite one another and belonging to the flats 16 and 18, respectively. Thus, it is clear that the bottom of each upper notch 32 is a flat surface integrating the two notch edges 20a situated at a distance from each other. As mentioned above, the upper surface 24 of the intermediate structure 19 is preferably not involved in defining the bottoms of the upper notches 32. Similarly, at the level of a lower portion of the unit 6b, the notch edges 22a form a single flat surface that is situated in a plane at a level slightly lower than that of the plane in which the lower surface 26 of the intermediate structure 19 is located, the two aforementioned planes also being parallel to one another. Furthermore, a plurality of lower notches 34 of the unit 6b are each formed by two cut-outs 22 situated opposite one another and belonging to the flats 16 and 18, respectively. Here also, it is clear that the bottom of each lower notch 34 is a flat surface integrating the two notch edges 22a arranged at a distance from each other, but preferably not including the lower surface 26 of the intermediate structure 19. In view of the preceding, it is therefore obvious that each unit 6a, 6b is preferably designed so that the distance between the lower 26 and upper 24 surfaces of the intermediate structure 19 is less than the distance separating any one notch edge 20a provided on any one of the two flats 16, 18 and the notch edge 22a situated on the same flat and in line with said notch edge 20a in the direction of stacking. Naturally, these notches 32, 34 are intended to nest inside identical or similar notches belonging to the adjacent structural units 6a, for the obvious purpose of enabling the crisscrossed stacking of these units 6a, 6b. Furthermore, it is clearly indicated that the unit 6b described in reference to FIGS. 2a and 2b has an overall H-shaped cross section, the intermediate structure 19 forming the core or “linking bar” of this H, and the two flats 16, 18 forming the two sides of this H, respectively. This configuration, of course, is entirely suitable for the creation of spaces capable of being filled with water, for the purpose of ensuring that the sub-criticality of the device 1 is maintained. To this end, although it is not shown for this embodiment, but only in FIG. 6, for the second preferred embodiment that will be disclosed herein below, it is pointed out that the intermediate structures 19 preferentially have three passage holes that, during the drainage or filling operations of the storage device 1, enable the water to flow into the aforesaid spaces at the same rate as it flows into the compartments 2. In reference again to FIG. 3, a portion of the storage device 1 according to the first preferred embodiment is seen, whose structural units 6a, 6b each have an intermediate structure 19 that is not mechanically joined to the associated flats 16, 18. As a matter of fact, the intermediate structure 19 of a unit 6a, 6b is only in lateral contact with the flats 16, 18 without being made integral with them. In this FIG. 3, wherein a plurality of units 6a, 6b are stacked and crisscrossed, noted first is the presence of a layer n+1 of units 6a parallel to one another. In addition, a layer n+2 is situated directly beneath the layer n+1 in the direction of stacking 8, and consists of units 6b cooperating with the units 6a of the layer n+1, by means of the notches 32, 24. In this regard, the units 6b of the layer n+2 and the units 6a of the layer n+1 are thus arranged in a directly consecutive manner, in the direction of stacking 8, and are arranged in a crossed fashion in relation to each other. Finally, a layer n+3 is situated directly beneath the layer n+2 in the direction of stacking 8, and consists of units 6a cooperating with the units 6b of the layer n+2, by means of the notches 32, 34. The units 6b of the layer n+2 and the units 6a of the layer n+3 are consequently arranged in a directly consecutive manner in the direction of stacking 8, and are arranged in a crossed fashion in relation to each other. On the other hand, as can be seen in FIG. 3, the structural units 6a of the layers n+1 and n+3 are also in contact in pairs with the edges of the flats 16, 18 (not shown) situated between the notches 32, 34. In a more general way, it is clearly indicated that the structural units belonging to layers n+x and n+x+2 are in contact in pairs with the flats, so that, with the help of these same flats, lateral walls of completely continuous compartments are formed in the direction of stacking 8. Therefore, it is the contact between the edges of the flats 16, 18 situated between the cut-outs 20, 22 that is preferred during assembly of the structural elements 6a, 6b, and not the contact of the notch edges 20a, 22b cooperating in pairs. In order to illustrate the manner in which the intermediate structures 19 are held in the stacking direction 8, any unit 6b of the layer n+2 of FIG. 3 is considered. The intermediate structure 19 of this unit 6b is capable of being held near the top, in the direction of stacking 8, with the help of the units 6a of the layer n+1 immediately following, via the cooperation of the upper notches 32 of the unit 6b concerned, with one of the lower notches 34 of each of the units 6a of the layer n+1, respectively (the reference numerals 32 and 34 not being indicated in FIG. 3). More precisely, in order to guarantee the above-indicated contact between the edges of the flats of two structural units belonging to layers n+x and n+x+2, respectively, when the notches 32, 34 are nested in pairs as shown, a working clearance is created between the bottom of each of the upper notches 32 concerned and the bottom of its associated lower notch 34. For this reason, when the device is positioned vertically, as shown in FIG. 1, a clearance therefore also exists between the upper surface 24 of the intermediate structure 19 and the notch edges 22a of the lower notches 34 concerned. Similarly, the intermediate structure 19 of this unit 6b is held near the bottom, in the direction of stacking 8, with the help of the units 6a of the layer n+3 immediately following, via the cooperation of the lower notches 34 of the unit 6b in question, with one of the upper notches 32 of each of the units 6a of the layer n+3, respectively. Here again, when the notches 32, 34 are nested in pairs as shown, a working clearance is created between the bottom of each of the lower notches concerned 34, and the bottom of its associated upper notch 32. In addition, it is noted that, due to gravity, the lower surface 26 of the structure 19 is in flat contact with the two opposing notch edges 20a forming the associated upper notch 32. Thus, although the intermediate structures 19 of the various units 6a, 6b are not in contact with one another, it is easy to understand that it is not necessary to mechanically join the structure 19 to the flats 16, 18 in order to hold it in a height-wise direction, which produces a considerable advantage in terms of time and costs involved in assembling the storage device 1. As was indicated previously, in the vertical position shown in FIG. 1, the upper surface 24 of the intermediate structure 19 is not in contact with the notch edges 22a of the lower notches 34 situated opposite and above this surface 24. Such being the case, it has also been clearly indicated that the intermediate structure 19 was capable of being held in the direction of stacking 8 with the help of the units 6a of the layer n+1 immediately following. This is explained by the fact that the upper surface 24 of the intermediate structure 19 is capable of abutting against the notch edges 22a of the lower notches 34 provided on the units 6a of the layer n+1, when the device 1 is no longer arranged vertically but, for example, horizontally, as is encountered during the transport phases of this device. In reference now to FIG. 5, a portion of a storage device 1 according to a second preferred embodiment of this invention can be recognised, this device 1 being relatively similar to that of the first preferred embodiment. Consequently, in the figures, the elements bearing the same numeric references correspond to identical or similar elements. The device 1 is thus produced with the help of a stack of notched structural elements 6a, 6b, such as the one shown in FIGS. 4a and 4b. Here also, it is noted that the units 6a forming the partitions 9 are identical or similar to the units 6b forming the partitions 11, one of which will now be described in reference to FIGS. 4a and 4b. In this second preferred embodiment of the invention, the notched structural element 6b thus comprises two flats 16, 18 identical or similar to those described previously, insofar as they have upper 20 and lower 22 cut-outs each having a notch edge 20a, 22a. The unit 6b also comprises an intermediate structure 119, which differs from the structure 19 of the first preferred embodiment by the fact that it includes, in particular, two steel bars 146, 148, which are independent from one another, thus not forming a single part, and which are preferably made of stainless steel. The intermediate structure 119 also consists of spacing means 150 provided between an upper bar 146 and a lower bar 148, these bars 146, 148 running from one end to the other of the unit 6b in question, preferably parallel to the direction 12 and perpendicular to the directions 8 and 10. The spacing means 150, which are capable of being in contact with each of the bars 146, 148, include, for example, a plurality of steel spacers 152 arranged in a spaced apart manner in the direction 12, along the entire length of these bars 146, 148. Each of these spacers 152 is in contact with the two flats 16, 18 with which it is made integral at its two ends, with the help of fastening means 154 of the screw/nut- or rivet-type. In order to permit such an assembly, the flats 16, 18 are therefore drilled through, and each spacer 152 has an opening (not referenced) which runs in the direction 10 and through which the fastening means 154 are passed. For illustrative purposes, at the level of each of the two ends of the bars 146, 148, the spacing means 150 may have a parallelepiped or cube-shaped element 156, also made integral with the two flats 16, 18 with the help of screw/nut- or rivet-type fastening means 154. Naturally, in order to prevent damaging the nuclear fuel assemblies resting inside the compartments 2, the fastening means 154 are assembled on the flats 16, 18 so as to not protrude therefrom, and thus so as to not penetrate into these compartments 2. Whatever design is adopted for the spacing means 150, it is noted that the upper bar 146 has an upper surface 158 as well as a lower surface 160, which are both situated parallel to the directions 10 and 12. Furthermore, it also comprises two lateral surfaces 162, 164 situated parallel to the directions 8 and 12, and intended to be opposite the flats 16, 18, respectively, when the unit 6b is assembled on the stack. In the same way, the lower bar 148 has an upper surface 166 as well as a lower surface 168, which are both situated parallel to the directions 10 and 12. It also comprises two lateral surfaces 170, 171 situated parallel to the directions 8 and 12, and intended to be opposite the flats 16, 18, respectively, when the unit 6b is assembled on the stack. Furthermore, as is clearly visible in FIG. 4a, the lower surface 160 of the upper bar 146 and the upper surface 166 of the lower bar 148 are both free to come into contact with the spacers 152 and the cube-shaped elements 156 of the spacing means 150. In reference to FIG. 4b, in which the intermediate structure 119 is shown in an “assembled” position in relation to the flats 16, 18, it is clearly indicated that, at the level of an upper portion of the unit 6b, the upper surface 158 of the upper bar 146 is situated in a plane at a level slightly lower that that of the plane in which the notch edges 20a are located, the two aforementioned planes being parallel to each other. In other words, in this assembled position, the notch edges 20a protrude upward in relation to the upper surface 158 of the upper bar 146. In addition, a plurality of upper notches 32 of the unit 6b are each formed by two cut-outs 20 situated opposite each other and belonging to the flats 16 and 18, respectively. Thus, it is clear that the bottom of each upper notch 32 is a flat surface integrating the two notch edges 20a situated at a distance from one another. As stated above, the upper surface 158 of the upper bar 146 is preferably not involved in defining the bottoms of the upper notches 32. Similarly, at the level of a lower portion of the unit 6b, the notch edges 22a form a single flat surface that is situated in a plane at a level slightly lower than that of the plane in which the lower surface 168 of the lower bar 148 is located, the two aforesaid planes also being parallel to each other. Furthermore, a plurality of lower notches 34 of the unit 6b are each formed by two cut-outs 22 situated opposite one another and belonging to the flats 16 and 18, respectively. Here also, it is thus clear that the bottom of each lower notch 34 is a flat surface integrating the two notch edges 22a arranged at a distance from each other, but preferably not including the lower surface 168. In view of the preceding, it is thus obvious that each unit 6a, 6b is preferably designed so that the distance between the lower 168 and upper 158 surfaces of the intermediate structure 119 is less than the distance separating any one notch edge 20a provided on any one of the two flats 16, 18, and the notch edge 22a situated on the same flat and in line with said notch edge 20a, in the direction of stacking 8. As was indicated previously, these notches 32, 34 are intended to cooperate with identical or similar notches belonging to adjacent structural units 6a, for the obvious purpose of enabling crisscrossed stacking of these units 6a, 6b. The unit 6b described with reference to FIGS. 4a and 4b has an overall H-shaped cross section, the intermediate structure 119 forming the core or “linking bar” of this H, and the two flats 16, 18 forming the two sides of this H, respectively. For illustrative purposes, it is possible to provide a small clearance between each of the bars 146, 148 and the flats 16, 18 of the unit 6b. In reference again to FIG. 5, a portion of the storage device 1 according to the second preferred embodiment is seen, wherein the structural units 6a, 6b each have an intermediate structure 119 the two bars 146, 148 of which are not mechanically joined to the associated flats 16, 18. In this FIG. 5, which is similar to FIG. 3 wherein a plurality of units 6a, 6b are stacked and crisscrossed, noted first is the presence of a layer n+1 of units 6a that are parallel to each other. In addition, a layer n+2 is situated directly beneath the layer n+1, in the direction of stacking 8, and consists of units 6b cooperating with the units 6a of the layer n+1, by means of the notches 32, 34. The units 6b of the layer n+2 and the units 6a of the layer n+1 are thus arranged in a directly consecutive manner, in the direction of stacking 8, and are arranged in a crossed fashion in relation to each other. Here again, a layer n+3 is situated directly beneath layer n+2, in the direction of stacking 8, and, consists of units 6a cooperating with the units 6b of the layer n+2 by means of the notches 32, 34. The units 6b of the layer n+2 and the units 6a of the layer n+3 are therefore arranged in a directly consecutive manner, in the direction of stacking 8 and are arranged in a crossed fashion in relation to each other. In a more general way, it is clearly indicated that the structural units belonging to layers n+x and n+x+2 are in contact in pairs with the flats, so that, with the help of these same flats, lateral walls of completely continuous compartments are formed in the direction of stacking 8. As in the first preferred embodiment, therefore, it is the contact between the edges of the flats 16, 18 situated between the cut-outs 20, 22 that is preferred during assembly of the structural elements 6a, 6b, and not the contact of the notch edges 20a, 22b cooperating in pairs. In order to illustrate the manner in which the intermediate structures 119 are held in the stacking direction 8, any unit 6b of the layer n+2 of FIG. 5 is considered. The upper bar 146 of this unit 6b is capable of being held near the top, in the direction of stacking 8, with the help of the units 6a of the layer n+1 immediately following, via the cooperation of the upper notches 32 of the unit 6b concerned, with one of the lower notches 34 of each of the units 6a of the layer n+1, respectively (the reference numerals 32 and 34 not being indicated in FIG. 5). More precisely, in order to guarantee the above-indicated contact between the edges of the flats of two structural units belonging to layers n+x and n+x+2, respectively, when the notches 32, 34 are nested in pairs as shown, a working clearance is created between the bottom of each of the upper notches 32 concerned and the bottom of its associated lower notch 34. For this reason, when the device is positioned vertically, as shown in FIG. 1, the notch edges 20a are not in contact with the associated notch edges 22a, and a clearance therefore also exists between the upper surface 158 of the upper bar 146, and the notch edges 22a of the lower notches 34 concerned. Furthermore, the upper bar 146 of this unit 6b is simply held near the bottom, in the direction of stacking 8, by the contact between its lower surface 160 and the spacing means 150, this contact being obtained due to gravity. Similarly, the lower bar 148 of this unit 6b is held near the bottom, in the direction of stacking 8, with the help of units 6a of the layer n+3 immediately following, via the cooperation of the lower notches 34 of the unit 6b in question with one of the upper notches 32 of each of the units 6a of the layer n+3, respectively. Here again, when the notches 32, 34 are nested in pairs as shown, a working clearance is created between the bottom of each of the lower notches 34 concerned and the bottom of its associated upper notch. In addition, it is noted that, due to gravity, the lower surface 168 of the lower bar 148 is in flat contact with the two opposing notch edges 20a forming the associated upper notch 32. The lower bar 148 of this unit 6b is simply held towards the top, in the direction of stacking 8, by the spacing means 150, although it is possible to note the presence of a clearance between the upper surface 166 and these spacing means 150. Furthermore, it follows from the preceding that, at the level of each nesting of an upper notch 32 of a unit 6a, 6b with a lower notch 34 of a unit 6b, 6a immediately following, the upper 146 and lower 148 bars involved are perpendicular to each other, and tie rods preferably pass through them at their area of intersection, as will be explained later. In the second preferred embodiment, it can easily be understood that it is advantageously not necessary to mechanically join the bars 146, 148 to the flats 16, 18 in order to hold them according to height. As was indicated previously, in the vertical position shown in FIG. 1, the upper surface 158 of the upper bar 146 is not in contact with the notch edges 22a of the lower notches 34 situated opposite and above this surface 24. Such being the case, it was also clearly indicated that the upper bar 146 was capable of being held in the direction of stacking 8 with the help of the units 6a of the layer n+1 immediately following. This is explained by the fact that the upper surface 146 is capable of abutting against the notch edges 22a of the lower notches 34 provided on the units 6a of the layer n+1, when the device 1 is no longer arranged vertically but, for example, horizontally, as is encountered during the transport phases of this device. In the same way, under certain circumstances, the upper surface 166 of the lower bar 148 is capable of abutting against the spacers 152 and the cube-shaped elements 156 of the spacing means 150, then involving a loss of contact between the lower surface 168 of this bar 148, and the notch edges 20a of the upper notches 32 provided on the units 6a of the layer n+3. With joint reference to FIGS. 5 and 6, it is seen that, at the level of each of the intersections or crisscrossings between the vertical partitions 9 parallel to the direction 10 and the vertical partitions 11 parallel to a direction 12, a tie rod 172 runs from one end to the other of the device 1, in the direction of stacking 8, and through the structural units 6a, 6b. The tie rods 172, preferably made of stainless steel, thereby make it possible to ensure the mechanical strength of the areas of the storage device 1 where the notches 32, 34 are nested in pairs. As is clearly visible in FIG. 5, each tie rod 172 passes through the intermediate structures 119 of the units 6a, 6b, and, more precisely, the two bars 146, 148 of each of these structures 119. In this way, for each cooperation between a lower notch 34 of a first unit 6a, 6b and an upper notch 32 of a second, crisscrossed unit 6b, 6a immediately following in the direction of stacking 8, the lower bar 148 of the first unit 6a, 6b being in contact with the notch edges 20a, the load of the tie rods 172 are thus heavily reduced. As a result, this advantageously makes it possible to reduce the diameter of the tie rods 172 as well as their associated mass. In reference more specifically to FIG. 6, it is possible to see that the compartments 2, whose lateral wall is formed by the vertical partitions 9, 11, 14, are each delimited by a lateral surface 174 having a square-shaped cross section. For all of the above-stated preferred embodiments, it is possible to provide for this lateral surface 174 to be at least partially formed by the flats 16, 18 of the structural units 6a, 6b forming the vertical partitions 9, 11, respectively. As is clearly visible in FIG. 6, only the lateral surface 174 of the peripheral compartments 2 is not entirely formed by the flats 16, 18, since a portion of the lateral surface of each of these peripheral compartments 2 is in this case also formed with the help of a peripheral partition 14. Of course, various modifications in the storage devices 1, which have just described for non-limiting illustrative purposes only, can be introduced by those skilled in the art.
054066000
description
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT A preferred embodiment of a cask 10 for transportation and short-term storage of spent nuclear fuel is shown in FIG. 1. The cask 10 includes a body 12 constructed from a tubular structural shell 14 having an upper shell portion 16 and a lower shell portion 18. The lower shell portion 18 is sealed by a bottom closure plate 20 that has a central access aperture 22 that is sealed with an access cover plate 24. The upper shell portion 16 is sealed with a top closure plate 26. The exterior of the structural shell 14 is shielded with a neutron absorbing shield jacket 28. Two diametrically opposed upper trunnions 30 (only one shown) are secured within upper trunnion mounting sleeves 32 to the exterior of the upper shell portion 16. Two lower trunnions 34 are secured in diametric opposition to the lower shell portion 18 within lower trunnion mounting sleeves 36. As used herein throughout, "bottom" and "lower" refer to the end of the cask 10 and its components closest in proximity to the bottom closure plate 20, while the words "top" and "upper" refer to the opposite end proximate the top closure plate 26. A dry storage canister 38 for spent nuclear fuel is shown installed within the interior cavity 40 of the cask 10. The construction of the dry storage canister 38 is fully described in a U.S. patent application filed on Oct. 8, 1993, in the name of inventors R. A. Lehnert, R. D. Quinn, S. E. Sisley, and B. D. Thomas, entitled CONTAINERS FOR TRANSPORTATION AND STORAGE OF SPENT NUCLEAR FUEL, the disclosure of which is hereby expressly incorporated by reference. A plurality of lugs 42 are secured to the structural shell 14 and to the annular ends of the shield jacket 28 about the circumference of the cask 10, on both the lower and upper (not shown) ends of the shield jacket 28. The purpose of the lugs 42 is to enable mating of the cask 10 with impact limiters during transport. Impact limiters and transportation skids suitable for use in transporting the cask 10 are fully disclosed in a U.S. patent application filed on Oct. 8, 1993 in the name of inventors R. A. Johnson, I. D. McInnes, R. D. Quinn, and C. J. Temus, entitled IMPACT LIMITER FOR SPENT NUCLEAR FUEL TRANSPORTATION CASK, the disclosure of which is hereby expressly incorporated by reference. Referring now to FIG. 2, the construction of the body 12 shall be described. The body 12 has an overall cylindrical configuration and includes the structural shell 14. The structural shell 14 has a tubular configuration and defines a central longitudinal axis 44 that is aligned with the central longitudinal axes of the other annular components of the body 12, as shall be described. The lower shell portion 18 of the structural shell 14 also has a tubular configuration, defining a circumferential bottom edge 46 and a circumferential top edge 48. The length of the lower shell portion 18 is approximately two-thirds the length of the structural shell 14. The upper shell portion 16 extends the remaining one-third of the length, and defines a circumferential lower edge 50 and a circumferential top edge 52. The upper edge 48 of the lower shell portion 18 abuts and is welded to the lower edge 50 of the upper shell portion 16, using a full penetration weld around the entire circumference of the structural shell 14. The upper shell portion 16 and lower shell portion 18 each have a central axis that is aligned with the longitudinal axis 44, and cooperatively define a right cylinder. The lower shell portion 18 is formed from a rigid material, preferably a corrosion resistant metal, and most preferably a stainless steel, such as ASME SA-240 type 304 austentitic stainless steel. However, the upper shell portion 16 is preferably formed from a material having a higher load bearing strength, also preferably a stainless steel, such as ASME SA-240 type XM-19 high alloy stainless steel. Type XM 19 stainless steel is also austentitic, but has approximately twice the load bearing strength of type 304. As shown in FIG. 1, the upper trunnions 30 are secured to the upper shell portion 16. The upper trunnions 30 are intended to be used for hoisting and lifting the cask 10, both when empty and when loaded with a full canister 38. Thus, the upper trunnions 30 in use transmit significant shear and tensile loads to the upper shell portion 16. The lower shell portion 18 carries the lower trunnions 34, which are used to upright and stabilize the cask 10 during transport, as shall be described subsequently, and thus are subjected to lower loading. Because type XM-19 stainless steel is more costly than type 304 stainless steel, the cost of manufacture is reduced by utilizing the XM-19 for the load bearing portions of the cask 10. Both portions of the structural shell 14 can be formed and welded from rolled plate. Referring to FIG. 2, coaxially installed within the structural shell 14 is an inner shell 54, which also may be formed from type 304 stainless steel or other suitable corrosion resistant structural materials. The inner shell 54 is slightly smaller in external diameter than the interior of the structural shell 14, and thus defines an annular space therebetween. This annular space is filled with a gamma radiation absorbing material 56, such as ASTM B-29 chemical lead. The steel contained in the structural shell 14 and the inner shell 54, as well as the bottom closure plate 20 and top closure plate 26, also serve to absorb gamma radiation. The shield jacket 28 has a tubular configuration and is installed over and surrounds the majority of the length of the structural shell 14. The shield jacket 28 is formed from a tubular outer skin 58. The internal diameter of the outer skin 58 is greater than the external diameter of the shell 14, thus defining an annular space that is filled with a neutron radiation absorbing shield material 60. One suitable neutron radiation absorbing shield material 60 is a hydrogenous solid neutron absorbing material, such as a cementious castable neutron absorbing material. The upper and lower ends of the shield jacket 28 are closed by upper and lower annular support rings 62 and 63, respectively, welded to the exterior of the structural shell 14 and the edges of the outer skin 58. The lower annular support right 63 includes stainless steel rupture discs which prevent over pressurization of the shield jacket 28. A pair of elongate rails 64 are secured by welding or other means to the interior of the inner shell 54 of the body 12. The rails 64 are oriented parallel to the central longitudinal axis 44 of the cask 10, and extend the length of the inner shell 54. Each rail 64, also shown in FIG. 6, is formed from a strip of flat sheet. The rails 64 are spaced radially apart from each other within the same radial quadrant of the inner shell 54. The rails 64 are positioned on the side of the cask body 12 that rests on the trailer or other support surface when the cask 10 is laid down horizontally Each rail 64 is preferably formed from a material that is harder than the material used to construct the inner shell 54, such as a hardened stainless steel, which provides a non-gouging, low-friction surface for the canister 38 to slide on during installation or removal of the canister 38 from the cask 10. One suitable material is nitronic 60, cold reduced sheet, ASTM A-240, grade UN5, 521800, RC29.35 stainless steel. The bottom edge 46 of the lower shell portion 18 and the bottom edge of the inner shell 54 are each welded to the bottom closure plate 20, thereby sealing the bottom end of the body 12, as shall be described in more detail subsequently. The top edge 52 of the upper shell portion 16 and the top edge of the inner shell 54 are each welded to an annular sealing ring 66. The top closure plate 26 can be secured to the annular sealing ring 66 to selectively close the top end of the body 12. Reference will now be had to FIGS. 2 and 3A to describe the configuration of the annular sealing ring 66. The sealing ring 66 has a main body portion having an essentially rectangular cross section. An annular lower flange 70 extends downwardly from the lower surface of the body portion 68 adjacent the inner edge of the ring 66. The lower flange 70 has an internal diameter substantially equal to the internal diameter of the internal shell 54. The top edge 52 of the upper shell portion 16 is welded to the main body portion 68 of the annular sealing ring 66, while the lower edge of the lower flange 70 is welded to the top edge of the inner shell 54. Both welds are full penetration welds extending around the full circumference of the annular sealing ring 66. The top surface of the body portion 68 defines an annular abutment surface 74. An annular upper flange 76 projects upwardly from the abutment surface 74 along the outer perimeter of the annular sealing ring 66. A hardened sealing surface is formed on the abutment surface 74 by an annular hardened metal inlay 78. The inlay 78 is preferably formed by weld overlay of a hard metal onto the base metal of the annular sealing ring 66. The annular sealing ring 66 is preferably formed from a machined ring forging of type 304 stainless steel. The inlay 78 is preferably formed of inconel alloy. The inlay 78 wraps the inner upper corner of the body portion 68 of the annular sealing ring 66, so that it provides a hard polished surface on both the inner portion of the abutment surface 74 and the upper portion of the internal diameter of the body portion 68. The hard surface provided by the inlay 78 is highly resistant to permanent deformation upon impact of the joint area of the cask 10. Referring still to FIGS. 2 and 3 A, the top closure plate 26 is configured as a solid disk. The top plate 26 has an annular recess formed about its perimeter in its bottom side that defines an annular sealing surface 80. The annular sealing surface 80 corresponds in dimension substantially to the abutment surface 74 of the annular sealing ring 66. As shown in FIG. 3A, the top closure plate 26 is installed on the body 12 by sliding the top closure plate 26 within the annular upper flange 76 of the annular sealing ring 66. When so installed, the sealing surface 80 of the top closure plate 26 abuts the abutment surface 74 of the annular sealing ring 76. A non-recessed center portion 82 of the bottom side of the top closure plate 26 is received within the inside diameter of the body portion 68 of the annular sealing ring 66. The inlay 78 provides the sealing surface for the annular sealing ring 66. Two annular grooves 84 are formed in the portion of the sealing surface 80 of the top closure plate 26 that overlies the inlay 78. As shown in FIG. 3A, a seal 86 is received within each of the grooves 84. The seals may be either deformable metal seals, or elastomeric seals, e.g., O-rings, or alternately configured elastomeric seals. The seals 86 are deformed between the top closure plate 26 and the annular sealing ring 66, and retained within the grooves 84. Referring to FIG. 3B, each of the grooves 84 defines a half-dovetail cross-section, having a bottom surface 88, a first orthogonal side surface 90, and a second, inwardly angled side surface 92. The half-dovetail configuration of the grooves 84 ensures that the seals 86 are retained within the grooves 84 when the body 12 is positioned either horizontally or vertically and the top closure plate 26 is removed. The weld between the annular sealing ring 68 and the inner shell 54 is airtight. The weld between the annual sealing ring 68 and the upper shell portion 16 is also believed to be airtight, but is not tested for that characteristic. Likewise, the seal joint formed by the sealing surface 80, abutment surface 74, and seal 86 is also airtight. The top closure plate 26 is selectively secured to the annular sealing ring 66 by installing a plurality of bolts 94 through recessed apertures 96 formed at evenly-spaced intervals about the perimeter of the top closure plate 26 into correspondingly located threaded passages 98 formed in the abutment surface 74 of the annular sealing ring 66. Drain holes (not shown) are provided at the base of each threaded passage 98. Referring to FIG. 6, two monitoring ports 100 are formed in the top closure plate and are selectively sealed by plugs 102. Attention is now directed to FIGS. 2 and 4 to describe the airtight joints formed between the bottom closure plate 20 and the structural shell 14 and inner shell 54. The bottom shell 20 is also configured as a solid disk. An annular flange 104 projects upwardly from the top (i.e., inner) surface of the bottom closure plate 20, at a location spaced radially inwardly from the outer perimeter of the top closure plate 20. When the bottom closure plate 20 is placed over the bottom end of the body 12, an upper edge of the flange 104 abuts the lower edge of the inner shell 54. The upper edge of the flange 104 is welded to the lower edge of the inner shell 54. The bottom edge 46 of the lower shell portion 18 is welded to the bottom closure plate 20. Both welds are full penetration welds formed about the full circumference of the bottom closure plate 20, and the weld between the inner shell 54 and the flange 104 is airtight. The weld between the lower shell portion 18 and the flange 104 is also believed to be airtight, but is not tested for that characteristic. A drain port 106 is formed through the bottom closure plate 20, from the top (inner) surface of the plate to the plate's outer circumference, and is sealed with a threaded bolt 108 capped by a threaded plug 110. The threaded bolt 108 and threaded plug 110 each include a seal (not shown) that is leak tight. The port 106 permits drainage of liquids from the interior cavity 40 of the cask 10. The drain port 106 may be located at any orientation on the bottom of the cask. Referring to FIGS. 2 and 5A, the central access aperture 22 is formed centrally through the bottom closure plate 20. An annular recess 112 is formed in the bottom (i.e., outer) side of the bottom closure plate 20, effectively enlarging the diameter of the bottom portion of the central access aperture 22. The recess 112 defines an annular abutment surface 114. A hardened inlay 116, which may be formed by weld overlay of a hard metal, such as inconel, is formed angularly around the innermost portion of the abutment surface 114 adjoining the access aperture 22. The inlay 116 is polished to define a sealing surface. The access cover plate 24 is configured as a solid disk having an outer diameter that is sized to be received within the recess 112. An annular recess is formed in the top (i.e., inner) side of the access cover plate 24 about the plate's perimeter to define a sealing surface 118. A non-recessed center portion 120 is bordered by the sealing surface 118. When the access cover plate 24 is assembled to the bottom closure plate 20, the access cover plate 24 is received within the recess 112 of the bottom closure plate 20, with the center portion 120 of the access plate 24 being received within the central access aperture 22. The sealing surface 118 overlies the inlay 116 in this installed configuration. Referring to FIGS. 5A and 5B, two half-dovetailed annular grooves 122, configured similarly to the previously described grooves 84 in the top closure plate 26, are formed in the sealing surface 118. Again, seals (not shown) are received within the grooves 122 and are compressed between the sealing surface 118 and the inlay 116 to form an airtight seal between the ram closure plate 24 and the bottom closure plate 20. The ram closure plate 24 is retained in place by a plurality of bolts 124 inserted through recessed apertures 126 formed at spaced intervals about the periphery of the access cover plate 24 and received within threaded passages 128 formed at corresponding locations in the abutment surface 114 of the bottom closure plate 20. The bottom closure plate 20 is preferably formed from a machine forging, such as a type 304 stainless steel forging. The ram closure plate is preferably formed from a higher strength material, such as type XM-19 stainless steel. Referring to FIG. 6, the construction of the shield jacket 28 will now be described in greater detail. As noted previously, the outer skin 58 of the shield jacket 28 is larger than the external diameter of the upper shell portion 16 and lower shell portion 18. The annular space created therebetween is filled with neutron radiation absorbing shield material 60. Neutron radiation shielding material 60 is not a strong load bearing material, and thus a plurality of elongate reinforcing members 130 are embedded within the shield material 60. The elongate reinforcing members 130 are oriented so as to be parallel to the central axis 44 of the cask body 12. Each reinforcing member 130, which are also illustrated in FIGS. 8 and 9, is bent centrally along its length on two fold lines, such that each member 130 defines a flattened V-shaped cross section. Each member 130 thus has an elongate center portion 132 and first and second elongate leg portions 134 that project angularly outwardly from the center portion 132. The center portion 132 of each member 130 is welded to the interior of the outer skin 58 of the shield jacket 28. The projecting edges of each of the two leg portions 134 contacts and is welded to the outside of the structural shell 14. This gives a "corrugated" reinforcing effect to the structure of the shield jacket 28. The reinforcing members 130 transfer heat from the structural shell 14 through the shield jacket 28 to the exterior of the cask 10 to remove the decay heat of spent fuel contained within the cask 10, and also provide an integral structural system for supporting the cask during transport. Reference is now had to FIGS. 1, 7, and 8 to describe an additional feature of the cask 10. The cask 10 includes a tie-down key way structure 136. The key way structure 136 serves as an anchor point for a tie-down that secures the cask 10 to a transport skid for secure transportation. The key way structure 136 defines an elongate arcuate opening formed through the shield jacket 28 approximately mid-length of the body 12. The key way structure 136 has a radially oriented length and an axially oriented width, and is formed from four frame members that are welded directly to the structural shell 14. Referring now to FIGS. 7 and 8, the long sides of the key way structure 136 are formed by arcuate beating blocks 138 that are mounted arcuately in spaced-apart disposition on the lower shell portion 18. The perimeter frame of the key way structure 136 is completed by two longitudinally oriented tie-bar members 140 welded across the opposing ends of the bearing blocks 138. Each of the bearing blocks 138 and tie-bars 140 is welded to the lower shell portion 18, and cooperatively define a rectangular frame. A recess 142 is formed in the outer surface of each of the bearing blocks 138 and tie-bars 140 about the inner perimeter of the frame defined thereby. The perimeter frame defined by the bearing blocks 138 and tie-bars 140 are further reinforced by an arcuate pad plate 144 that fits over the bearing blocks 138 and tie-bars 140. The pad plate 144 is disposed within the interior of the shield jacket 28 and is welded directly to the lower shell portion 18, as well as to the bearing blocks 138 and tie bars 140. The outer skin 58 of the shield jacket 28 is also welded to the tie bars 140 and bearing blocks 138. The pad plate 144, tie bars 140, and bearing blocks 138 are preferably formed from a high-strength metal, such as type XM-19 stainless, due to the stress imposed on them during use. Because it is desired that the key way structure 136 be sacrificed rather than the integrity of the structural shell 14 in the event of excessive loads applied to the key way structure 136, the welds between the key way structure 136 and the lower shell portion 18 and outer skin 58 of the shield jacket 28 are relatively small. This ensures that the key way structure 136 will give way prior to breakage of the structural shell 14 in the event of extreme loads on the key way structure 136. The construction of the upper trunnions 30 and lower trunnions 34 will now be described with reference to FIGS. 9 and 10, respectively. The upper trunnions 30 and lower trunnions 34 are similarly constructed except as noted. Thus, only the upper trunnion 30 will be described with it being understood that the same description applies to the lower trunnion 34. The upper trunnion 30 has a cylindrical body 146. An annular flange 148 is formed about the midsection of the body 146. A recess 150 is formed in one of the circular faces 152 of the body 146, and extends fully into the interior of the body 146 to define a cavity 154. The body 146 thus has a hollow configuration. The portion of the trunnion body 146 between the flange 148 and the first face 152 defines a cylindrical base 156. The interior cavity 154 is filled with neutron radiation absorbing shield material 60. The neutron shield material 60 is capped and retained by a circular back plate 158 that is received within the recess 150 and welded in position. The presence of the neutron shield material 60 reduces streaming of neutrons through the upper trunnions 30. A cylindrical bearing projection 160 projects from the second circular face 162 of the trunnion body 146. An annular flange 164 is formed about the end of the bearing projection 160. The bearing projection 160, flange 164, and second circular face 162 cooperatively define a bearing groove that can be grasped by a correspondingly contoured hook for transport of the cask 10. A plurality of apertures 166 are formed through the flange 148 at spaced intervals about the perimeter of the upper trunnion 30, for purposes of securement to the cask 10 by bolts 168. The lower trunnions 34 are configured similarly to the upper trunnions 30, except that no cylindrical bearing projection 160 projects from the trunnion body 146. Additionally, the interior cavity 154 is not filled with a neutron shield material, and back plate 158 is also not included. The upper trunnion 30 can be selectively and releasably secured to the cask body 12 by engagement with the upper trunnion mounting sleeve 32. The upper trunnion mounting sleeve 32 consists of a tubular sleeve that projects through and is welded to the upper shell portion 16. A circular aperture 170 is formed through the upper shell portion 16 at the desired location for the upper trunnion mounting sleeve 32. A similarly oriented aperture is formed through the outer skin 58 of the shield jacket 28. The upper trunnion mounting sleeve 32 is installed through the shield jacket 28 and the upper shell portion 16 such that the central axis (not shown) of the upper trunnion mounting sleeve 32 is oriented radially relative to the longitudinal axis 44 of the cask body 12. The upper trunnion mounting sleeve 32 is welded fully about its perimeter to the upper shell portion 16. Additionally, a weld is formed between the outer skin 58 of the shield jacket 28 and the upper trunnion mounting sleeve 30. A circular trunnion filler plate 171 is installed within the upper trunnion mounting sleeve 32, and positioned within the radially inward end of the trunnion mounting sleeve 30 so as to be in line with the arc of the upper shell portion 16. The trunnion filler plate 170 is welded to the interior of the upper trunnion mounting sleeve 32 to seal the radially interior end of the upper trunnion mounting sleeve 32. An annular recess 172 is formed about the entry to the upper trunnion mounting sleeve 32. To secure the upper trunnion 30 in position on the cask 10, the circular base 156 of the upper trunnion 30 is slidably received within the interior passage 174 defined by the upper trunnion mounting sleeve 32, and the flange 148 of the upper trunnion 30 is received within the recess 172. The dimensional tolerances of the interior passage 174 of the upper trunnion mounting sleeve 30 and the recess 172, as well as the base 156 and flange 148 of the upper trunnion 30, are closely controlled such that a very close slip fit is formed between the upper trunnion 30 and the upper trunnion mounting sleeve 32. This ensures that the upper trunnion 30 cannot become cocked within the upper trunnion mounting sleeve 32. The bolts 168 are installed through the apertures 166 and the flange 148 of the upper trunnion 30 and into correspondingly arranged threaded passages 176 formed into the recess 172 of the upper trunnion mounting sleeve 32. Because of this two-piece mounting of the upper trunnion 30, utilizing the separate upper trunnion 30 and upper trunnion mounting sleeve 32, the upper trunnion 30 can be removed as desired when hoisting of the cask 10 is not required. Additionally, because the upper trunnion mounting sleeve 32 receives and captures the upper trunnion 30, the bolts 168 are substantially isolated from shear and tensile loads, which instead are transmitted from the upper trunnion 30 to the upper trunnion mounting sleeve 32 and then to the structural shell 14. This construction helps to ensure that the upper trunnions 30 are not torn off of the structural shell 14 when the upper trunnions 30 are grasped to hoist the weight of the cask 10 and the contents therein. The upper trunnion mounting sleeve 32 and upper trunnion 30 are preferably formed from a high strength metal, such as type XM-19 stainless steel. The trunnion backing plate 158 can be formed from type 304 stainless steel or other suitable metals. Referring to FIG. 10, the lower trunnion mounting sleeve 36 is identically constructed and secured to the lower shell portion 18, as was the upper trunnion sleeve 32 constructed and secured to the upper shell portion 16, except as noted herein. Because the stresses imposed on the lower trunnions 34 are not as great as those imposed on the upper trunnions 30, a recess 172 is not formed in the outer face of the lower trunnion mounting sleeve 36 to receive the flange 148 of the lower trunnion 34. Instead, the axial length of the lower trunnion mounting sleeve 36 is correspondingly reduced, and the flange 148 of the lower trunnion 34 abuts the annular exterior face 178 of the lower trunnion mounting sleeve 36. Referring now to FIG. 11A, often when the cask 10 has been loaded with a canister 38, the cask 10 will be temporarily stationary on-site. During such times, it is not required to mount the upper trunnions 30 and lower trunnions 34 on the cask 10. In such instances, it is desired to further reduce neutron streaming past the trunnions 30 and gamma streaming past trunnions 34 by removing the trunnions 30 and 34, and capping the upper trunnion mounting sleeves 32 with trunnion shields 180 trunnion mounting sleeves 36 with trunnion shields 181. Trunnion shields 180 are metal disks that are filled with neutron shield material 60 (not shown) and bolted to the upper trunnion mounting sleeves 32. Trunnion shields 180 are solid metal disks bolted to the upper trunnion mounting sleeves. Additionally, when not in transport, the key way structure 136 is not being utilized. At such times, it is desirable to mount a key way shield 182 (also shown in FIG. 1) to cover the key way structure 136. The key way shield 182 is again filled with a neutron shield material 60 and is secured by bolting a top plate 184 to the frame of the key way structure 136. This again is to reduce neutron streaming through the key way structure 136. Finally, during unloading of the canister 38 from the cask 10, it is necessary to remove the access cover plate 24 from the bottom closure plate 20, as shall be described briefly below. During such times when the access cover plate 24 is removed from the cask 10 and it is not actually necessary to insert a ram, as shall be described, through the central access aperture 22, an access aperture shield assembly 186 is secured centrally to the bottom closure plate 20 to cover the access aperture 22. Referring to FIG. 11B, the access aperture shield assembly 186 consists of an annular first shield member 188 that is formed from two annular plates 190 that are secured together by an annular ring 192. An aperture 194 is formed centrally through the plates 190, and an internal ring 196 borders this aperture 194. The interior of the first shield member 188 is filled with a neutron absorbing shield material 60. A second similarly constructed shield member 198 is also utilized. Shield member 198 is also formed as a disk, but is a smaller diameter than shield member 188, and includes no central aperture. It also is filled with neutron absorbing shield material 60. Shield member 198 is supported by a plurality of hangers 200, extending outwardly from the first shield member 188 around aperture 194 in the shield member 188. When both the shield member 198 and shield member 188 are utilized, the complete area of the central access aperture 22 is shielded. Referring to FIGS. 12, 13 and 14, in another aspect, the present invention relates to a skid for supporting and protecting the transportation cask for spent nuclear fuel during transportation. FIG. 12 illustrates a conventional trailer 226 that includes a transportation cask enclosed by skid 220 formed in accordance with the present invention and a pair of impact limiters 222 formed in accordance with the invention described in the application entitled Impact Limiter For Spent Nuclear Fuel Transportation Cask. In FIG. 12, the transportation cask is not visible, as it is completely encased by skid 220 and impact limiters 222. Skid 220 is further enclosed by a curtain of expanded metal 224, which further obscures skid 220 and the transportation cask. The curtain of expanded metal 224 is provided around skid 220 in order to shield skid 220 and the transportation cask from sunlight. In FIG. 12, the longitudinal axis of the transportation cask is parallel to the length of trailer 226. Impact limiters 222 are positioned on opposite ends of the generally cylindrical transportation cask. Skid 220 supports the transportation cask along its length between impact limiters 222, as described below in more detail. Referring primarily to FIGS. 13 and 14, transportation skid 220 comprises a lower supporting member 290 and an upper retaining member 292. Lower supporting member 290 carries the vertical and lateral cask loads and includes a plurality of parallel spaced-apart plates 294 lying perpendicular to the longitudinal axis of the transportation cask. Plates 294 include an outer peripheral portion that is substantially square and in use rests on the bed of a transportation trailer. The inner periphery of plates 294 includes a trough which in the illustrated embodiment is semicircular and mates with a portion of the exterior surface of the transportation cask. At the bottom of the trough in supporting member 290 is a saddle 291 that comprises a plate extending lengthwise along the bottom of the trough and widthwise up the sides of the trough. In the illustrated embodiment, saddle 291 occupies approximately one-third of the bottom radius of the trough. At the bottom of the trough centrally located along the length of saddle 291 is an upward protruding rectangular block 296 that serves as a shear key for mating with tie-down keyway structure (136 in FIG. 1) on the transportation cask. Block 296 cooperates with the transportation cask in order to provide an independent means for carrying axial shear loads for the cask. Spaced-apart plates 294 of lower supporting member 290 are connected by a plurality of longitudinal fins 201 running parallel to the longitudinal axis of the transportation cask. In the illustrated embodiment, plates 294 are made from one-inch steel plates and fins 201 comprised of one-half-inch thick steel plates. Plates 294 provide support for the transportation cask for downward, vertical and transverse loads from the cask. Upper retaining member 292 carries vertical upward loads for the cask and includes a plurality of spaced-apart plates 298 lying perpendicular to the longitudinal axis of the transportation cask. In the illustrated embodiment, the inner periphery of plates 298 includes an inverted semi-circular trough that is a mirror image of the trough in supporting member 290. The outer periphery of plates 298 is substantially concentric with its inner periphery. Upper retaining member 292 also includes a plurality of parallel longitudinal fins 202 that are positioned parallel to the longitudinal axis of the transportation cask. In the illustrated embodiment, plates 298 and fins 202 are made from metal, such as aluminum. Upper retaining member 292 and lower supporting member 290 mate with each other to define a cylindrical cavity which completely encases the neutron shielding material (60 in FIG. 2). As described above, the neutron radiation shielding material is not a strong load-bearing material, and accordingly, a plurality of elongate reinforcing members (130 in FIG. 6) are embedded within the shield material. The elongate reinforcing members are oriented so as to be parallel to the central axis of the cask body. The radial spacing between fins 201 and fins 202 is such that when the transportation cask is mated with rectangular block 296, the center portions 132 of the elongate reinforcing members 130 in the neutron radiation shielding material are aligned and rest along longitudinal fins 201 and 202. Accordingly, the neutron shielding material does not carry the load of the cask, but rather the elongate reinforcing members resting on the longitudinal fins serves to carry the load of the cask. Utilization of the cask 10 shall now be briefly described. When it is desired to install a canister 38 into the cask 10, the access cover plate 24 is secured to the bottom closure plate 20, while the top closure plate 26 is removed from the cask body 12. The canister 38 is installed into the interior cavity 40 of the cask body 12. These operations are performed inside pools or otherwise in accordance with industry practice. Transport of the open cask during this time is made by grasping the upper trunnions 30 to hoist the cask 10. After water is drained from the interior of the cask 10, and the cask 10 is dried in accordance with standard industry practice, the top closure plate 26 is secured to the cask body 12. The cask 10 is now hoisted by again hooking the upper trunnions 30 to move the cask 10 to a transport trailer. While being hoisted, the cask 10 is oriented vertically with the weight of the cask being supported by the upper trunnions 30. The cask 10 is then repositioned horizontally on a trailer, during which operation the lower trunnions 34 are utilized to stabilize and reposition the cask 10. The cask 10 can then be transported to the site where the canister 38 is to be installed in a horizontal storage module or other storage module. Once the cask 10 has arrived at the storage site, the top closure plate 26 is removed and the top end of the open cask body 12 is docked with the intended storage module. The access cover plate 24 can then be removed, and replaced with the access aperture shield assembly 186 to reduce neutron streaming. When it is time to transfer the canister 38 from the cask 10 to the storage module, the second shield member 198 is removed from the access aperture shield assembly 186. A ram can then be inserted through the remaining shield member 198 and the access aperture 22 into the interior cavity 40 of the cask body 12. The ram then pushes the canister 38, which slides on the rails 64 as it moves through the open end of the cask body 12, defined by the annular sealing ring 66. The canister 38 thus moves into the storage module. Once transfer of the canister 38 is completed, the cask 10 can be reassemble and reutilized. For transportation, the reverse operations to those described above are performed to retrieve the canister into the cask. The cask in then lifted from the trailer and placed on a suitable transportation skid, such as described above, with a shear key which engages the keyway structure 136. The trunnions 30 and 34 are removed and trunnion shields 180 and 181 are installed. While the present invention has been described above in terms of a preferred embodiment, it should be readily apparent to those of ordinary skill in the art that various alterations, modifications and substitutions may be made within the scope of the present invention. For example, materials other than those described can be utilized to form the components of the cask 10, provided that they meet the parameters set forth herein. It is thus intended that the scope of letters patent granted hereon be limited only by the definitions contained in the appended claims.
044252960
summary
FIELD OF THE INVENTION The present invention relates to probe-holding apparatus for a probe for checking steam generator tubes. BACKGROUND OF THE INVENTION It is necessary for the water tubes of vertical steam generators used in nuclear power plants to be periodically checked. In this respect, the water constituting the primary fluid and contaminated by the nuclear reactions must not be able to pass into the secondary fluid which is used for operating a turbo-alternator. This check is made with the aid of a Foucault current probe which is passed through each of the tubes. A slit or hole in the tube or even a simple reduction in its thickness is then converted into a variation in the output current from the probe. In practice, the probe is carried by an apparatus mounted movably in the lower chamber of the generator, into which all the tubes open. This apparatus carries a guide tube which is brought in front of a tube of the bundle and into which the probe is inserted. After checking a tube, the probe is withdrawn from the tube, the apparatus is moved through a distance equal to the distance between two tubes, and the probe is inserted into a new tube. These various operations are controlled from the outside because as the chamber wall has been in contact with irradiated water, it itself emits ionizing radiation. The probe-holding apparatus proposed hitherto comprises expansible mandrels which are inserted and fixed into the tubes of the bundle. However, with such apparatus, it is often difficult to check those tubes disposed at the bundle periphery. Moreover, any given apparatus is suitable only for checking tubes which are a given distance apart. If it is required to check the tubes of a steam generator in which the tube pitch is different, the apparatus has to be considerably modified. Finally, such apparatus is large and bulky. OBJECT OF THE INVENTION An object of the present invention is to provide a probe-holding apparatus for checking steam generator tubes, which does not have these drawbacks. SUMMARY OF THE INVENTION According to the invention, there is provided a probe-holding apparatus for a probe for checking steam generator tubes, said apparatus comprising a telescopic arm resiliently urged towards its position of maximum extension and said arm having first and second opposed ends, a support, joint means movably mounting the first end of the arm on the support, and a carriage hinged to the second end of the arm, said carriage comprising guide means for a probe, drive means, and a stepping motor for driving the drive means, said drive means including spaced projections, the guide means for the probe and the projections being disposed in the same direction, and the projections being spaced apart from each other by a distance related to the pitch of the generator tubes. Further according to the invention, there is provided a probe-holding apparatus for a probe for checking steam generator tubes, said apparatus comprising a telescopic arm having first and second opposed ends, support means, said first end being pivotally mounted on the support means, and a carriage mounted on the second of said ends, said carriage comprising guide means for the probe, an endless drive member, an array of projections spaced along the drive member in the direction of drive and engageable in the ends of the tubes, and stepping motor means for driving the drive member. Having placed the apparatus in one of the end chambers of the steam generator, the probe-holding carriage is kept resting by the arm against the tube plate located in this chamber, the projections being engaged in the generator tubes. The probe guide means is then located in front of another generator tube which can then be checked. The stepping motor is then started, this enabling the carriage to be moved over the tube plate in a precise manner and enabling the probe guide means to be brought in front of another tube of the generator. By retracting the telescopic arm through a small distance the projections are disengaged from the tube plate, this enabling the carriage to be rotated with the aid of the arm in order to move the projections and the probe guide means into a different direction. After returning the carriage into contact with the tube plate, the tubes lying in this direction can be checked. As the carriage drive motor is a stepping motor, the carriage movements can be recorded, and thorough knowledge can be had of the tube being checked at any moment. The stepping motor can be an electric, pneumatic or hydraulic motor, which drives the carriage by way of a transmission.
044141459
claims
1. A method of preparing a non-toxic, pharmaceutically acceptable, .sup.195m Au-containing liquid capable of direct administration in a living being and substantially devoid of .sup.195m Hg ions, wherein the .sup.195m Au is a daughter radioisotope of the .sup.195m Hg ion, comprising: 2. A method according to claim 1 wherein said adsorption agent is selected from the group consisting of activated carbon, silver, hydrated manganese dioxide, and metal sulfides and said eluant is a nontoxic, pharmaceutically acceptable solution which selectively converts .sup.195m Au to an elutable form. 3. A method as set forth in claim 2 wherein the mercury ion-binding material is a metal sulphide. 4. A method as set forth in claim 3 wherein the mercury ion-binding material is a metal sulphide selected from the group consisting of zinc sulphide, zirconium sulphide and silver sulphide. 5. A method as set forth in claims 1, 2, 3, or 4 wherein the adsorption agent contains a substrate material selected from the group consisting of silica gel, aluminum oxide, natural or synthetic aluminum silicate, activated carbon, and glass. 6. A method as set forth in claims 1, 2, 3 or 4 wherein the adsorption agent contains a silica gel substrate material. 7. A method as set forth in claim 1 wherein the adsorption agent contains a substrate material selected from polymers and copolymers of styrene. 8. A method as set forth in claim 1 wherein the adsorption agent comprises particulate substrate material and the mercury ion-binding material is on the surface of the particles of the substrate material. 9. A method as set forth in claim 8 wherein the mercury ion-binding material is selected from the group consisting of hydrated manganese dioxide, metal sulphides and silver. 10. A method as set forth in claim 9 wherein the mercury ion-binding material is a metal sulphide selected from the group consisting of zinc sulphide, zirconium sulphide and silver sulphide. 11. A method as set forth in claim 10, the substrate material is silica gel and the mercury ion-binding material is selected from the group consisting of zinc sulphide and silver sulphide. 12. A method as set forth in claim 11 wherein the adsorption agent comprises silical gel containing about 0.1-20 mg of zinc sulphide per g of silica gel. 13. A method as set forth in claim 12 wherein the adsorption agent comprises silica gel containing about 0.8 to 10 mg of zinc sulphide per g of silica gel. 14. A method as set forth in claim 9 wherein the substrate material is silica gel and the mercury ion-binding material is selected from the group consisting of hydrated manganese dioxide and silver. 15. A method as set forth in claim 8 wherein the substrate material is selected from the group consisting of silica gel, silicate material and glass. 16. A method as set forth in claim 15 wherein the mercury ion-binding material is chemically bonded to the surfaces of the particles of substrate material. 17. A method as set forth in claim 16 wherein the mercury ion-binding material has a terminal functional group selected from the group consisting of thiol-, amino, hydroxy-, carbamate, dithiocarbamate-, xanthate-, and carboxy-functional groups. 18. A method as set forth in claim 8 wherein the mercury ion-binding material is chemically bonded to the surfaces of the particles of substrate material. 19. A method as set forth in claim 18 wherein the mercury ion-binding material has a terminal functional group selected from the group consisting of thiol-, amino-, hydroxy-, carbamate-, dithiocarbamate, xanthate-, and carboxy-functional groups. 20. A method as set forth in claims 1, 2, 8, 11, 15, 18, 16, 19 or 17, wherein the eluant used for the elution of the daughter isotope .sup.195m Au is a pharmaceutically-acceptable solution of a gold ion-complexing agent selected from the group consisting of amines, amino acids and sulphur-containing compounds. 21. A method as set forth in claim 20 wherein the gold ion-complexing agent is selected from the group consisting of thiosulphate, tris(hydroxymethyl)aminomethane, hippurate, glutathione, mercaptopropionyl glycine, thiomalate, thiosalicylate and rhodanide. 22. A method as set forth in claim 21, the eluant is a solution of a gold ion-complexing agent which contains a minor amount of gold carrier. 23. A method as set forth in claim 22 wherein the eluant is a gold ion-complexing agent which contains a dissolved radical scavenger. 24. A method as set forth in claim 23 wherein the radical scavenger is selected from the group consisting of alkali metal nitrates, alkaline earth metal nitrates, alkali metal nitrites and alkaline earth metal nitrites. 25. A method as set forth in claim 20 wherein the eluant is a solution of a gold ion-complexing agent which contains a small amount of gold carrier. 26. A method as set forth in claim 20 wherein the eluant is a solution of a gold ion-complexing agent which contains a dissolved radical scavenger. 27. A method as set forth in claim 26 wherein the radical scavenger is selected from the group consisting of alkali metal nitrates, alkaline earth metal nitrates, alkali metal nitrites and alkaline earth metal nitrites. 28. A method as set forth in claim 18 or 16 wherein the adsorption agent for the parent isotope includes particulate silica gel, the particles of which have at their surface chemically bound groups with thiol functions in the terminal position, and the eluant for the daughter radioisotope is a solution of thiosulphate. 29. A method as set forth in claim 18 or 16 the adsorption agent for the parent isotope includes particulate silica gel, the particles of which have at their surface chemically bound groups having amino functions in the terminal position, and the eluant for the daughter radioisotope is solution of tris(hydroxymethyl)aminomethane. 30. A method as set forth in claim 18 or 16 wherein the mercury ion-binding material is selected from the group consisting of macrocyclic, heteromacrocyclic and polycyclic ligands. 31. A method as set forth in claim 8, 18 or 16 wherein the mercury ion-binding material has been subject to a deactivation treatment so that the material has a reduced adsorption affinity for gold ions. 32. A method as set forth in claim 31 wherein deactivation treatment comprises a chemical reaction selected from substitution, cleavage, condensation and oxidation. 33. A method as set forth in claim 32 wherein the deactivation treatment is an oxidation chemical reaction employing an oxidation agent selected from iodine, bromine, chromic acid and permanganate. 34. A method as set forth in claim 1 wherein the eluant used for the elution of the daughter isotope .sup.195m Au is a pharmaceutically acceptable solution of a gold ion-complexing agent. 35. A method as set forth in claim 34 wherein the solution of gold ion-complexing agent contains a minor amount of gold carrier. 36. A method as set forth in claims 34, 2 or 19 wherein the solution of gold ion-complexing agent contains a dissolved radical scavenger. 37. A method as set forth in claim 36 wherein the radical scavenger is selected from the group consisting of alkali metal nitrates, alkaline earth metal nitrates, alkali metal nitrites and alkaline earth metal nitrites. 38. A method as set forth in claim 37 wherein the radical scavenger is contained in a quantity of about 0.0001-5 wt/vol. % calculated on the quantity of eluant. 39. A method as set forth in claim 38 wherein the radical scanvenger is contained in a quantity of about 0.5-2 wt/vol. % calculated on the quantity of eluant. 40. A method as set forth in claim 8 wherein the adsorption agent for the parent isotope .sup.195m Hg includes particulate silica gel, the particles of which have zinc sulphide at the surface, and the eluant for the daughter radiosotope is a solution of thiosulphate. 41. The method as set forth in claim 8 wherein the adsorption agent for the patent isotope includes particulate silica gel, the particles of which have hydrated manganese dioxide at the surface and the eluant for the daughter radioisotope is a solution of a gold-complexing agent selected from the group consisting of tris(hydroxymethyl)aminomethane and hippurate. 42. A method as set forth in claim 8 wherein the adsorption agent for the present isotope includes particulate silica gel, the particles of which have silver sulphide at the surface, and the eluant for the daughter radioisotope is a solution of a gold-complexing agent selected from the group consisting of glutathione and thiomalate. 43. A method as set forth in claim 8, the adsorption agent the parent isotope includes particulate silica gel, the particles of which have silver at the surface and the eluant for the daughter radioisotope is a solution of a gold complexing agent selected from the group consisting of glutathione, mercaptopropionyl glycine, and thiomalate. 44. A method as set forth in claim 1 wherein .sup.195m Hg is adsorbed on the adsorption agent by contacting the adsorption agent with a solution of .sup.195m Hg ions having a pH of about 1 to about 10. 45. A method as set forth in claim 44 wherein the solution has a pH of about 5 to about 6. 46. A radioisotope generator system for generating a non-toxic, pharmaceutically acceptable .sup.195m Au-containing liquid capable of direct administration in a living being and substantially devoid of .sup.195m Hg ions, wherein the .sup.195m Au is a daughter radioisotope of the .sup.195m Hg ion, comprising: 47. A radioisotope generator system according to claim 46 wherein said adsorption agent is selected from the group consisting of activated carbon, silver, hydrated manganese dioxide, and metal sulfides, and said eluant is a nontoxic, pharmaceutically acceptable solution which selectively converts .sup.195m Au to an elutable form. 48. A radioisotope generator as set forth in claim 47, wherein the column contains sufficient adsorbed .sup.195m Hg to have a radioactivity of about 1-300 mCi. 49. A radioisotope generator as set forth in claim 48 where the column contains sufficient adsorbed .sup.195m Hg to have a radioactivity of about 20-160 mCi. 50. A radioisotope generator as set forth in claim 46 or 47 wherein the generator contains means for directly connecting the generator to a patient. 51. A radioisotope generator as set forth in claim 47 wherein the mercury ion-binding material is a metal sulphide. 52. A radioisotope generator as set forth in claim 51 wherein the mercury ion-binding material is a metal sulphide selected from the group consisting of zinc sulphide, zirconium sulphide and silver sulphide. 53. A radioisotope generator as set forth in claim 46, wherein the generator comprises a reservoir adapted to contain eluant and in communication with the column, means for pumping eluant from the reservoir into the column and forcing the resulting eluant from the column to the body of a patient, means for adding a formulating liquid to the eluate, and a tube connected at one end to the means for adding a formulating liquid and having, at the other end, a member capable of being connected to an auxiliary means for allowing liquid to flow into blood vessels or body cavities of a patient. 54. A radioisotope generator as claimed in claims 46, 53, 47, 51 or 52 wherein the adsorption agent comprises a substrate selected from the group consisting of silica gel, aluminum oxide, natural or synthetic aluminum silicate, activated carbon and glass. 55. A radioisotope generator as set forth in claims 46, 53, 47, 51 or 52 wherein the adsorption agent contains a silica gel substrate material. 56. A radioisotope generator as set forth in claim 46, wherein the adsorption agent contains a substrate material selected from polymers and copolymers of styrene. 57. A radioisotope generator as set forth in claim 46 wherein the adsorption agent contains a particulate substrate material and the mercury ion-binding material is on the surface of the particles of the substrate material. 58. A radioisotope generator as set forth in claim 57 wherein the mercury ion-binding material is selected from the group consisting of hydrated manganese dioxide, metal sulphides and silver. 59. A radioisotope generator as set forth in claim 58 wherein the mercury ion-binding material is a metal sulphide selected from the group consisting of zinc sulphide, zirconium sulphide and silver sulphide. 60. A radioisotope generator as set forth in claim 59 wherein the substrate material is silica gel and the mercury ion-binding material is selected from the group consisting of zinc sulphide and silver sulphide. 61. A radioisotope generator as set forth in claim 60 wherein the adsorption agent comprises silica gel containing about 0.1-20 mg of zinc sulphide per g of silica gel. 62. A radioisotope generator as set forth in claim 61 wherein the adsorption agent comprises silica gel containing about 0.8 to 10 mg of zinc sulphide per g of silica gel. 63. A radioisotope generator as set forth in claim 58 wherein the substrate material is silica gel and the mercury ion-binding material is selected from the group consisting of hydrated manganese dioxide and silver. 64. A radioisotope generator as set forth in claim 57 wherein the substrate material is selected from the group consisting of silica gel, silicate material and glass. 65. A radioisotope generator as is set forth in claims 57 or 64 wherein the mercury ion-binding material is chemically bonded to the surfaces of the particles of substrate material. 66. A radioisotope generator as set forth in claim 65 wherein the mercury-ion binding material contains a function in the terminal position selected from the group consisting of thiol-, amino-, hydroxy-, carbamate-, dithiocarbamate-, xanthate-, and carboxy functions. 67. A radioisotope generator as set forth in claim 65 wherein the adsorption agent for the parent isotope includes particulate silica gel, the particles of which have at their surface chemically bound groups with thiol functions in the terminal position. 68. A radioisotope generator as set forth in claim 65 wherein the adsorption agent for the parent isotope includes particulate silica gel, the particles of which have at their surface chemically-bound groups having amino functions in the terminal position. 69. A radioisotope generator as set forth in claim 65 wherein the adsorption agent contains a mercury ion-binding material selected from the group consisting of macrocyclic, heteromacrocyclic and polycyclic ligands. 70. The radioisotope generator as set forth in claim 57 wherein the adsorption agent for the parent isotope includes particulate silica gel, the particles of which have hydrated manganese dioxide at the surface. 71. A radioisotope generator as set forth in claim 57 wherein the adsorption agent for the parent isotope includes particulate silica gel, the particles of which have silver sulphide at the surface. 72. A radioisotope generator as set forth in claim 57 wherein the adsorption agent for the parent isotope includes particulate silica gel, the particles for which have silver at the surface. 73. An adsorption agent for use in preparing a .sup.195m Au-containing liquid substantially devoid of .sup.195M Hg ions, wherein the .sup.195m Au is a daugher radioisotope comprising a chemically and radiolytically stable mercury ion-binding material having a substantially stronger adsorption affinity for mercury ions than for gold ions and a silica gel substrate material.
summary
abstract
Disclosed are an X-ray target having a micro focus size and capable of producing X-rays of high intensity, and apparatuses using such an X-ray target. The X-ray target (1) has a structure in which a first cap layer (21), a target layer (22), and a second cap layer (23) are successively laminated, wherein the first and second cap layers (21 and 23) are each composed of a material which is lower in electron beam absorptivity than that of which the target layer (22) is composed. An X-ray generator using the X-ray target (1) can generate highly intense and nanofocus (several nm) X-rays (17). Using the X-ray generator, an X-ray microscope allows obtaining a high resolution transmission image, an X-ray diffraction apparatus allows obtaining an X-ray diffraction image of a very small area, and a fluorescent X-ray analysis apparatus allows making the fluorescent X-ray analysis of a minute area.
055241280
summary
FIELD OF THE INVENTION This invention relates to control of boiling water type nuclear reactors. More particularly, this invention relates to a system for maintaining stable reactor operations during reactor maneuvering to avoid coupled neutronic-thermal hydraulic instabilities. BACKGROUND OF THE INVENTION Under certain conditions, Boiling Water Reactors (BWRs) are susceptible to coupled neutronic-thermal hydraulic instabilities. Such instabilities, which are characterized by periodic core power and hydraulic oscillations, can compromise established fuel safety limits. Industry events and analytical studies during the past several years have revealed that, in general, existing neutron monitoring features of the reactor protection system do not assure automatic protection against this class of events. Various organizations within the United States nuclear industry are actively pursuing permanent solutions to this problem that, in accordance with regulations governing the general design criteria for nuclear power plants, will automatically "assure that power oscillations which can result in conditions exceeding specified acceptable fuel design limits are not possible or can be reliably detected and suppressed." Development of these solutions is progressing, and modifications to reactor protection systems may occur within several years. One proposed solution is to provide instrumentation which can detect oscillations after they have commenced, and take appropriate control measures necessary to suppress them. An example of a system for doing so is shown in U.S. Pat. No. 5,174,946. However, implementing such a solution requires substantial modification to existing reactor instrumentation and control systems. Implementation of effective operational strategies, utilizing existing plant capabilities in the near-term and supplementing the permanent solutions, is critical for mitigation of the reactor instability phenomenon. SUMMARY OF THE INVENTION It is therefore a general subject of the invention to provide a BWR core stability control system for maintaining stable reactor operations and avoiding coupled neutronic-thermal hydraulic instabilities during controlled reactor maneuvering. It is another object of the invention to provide such a system which can be implemented using existing reactor instrumentation and control systems and without modification of the reactor. It is another object of the invention to provide such a system which does not require measurement of all variables which may be involved in core instability. In accordance with these objects, a simple, effective, control--limiting the core average bulk coolant saturation elevation above a predetermined axial plane--has been developed that provides the means to reliably influence the stability of a reactor. The stability control of the invention lends itself to use by operators during reactor maneuvering. By separating the instability phenomenon into fundamental constituent parts, consistent and direct control can be achieved. The control is designed for use during the quasi-steady-state conditions of controlled reactor maneuvering. Uncontrolled loss of stability margin resulting from transients is not generally amenable to operational response except by scram. This control, its phenomenological basis, sensitivity to relevant reactor parameters, and method of implementation as well as other objects and features of the invention are described below in the detailed description of the invention.
054266815
abstract
A boiling water reactor having an optimum combination of active and passive safety systems. By combining three active divisions (I,II,III)of the boiling water reactor with a passive fourth division, the resulting design achieves improved plant reliability in addition to on-line maintenance of the emergency core-cooling systems. Included in the passive division is a new component, the reactor heat removal condenser (48), to be used in conjunction with pressure release valves (50) to provide backup depressurization of the reactor pressure vessel and backup heat removal and inventory control. The operating pressure of the heat removal condenser is maintained in the range of 100-200 psi.
claims
1. A collimator; comprising:collimator plates, aligned with an X-ray source located outside the collimator and fixed to a bottom plate and a top plate with a formation of interspaces defined by spacings, the bottom plate and the top plate being cast potted with at least one of lower ends and upper ends of the collimator plates to form a retaining receptacle. 2. The collimator as claimed in claim 1, wherein the bottom plate and the top plate are substantially arranged transverse to the aligned collimator plates. 3. The collimator as claimed in claim 1, wherein at least one of the bottom plate and the top plate include a material largely transparent to X-rays. 4. The collimator as claimed in claim 1, wherein at least one of the bottom plate and the top plate include plastic. 5. The collimator as claimed in claim 1, wherein at least one of the bottom plate and the top plate include aluminum. 6. The collimator of claim 1, formed by a method comprising:inserting the collimator plates into corresponding slots of a prepositioning holding apparatus that aligns the collimator plates relative to the X-ray source, unpotted spaces being provided that remain in a region of the lower and upper ends of the collimator plates and correspond to the bottom and top plates respectively, the interspaces defined by spacings otherwise being filled up by the holding apparatus;using a casting mold to accommodate and enclose the holding apparatus with the collimator plates held therein;filling the enclosing casting mold; andopening the casting mold and separating the collimator from the holding apparatus. 7. A collimator as claimed in claim 1, wherein the collimator is for a computed tomograph. 8. A computed tomograph including the collimator of claim 1. 9. The collimator as claimed in claim 2, wherein at least one of the bottom plate and the top plate include a material largely transparent to X-rays. 10. The collimator as claimed in claim 2, wherein at least one of the bottom plate and the top plate include plastic. 11. The collimator as claimed in claim 2, wherein at least one of the bottom plate and the top plate include aluminum. 12. The collimator of claim 2, formed by a method comprising:inserting the collimator plates into corresponding slots of a prepositioning holding apparatus that aligns the collimator plates relative to the X-ray source, unpotted spaces being provided that remain in the region of the lower and upper ends of the collimator plates and correspond to the bottom and top plates respectively, the interspaces defined by spacings otherwise being filled up by the holding apparatus;using a casting mold to accommodate and enclose the holding apparatus with the collimator plates held therein;filling the enclosing casting mold; andopening the casting mold and separating the collimator from the holding apparatus. 13. A method for producing a collimator, comprising:inserting collimator plates into corresponding slots of a prepositioning holding apparatus that aligns the collimator plates in their orientation with an X-ray source, unpotted spaces being provided that remain in the region of lower and upper ends of the collimator plates and correspond to bottom and top plates respectively, the interspaces defined by spacings otherwise being filled up by the holding apparatus;using a casting mold to accommodate and enclose the holding apparatus with the collimator plates held therein;filling the enclosing casting mold; andopening the casting mold and separating the collimator from the holding apparatus. 14. The method of claim 13, wherein the holding apparatus has a comb-like design with protruding teeth that establish the interspaces, defined by the spacings and delimit the slots. 15. A holding apparatus for forming a collimator, comprising:a comb-like design with protruding teeth that establish interspaces, defined by spacings, for collimator plates of the collimator, and delimit slots configured to receive the collimator plates,wherein the holding apparatus holds the collimator plates, which are cast potted to at least one of a bottom plate and a top plate of the collimator. 16. The holding apparatus as claimed in claim 15, wherein the teeth of the holding apparatus are connected on one side by a holding plate. 17. The holding apparatus as claimed in claim 16, wherein the holding plate is a rear wall part of a casting mold. 18. A casting mold for forming a collimator, comprising:a rear casting mold wall part configured to support a holding apparatus, which delimits slots configured to receive collimator plates of the collimator;a bottom casting mold part configured to enclose lower ends of the collimator plates; anda top casting mold part configured to enclose upper ends of the collimator plates,wherein the collimator plates are finely positionable in the slots and unpotted spaces remain in regions of the lower ends and upper ends of the collimator plates when the bottom casting mold part and the top casting mold part enclose the collimator plates. 19. The casting mold as claimed in claim 18, wherein, each of the bottom casting mold part and the top casting mold part include accommodating the collimator plates and creating passage openings for casting material. 20. The casting mold as claimed in claim 18, further comprising:a front wall part having at least one filling opening.
041994043
summary
BACKGROUND OF THE INVENTION The present invention relates to the fuel-bearing "seed" core of a fast breeder reactor, and in particular to the specific composition and structure of fuel pellets for use in the core. Breeder reactors produce energy from fission reactions in fissionable material. At the same time, excess neutrons that are not used to maintain the energy-releasing nuclear reaction are used to transmute fertile material into fissionable material. For instance, fertile uranium 238 atoms that absorb neutrons produced by a plutonium fission could ultimately be transformed by the neutron captures into fissionable plutonium atoms. Accordingly, the fuel pellets of the seed region are typically made of fissionable plutonium mixed with fertile uranium. Since the power output of each fuel pellet is determined to a large extent by the amount of fissionable material in the pellet, and since the ability of the pellet to transfer its heat to a coolant is dependent upon its surface area, it is necessary to dilute the fissionable plutonium with fertile uranium so that the individual pellets will have a high enough mass-to-surface-area ratio to make them a manageable size. In order to strike a balance between maintaining a relatively long refueling interval and keeping the fuel inventory from becoming too large, this dilution typically results in a ratio of fertile atoms to fissile atoms of between about 4:1 and about 9:1. It is important in any heat-transfer system that the temperature difference between the heating medium and the cooling medium be high enough to effect an efficient transfer of energy. As a result, it is desirable for the fuel in a reactor to be relatively hot. However, uranium and, especially, plutonium have fairly low melting points, so they are not used in their pure form. They are chemically combined with oxygen, nitrogen, silicon, or carbon, for instance, to convert them into ceramics that have high resistances to heat. In order to achieve a neutron efficiency high enough to obtain a breeding gain without building a prohibitively large core, the fuel should be made of a ceramic that is as dense as possible. For this reason carbide fuels have been of interest, because they are more dense than the oxide fuels typically used. If the density of the carbide fuels can be used to advantage, a breeding gain will be achieved with a cofre of smaller size than would be required for an oxide core. It is a feature of the carbide fuels, however, that they tend to be subject to swelling. This is a problem because the ceramic pellets are loaded into rods made of a thin metal cladding, which could easily be pierced by an expanding fuel pellet. Accordingly, carbide fuels make it necessary that a larger gap be left in the rod to permit the pellets to expand. This gap detracts from the overall density of the core, so some of the advantage gained by the increased density of the carbide fuel is lost by the provision of extra space in the fuel elements. In addition, a larger gap increases the probability that particles of cracked pellets will lodge between the pellets and the clad, thereby tending to cause failed fuel. Since it has been determined that a significant portion of the swelling in carbide-fuel pellets is caused by gaseous fission products that are trapped within the pellets, it has been proposed to make the pellets of porous material, thereby giving the gaseous fission products a means of escaping the pellet. Again, however the solution has a density penalty that is higher than is desirable. SUMMARY OF THE INVENTION The present invention, therefore, provides a pellet construction that reduces swelling with a minimum of density penalty. It is an improvement in fuel pellets of the type that consist essentially of fertile and fissile components. According to the invention, 90 percent or more of the fissile material is contained in a powder having a stable microstructure and a density less than about 85 percent of theoretical. This allows the fission-product gases to escape. To make up for the low density of the powder, at least half of the fertile material is contained in particles having diameters greater than about 50 microns and individual densities averaging more than about 95 percent of theoretical. The pellet is a pressed mixture of the particles and the powder. It has a relatively high density due to the density of the particles and a relatively low swelling rate because the fissile matter is in a powder that permits escape of fission gases.
046363351
summary
BACKGROUND OF THE INVENTION This invention relates to a method for processing spent radioactive ion exchange resin formed in a nuclear power plant and particularly to a processing method whereby the volume of the waste resin is reduced while the waste resin is converted into stable inorganic compounds by thermal decomposition. The operation of a nuclear power plant is accompanied with the formation of waste liquid containing a variety of radioactive substances, and these waste liquid are processed in most cases with ion exchange resin. The processing of spent resin produced thereby has been a problem of a nuclear power plant operation. For example, spent ion exchange resin accounts for a considerable portion of the radioactive wastes in a boiling water reactor power plant. Heretofore, spent ion exchange resin is solidified in a drum by mixing it with a solidifying agent such as cement or asphalt, and stored and kept in the plant area. However, the volume of these radioactive wastes tends to increase year after year, so that the acquisition of their storage place and the security of safety during their storage have been important problems. Accordingly, a great concern has been paid about reducing the volume of spent waste resin as much as possible in solidifying it. For example, processes for the volume reduction of radioactive waste ion exchange resin include those based on acid decomposition. One of them is a process called HEDL Process (Hanford Engineering Development Laboratory Process) comprising acid-decomposing the resin at a temperature of 150.degree. to 300.degree. C. by using concentrated sulfuric acid (about 97 wt. %) and nitric acid (about 60 wt. %). Another example is a process described in Japanese Patent Laid-Open No. 88500/1978, comprising acid-decomposing the resin by using concentrated sulfuric acid and hydrogen peroxide (about 30%). Although a high volume reduction ratio can be obtained in these acid decomposition processes because the resin is decomposed after dissolution and the decomposition solution is concentrated by evaporation, there are a number of difficult problems, such as handling of a strongly acidic solution, corrosion of equipment by a concentrated strongly acidic solution, and an unestablished technique of solidifying a recovered concentration solution. Accordingly, Japanese Patent Laid-Open No. 1446/1982 proposed a process in which no strong acid is used and which comprises decomposing waste resin by using hydrogen peroxide in the presence of an iron catalyst. Since, however, this process requires a large quantity of hydrogen peroxide, there is a problem that the cost is high because of the expensiveness of hydrogen peroxide and, in addition, decomposition itself is not sufficient and organic matter remains undecomposed. Still another process proposed in Japanese Patent Laid-Open No. 12400/1982 comprises burning waste resin by using a fluidized bed. However, this process has a problem that it generates a large quantity of exhaust gas which also must be subjected to appropriate disposal procedures. SUMMARY OF THE INVENTION It is an object of this invention to solve the above-described problems and to provide a method for processing spent radioactive waste resin by thermally decomposing the waste resin, whereby the volume of the waste resin is reduced and the exhaust gas generated during decomposition can be selectively disposed. This invention proposes a method for processing spent radioactive ion exchange resin by thermal decomposition, wherein the ion exchange groups of the ion exchange resin are thermally decomposed at low temperatures and, thereafter, the polymer matrix of the ion exchange resin is thermally decomposed at high temperatures. The process of this invention is based on the following knowledge and its fundamental principles will now be described. An ion exchange resin is an aromatic organic polymer compound having a structure comprising a copolymer of styrene with divinylbenzene (D.V.B.) as a matrix to which are bonded ion exchange groups. These ion exchange groups are sulfonic acid groups for a cation exchange resin and quaternary ammonium groups for an anion exchange resin. In this invention, attention is paid to the fact that the bond energy between the ion exchange group and the matrix is extremely small as compared with that between the constituents of the resin matrix, and the ion exchange groups are thermally decomposed in the first stage separately from the resin matrix at low temperatures and, thereafter, the resin is thermally decomposed in the second stage at high temperatures; i.e., at temperatures higher than those employed to effect decomposition of the ion exchange group. In this way, decomposition gases generated during thermal decomposition are separated in two stages and gaseous nitrogen oxides (NO.sub.x) and gaseous sulfur oxides (SO.sub.x) which require a careful exhaust gas disposal treatment are generated only in the first stage low-temperature thermal decomposition; whereas hydrogen (H.sub.2) gas, carbon monoxide (CO) gas, carbon dioxide (CO.sub.2) gas and the like, which scarcely require any particular exhaust gas disposal treatment are generated in the second stage high-temperature thermal decomposition. According to this method, it is possible to reduce markedly the volume of exhaust gas which must be processed in a careful disposal treatment as compared with the case where the entire thermal decomposition is carried out at the same time and the entire exhaust gases are in the form of a mixture; the volume of the waste resin is reduced; and the residue can be converted into stable inorganic compounds.
claims
1. An apparatus comprising:a detection system comprising:a plurality of detectors, each detector being configured to detect radiation scattered by an associated respective portion of a load to inspect, the radiation being scattered in response to the respective portion being irradiated by radiation transmitted through the portion,a selection device configured to, for each detector of the plurality of detectors:enable radiation scattered by the respective portion of the load to reach the associated detector of the plurality of detectors, andinhibit other scattered radiation from reaching the associated detector; andan analyser configured to process data associated with the plurality of detectors of the detection system, wherein the data comprises at least one type of data taken from the list comprising:current data associated with a current zone of the load emitting scattered radiation, to take into account at least one property of other zones of the load, andcurrent data associated with a current respective portion of the load emitting scattered radiation, to take into account at least one characteristic of portions of the load taken from the list comprising:at least one property of other portions in a plane parallel to a direction of transmission of radiation; anda distance of the portion from the source of radiation. 2. The apparatus according to claim 1, comprising:at least a pair of detection systems, each pair comprising a detection system located on either side of an axis, and wherein the analyser is configured to determine a position of a scattering object in the load, in a direction perpendicular to the axis, using at least one type of signals taken from the list comprising a ratio and a difference of signals associated with each detection system of the pair. 3. The apparatus according to claim 1, wherein dimensions of the the selection device is based on at least one dimension taken from the list comprising:dimensions of the load to inspect; anda distance between the load to inspect and the plurality of detectors. 4. The apparatus according to claim 1, wherein dimensions of the plurality of detectors are based on at least one dimension taken from the list comprising:dimensions of the load to inspect; anda distance between the load to inspect and the plurality of detectors. 5. The apparatus according to claim 2, wherein the plurality of detectors comprises a matrix of detectors. 6. The apparatus of claim 5, wherein the matrix of detectors has a ratio of dimensions based on a ratio of dimensions of the load. 7. The apparatus according to claim 1, wherein the detection system defines a main direction of detection,the main direction of detection forming an angle with respect to a direction of inspection of the load, andthe system being positioned at a distance from the direction of inspection of the load. 8. The apparatus according to claim 7, wherein the plurality detectors of the detection system has a trapezoid shape based on:the angle of the main direction of detection with respect to the direction of inspection of the load, andthe distance of the system to the direction of inspection of the load. 9. The apparatus according to claim 1, wherein the other zones comprise zones which have been previously irradiated. 10. The apparatus according to claim 1, wherein the analyser is further configured to process data associated with the detection system, to compensate for a difference in a distance between the detectors of the plurality of detectors to the load, caused by at least one position of the detection system taken from the list comprising:the detection system defining a main direction of detection forming an angle with respect to a direction of inspection of the load, andthe detection system being positioned at a distance from the direction of inspection of the load. 11. The apparatus according to claim 1, wherein the analyser is further configured to estimate a nature of a material of the load, based on at least one characteristic of the scattered radiation taken from the list comprising a detection of a level of scattered radiation and a spectrum of energy of the scattered radiation. 12. The apparatus according to claim 1, wherein the analyser is further configured to compensate for blurring of the final image caused by a movement of the load during inspection. 13. A method of inspection of a load, comprising:selecting radiation scattered by each respective portion of a load to inspect, the radiation being scattered in response to the respective portion being irradiated by radiation transmitted through the portion, the selecting comprising:enabling the radiation scattered by the respective portion to reach an associated detector of a plurality of detectors, andinhibiting other scattered radiation from reaching the associated detector;detecting, on each detector of the plurality of detectors, the radiation scattered by the associated respective portion of the load; andprocessing data associated with the plurality of detectors of the detection system, wherein the data comprises at least one type of data taken from the list comprising:current data associated with a current zone of the load emitting scattered radiation, to take into account at least one property of other zones of the load, andcurrent data associated with a current respective portion of the load emitting scattered radiation, to take into account at least one characteristic of portions of the load taken from the list comprising:at least one property of other portions in a plane parallel to a direction of transmission of radiation; anda distance of the portion from the source of radiation. 14. The method of claim 13, wherein generating the image of the load comprises at least one of:generating a 2D image and a 3D image of the load. 15. The method according to claim 13, further comprising:determining a position of a scattering object in the load, in a direction perpendicular to an axis, using at least one of a ratio and a difference of signals associated with each detection system of at least a pair of detection systems, each pair comprising a detection system located on either side of the axis with respect to the other detection system of the pair, with respect to the axis. 16. A non-transitory computer program product comprising program instructions to program a processor to provide an apparatus comprising:a detection system comprising:a plurality of detectors, each detector being configured to detect radiation scattered by an associated respective portion of a load to inspect, the radiation being scattered in response to the respective portion being irradiated by radiation transmitted through the portion,a selection device configured to, for each detector of the plurality of detectors:enable radiation scattered by the respective portion of the load to reach the associated detector of the plurality of detectors, andinhibit other scattered radiation from reaching the associated detector; andan analyser configured to process data associated with the plurality of detectors of the detection system, wherein the data comprises at least one type of data taken from the list comprising:current data associated with a current zone of the load emitting scattered radiation, to take into account at least one property of other zones of the load, andcurrent data associated with a current respective portion of the load emitting scattered radiation, to take into account at least one characteristic of portions of the load taken from the list comprising:at least one property of other portions in a plane parallel to a direction of transmission of radiation; anda distance of the portion from the source of radiation. 17. The apparatus according to claim 1, comprising:at least one detection system comprising one or more detectors comprising two stacked layers of detection, each layer of detection having its own acquisition channel, and wherein the analyser is configured to determine a nature of a scattering object in the load, using at least one of a ratio and a difference of signals associated with each detection layer. 18. The apparatus according to claim 1, wherein the analyser is configured to detect the presence of radioactive gamma emitting material within the load by using the detection system between the pulses of radiation transmitted through the portion. 19. The method according to claim 13, comprising:determining, by the analyser, a nature of a scattering object in the load, using at least one of a ratio and a difference of signals associated with each detection layer of at least one detection system comprising one or more detectors comprising two stacked layers of detection, each layer of detection having its own acquisition channel. 20. The method according to claim 13, comprising the analyser detecting the presence of radioactive gamma emitting material within the load by using the detection system between the pulses of radiation transmitted through the portion.
046719253
description
Referring now to the figures in detail and first particularly to FIG. 1 thereof, there are seen exhaust gas sources which are tanks 1 and 2 containing a liquid having variable liquid levels 4 and 5, and a gas atmosphere 6 and 7 above the liquid level, as well as a degasifier 8. Fission gases are contained in the gas atmosphere 6 and 7 and in the degasifier 8. For this reason, the upper portions of the tanks 1, 2 and the degasifier 8 are connected to an exhaust line 10. The exhaust line 10 leads to a recombiner 11 for H.sub.2 /O.sub.2. Two compressors 12 and 13 are redundantly connected downstream of the recombiner. The pressure sides of the compressors lead to a gas cooler 15 with a liquid outlet 16 which can be controlled by a condensate discharge 17. It can be seen that the gas cooler is a heat exchanger which may be thermally insulated and may be heated or cooled through the illustrated secondary circuit. The gas cooler 15 is followed by a reducing valve 20, the control element 21 of which can be actuated over lines 22. The low-pressure side of the reducing valve 20 leads over a line 23 to a switching valve 24. From the switching valve 24, a first line 26 leads to a further reducing valve 27 which is provided for the gas return. The low-pressure outlet of the reducing valve 27 is connected over a line 28 to the gas atmospheres 6, 7 of the exhaust gas tanks 1, 2. The control element 30 of the reducing valve 27 can be actuated by control lines 31. The valve 27 connects the moisture adsorber to the line 28 so it feeds into the gas loop leading to the compressor, after a given low operating pressure is reached. The other output of the switching valve 24 is connected over a line 33 to a branch 34 which leads on one hand to a humidity adsorber 35 and, on the other hand, to a delay line 36. The moisture or humidity adsorber 35 is a container filled with an adsorbent 37 such as a gel or activated carbon. An outlet 38 of the adsorber 35 leads to the line 26 and therefore to the reducing valve 27. The delay line 36 is constructed as an activated-carbon tank, having a flow through the tank which is from the bottom up. A flue connection 42 is connected to an outlet 40 of the delay line 36, over a reducing valve 41. The control element 43 of the reducing valve 41 can be actuated by control lines 44. It should furthermore be pointed out with regard to FIG. 1 that the lines between the gas cooler 15 and the switching valve 24 as well as the line branch 26 and the line 38 up to the outlet of the adsorber 35, are provided with insulation 46 which also encloses cooling means, such as cooling water. The adsorber 35 can carry a cooling jacket 47, which may be in the form of Peltier elements in a circuit having terminals 48. The adsorber 35 may be thermally insulated and heated or cooled by passing a current through the terminals. It is further advantageous for the moisture adsorber 35 to be preceded by a tubular area heater 49 for improving the regeneration. The heater 49 covers the tubular area between the upper region of the moisture adsorber 35 and the branch 34. As is shown in FIG. 2, the exhaust gas production in the pressurizedwater reactor differs widely. During more than 95% of the operating time, which is the so-called "normal operation", only a small amount of waste with an average of less than 0.5 Nm.sup.3 /h is present in the regions 50, 51, 52, 53 and 54 in FIG. 2. In the periods indicated at reference numerals 55, 56, 57 and 58, the exhaust gas production is practically zero. It is only in the remaining 5% of the operating time, that an increased exhaust gas production occurs, which is then, for instance, 100-times the value for several hours. In FIG. 2, this is indicated by the region 60 for the case of a start up and the expansion of the cooling water connected therewith during heating up, which reduces the gas atmosphere volumes 6, 7 in the exhaust gas sources 1 and 2. At the region 61, flushing of the exhaust gas sources, such as before a container is opened, is shown. The region 63 indicates the lowering of the filling level in the reactor pressure vessel, conceivably at the end of the operating cycle, i.e. before the reactor pressure vessel is opened. The following three operating cycles of the new method can be distinguished: (1) Extended continuous operation (greater than 95% of the operating time of a pressurized-water reactor). This represents a relatively small production of exhaust gas, for instance, less than 0.5 Nm.sup.3 /h. This amount of exhaust gas as well as an amount of flushing gas which results from the output capacity of the compressor 12, 13, is compressed by the compression unit in a continuous or discontinuous manner (for instance, p.sub.e =8 to 25 bar). In the connected gas cooler 15, which is cooled with cold water, for instance, the cooling and reduction of the absolute gas humidity takes place. In the following reducing valve 20, the gas is expanded with a corresponding reduction of the relative gas humidity (for instance, p.sub.e =1 to 4 bar). The gas which is cooled off slightly due to the expansion and gas cooling is then warmed up to room temperature by the ambient air through appropriate placement of the piping and other auxiliary devices. After passing the three-way valve 24, the exhaust gas is divided into two gas streams in the branch 34. Only the excess exhaust gas (for instance, less than 0.5 Nm.sup.3 /h) is conducted through the delay line 36; the rest is returned to the compressor intake side through the moisture adsorber 35 for regeneration. The gas adsorption which proceeds in the delay line 36 at reduced operating pressure, may produce the desired time delay in the first quarter of the adsorber in spite of the reduced adsorption effect due to small exhaust gas production. In this way, the remaining part is available for other modes of operation. (2) The gas displacement mode occurs in pressurized-water reactor systems almost exclusively during start up and the lowering of the reactor pressure vessel filling level as well as during flushing operation of the primary loop that might follow. During this operating mode, the delay line 36 is operated at increased operating pressure. For several hours the exhaust gas production therefore exceeds the above-mentioned continuous exhaust gas production by 100 times, for instance. The gas moves in the direction of the dotted arrows during this increased pressure in the delay line and increased exhaust gas production. The increase of the operating pressure distinctly improves the retention capacity of the delay line 36, besides providing the additional gas storage caused by the pressure increase. Depending on the exact operating conditions, a delay effect improved 2 to 3-times is achieved at p.sub.e =8 to 25 bar for Xe-isotopes, and for the Kr isotopes which are relevant in this operating mode (because of the shorter adsorber breakthrough time) a delay effect improved about 3 to 5-times is achieved. The exact gas dehumidification is carried out in this mode of operating of the delay line in the preceding moisture adsorber 35 by switching the valve 24. For this mode of operation, which determines the layout, the part of the delay line 36 which has heretofore been practically ineffective for reducing the activity, is now utilized under optimum conditions. (3) Operation directly following the displacement gas mode. The amount of exhaust gas produced drops again to values, of less than 0.5 Nm.sup.3 /h, for instance. The increased operating pressure is maintained for a short time in order to sufficiently delay the rare gas isotopes with relevant activity as well, shortly before leaving the delay line 36 (for instance, Kr 85 m at 5 to 10 MHz=21.5 to 43 h). Conservatively speaking, the slow lowering of the operating pressure then takes place after 40 hours, for instance, by increasing the amount given off to a fixed value, to the design or starting value, so that a corresponding reduction of the operating pressure is obtained, such as over about 10 hours, because of the small exhaust gas production. The moisture adsorber 35 which previously operated as a gas drier for the purpose of regeneration, is switched over beginning with the point in time at which the lowered operating pressure is reached. Then, the heater 49 can be switched on and the insulation 46 in the vicinity of the line 38 can be put in place. The foregoing is a description corresponding in substance to German Application No. P 33 34 629.1, filed Sept. 24, 1983, the International priority of which is being claimed for the instant application, and which is hereby made part of this application. Any material discrepancies between the foregoing specification and the aforementioned corresponding German application are to be resolved in favor of the latter.
summary
047629967
summary
BACKGROUND OF THE INVENTION 1. Field of the Invention The invention relates to a coarse-approach positioning device intended for approaching a sample towards a tool or measuring device, or vice versa. In particular, this device may find application in the microscope art, for example in connection with scanning tunneling microscopes or scanning electron microscopes. 2. Description Of The Prior Art In the microscope art, it is always necessary to position the sample to be investigated as closely as possible to the point of best observation. In the case of the tunneling microscope the sample should be placed at a distance between 0,1 and 1 nanometer from the tunneling tip, in the case of the scanning electron microscope, the sample should be placed at the focal point of the electron beam, again with a tolerance in the nanometer range. The positioning of a sample to within one nanometer from an optimum location usually cannot be accomplished in a single step. Typically, first a coarse approach is performed by which the sample is brought to within one micrometer of the optimum location, and then a fine approach mechanism takes over to accomplish the positioning to the desired tolerance. Well known in the microscope art are rack-and-pinion devices for coarse adjustment, and screw appliances for fine adjustment. However, it is to be noted that the terms "coarse" and "fine" when used in connection with optical microscopes define an entirely different magnitude of adjustment than is required in connection, for example, with the tunneling microscope. The reason is that the tunneling microscope has a resolution at least three orders of magnitude better than conventional optical microscopes. In other words, the conventional fine-adjustment appliance of an optical microscope is certainly too coarse to serve as the coarse-approach adjustment mechanism for a tunneling microscope. Several coarse-approach positioning devices for use with the scanning tunneling microscope have already been proposed. All use piezoelectric elements to accomplish movements in steps of several micrometers each, such as those disclosed in EP-A No. 71 666, EP-A No. 160 707, U.S. Pat. No. 4,520,570, IBM Technical Disclosure Bulletin Vol.26, No. 10A (1984) pp. 4898 and 5175. Coarse and fine adjustment can be performed by a device taught by IBM Technical Disclosure Bulletin Vol. 22, No. 7 (1979) p. 2897. The devices known in the prior art have one or both of the following disadvantages: They do not operate at cryogenic temperatures or in ultra-high vacuum; they transmit vibrations to the sample so that a sharp image of the sample surface cannot be obtained. SUMMARY OF THE INVENTION It is accordingly an object of the present invention to propose a coarse approach positioning device which is capable of sustaining cryogenic and ultra-high vacuum environments, and which is of such a rigid construction that vibrations are neither generated nor propagated. The above-stated and other objects of the invention are achieved by a coarse-approach positioning device for approaching an object to a predetermined position with a resolution on the order of micrometers, particularly for use in the microscope art, which positioning device is characterized in that the object is attached to a movable block which is tiltably supported along one of its edges and balanced between at least a first spring and a second spring, the springs having different spring characteristics, said movable block being tilted through enforced deflection of one of the springs upon actuation by a pressure member, the angle of tilting of said block being determined by the relationship of the spring characteristics of said first and second springs, respectively, such that deflection of said first spring through a predetermined first distance causes a resultant deflection of said second spring through a second distance smaller than said first distance and, hence, causes movement of said block through a third distance smaller by at least one order of magnitude than the movement of said pressure member.
description
The present invention relates generally to diagnostic systems, and more particularly, to controller diagnostic systems. Diagnostic procedures and systems in a manufacturing environment contribute to the uptime of a manufacturing process by reducing the mean time to repair (MTTR). In general, the basic mechanism of diagnostic systems involves comparing an actual state of a process with a desired state for the process at a particular point in time. The desired state may be a particular equipment configuration, a product configuration, a process or product behavior, a product time relation, or other possible states. Unfortunately, the amount of time needed to configure the desired behavior can greatly exceed the effort to develop the controls or control systems used on the plant floor during the implementation of the manufacturing process. This enormous effort to develop diagnostic methods and systems may stem from an attempt to capture all potential failure modes. The above mentioned problems are addressed by the present invention and will be understood by reading and studying the following specification. In various embodiments, methods and apparatus include determining differences between a process model and an actual process to diagnose the actual process. The process model includes elements reused from a design process to evaluate the differences. The input states to the process model are the input states to the actual process. Output information correlated to the actual process may be provided by a controller that regulates the actual process. These and other aspects, embodiments, advantages, and features will become apparent from the following description and the referenced drawings. In the following detailed description of the invention, reference is made to the accompanying drawings which form a part hereof, and in which are shown by way of illustration, specific embodiments in which the inventions may be practiced. These embodiments are described in sufficient detail to enable those skilled in the art to practice the present invention. Other embodiments may be utilized and structural, logical, and electrical changes may be made without departing from the scope of the invention. The various embodiments disclosed herein are not necessarily mutually exclusive, as some disclosed embodiments can be combined with one or more other disclosed embodiments to form new embodiments. The following detailed description is, therefore, not to be taken in a limiting sense, and the scope of the embodiments of the present invention is defined only by the appended claims, along with the full scope of equivalents to which such claims are entitled. In an embodiment, a model of a process that is developed as part of the design phase in a manufacturing environment is reused to provide a diagnostic “known good” to evaluate the actual manufacturing process. A relationship between a process and a controller in an embodiment to generate a “known good” process model is illustrated in FIG. 1. Inputs to controller 40 from process 30 determine the current state of process 30. Outputs from controller 40 to process 30 command process 30 to change state. In the design phase, a process model should provide accurate and desired state information back to the controller, where the controller commands it to change state. A “known good” may be taken to be those process states, procedures, or steps expected to provide a desired set of outputs for a specific set of inputs. For example, for a given state of a set of tools or elements of a process, control logic uses this state to determine the next state for these tools or elements. The given state is provided as input to the control logic and the next state is provided as output from the control logic. Additionally, such control logic may be used to manage a sequential process. The process model arising from the manufacturing design process has many of the process assumptions embedded in it from the design process. Further, in this embodiment, the model is reactive, rather than prescriptive, and will more closely adapt to many of the out-of-control conditions likely to be encountered on the plant floor for a manufacturing process. The diagnostic system is based on implementing a “known good” process model with data and logic reused from modeling and/or testing during the design phase. In an embodiment, a model, by definition, has all the connection points to multiple control systems embedded in it, physically or virtually. A “known good” process model may be hosted on a computer with a high speed link to the various controllers of an actual manufacturing process in order to monitor the behavior of the actual process, as viewed by these various controllers. Alternatively, the process model may be realized as code that may execute in these controllers. Though it may be more cost effective to run the process model in a computer, for maintenance reasons it may be desirable to use direct control hardware for the process model. In an embodiment, the diagnostic system includes, in addition to the process model, a difference engine that compares real-time states of the actual process to the states of the “known good” process model. The diagnostic system may also include an annunciation device that alerts an operator to fault information and/or possible remedies. In an embodiment, the diagnostic system and process includes an analysis unit, or mechanism, that monitors changes in the mechanics of the process so that the process model is consistent with the actual process. FIG. 2 depicts a flow diagram of an embodiment of a method to diagnose an actual process using a process model having elements derived from a design process. A process model includes rules and logic to emulate an actual process to which the process model is correlated to provide a set of outputs from a set of inputs according to the logic model for the actual process. An initial input to the process model may represent an initial or a default state of an actual process to be used by the process model. The logic of the process model determines an expected state, and the process model may provide this expected state as an output to another system unit. Also, the process logic may use this next state as input to itself to determine a subsequent expected state of the actual process, according to its embedded rules. The process model may include a format for providing the set of inputs and the set of outputs. The process model may be implemented in software with initial input data provided as signals that represent a given state of the actual process and the output data provided as signals that represent an expected state of the actual process at a given time in response to subsequent processing initiated by the initial input signals. The input data and/or the output data associated with the software based process model may be provided as data tables. Alternately, the process model may be implemented by logical circuits and hardware to emulate the response of the actual process to input initial data provided as signals that represent a given state of the actual process and to provide output data as signals that represent an expected state of the actual process at a given time in response to subsequent processing initiated by the input signals. In FIG. 2, at 110, the method of this embodiment includes acquiring output from a process model having elements reused from a design process. The output may represent the current expected state of an actual process as determined by the process model. This output to another system unit is also subsequently used as input to the process model, though it may be formatted differently for communication external to the process model. In the design process, design data is generated to provide tools for a manufacturing process. The design data may include information regarding the relationship between various components of the manufacturing process such as, but not limited to, physical orientation and time/process relationships. The design process may include specifying a “known good” model for one of several processes to be implemented in the manufacturing process. For example, the tools and procedures for applying these tools to one line in a manufacturing process integrating several lines of activity may be designed and tested outside the targeted manufacturing environment. The results of the testing of the design are incorporated into the design process to provide a representation of the tools and procedures to use the tools in the process line that is known from prior testing to be a good representation. When the tools are integrated into the manufacturing process as one of several lines, the elements of the design process that were specified and verified in the use of these tools in the test line are reused in the process model. Elements from the design process from the other lines integrated in the manufacturing process may also be reused in the process model. In an embodiment, a process model is provided with elements from component design processes, where the elements have been determined to provide a “known good” representation for its particular component design process. At 120, the method of the embodiment of FIG. 2 includes obtaining output information correlated to an actual process. The actual process may include a manufacturing process that integrates processing from a number of manufacturing lines. Each manufacturing line may provide a product that is incorporated and/or used with other manufacturing lines in the overall manufacturing process. The actual process may include monitoring each manufacturing line process and providing signals that represent the status of the manufacturing line. Such monitoring and signaling may also include conducting these activities for a number of material, part, and/or tool positions, for connections in the line, and for process states within a manufacturing line. Each manufacturing line may be managed, which includes being regulated, by a controller that can provide output information correlated to the actual status of the manufacturing process line and its underlying components, both work piece components and tools. In various embodiments, a programmable logic controller (PLC), such as PLCs known by those skilled in the art, may be adapted to function as a controller to generate output information regarding an actual process. At 130, the method of the embodiment of FIG. 2 includes determining a difference between the process model and the actual process using the output information correlated to the actual process and the output from the process model to diagnose the actual process, where initial input states of the actual process are used as initial inputs to the process model. In an embodiment, the output information is compared with the output from the process model. This output information may represent a current state of the actual process and the output from the process model may represent an expected state of the actual process. In an embodiment, an output table generated from the actual process is compared with an output table generated from the process model, where each output table contains results from processing input from its corresponding input table. The two input tables are provided with common conditions for the initial input states. The output table from the actual process may be an input image table that identifies the current input signals or states to the tools or elements of the actual process. The output table from the process model may be an input image table that identifies the currently expected input signals or states to the tools or elements of the actual process. In an embodiment, the input states are scanned into the process model at one or more scan rates. As the logic of the process model operates over time, it provides itself at its scan rate with the expected inputs to its virtual tools or elements representing the tools or elements of the actual process. This scan rate may be coordinated with periodic changes in the input states to the actual process. In an embodiment, the input states are scanned into the process model rapidly enough such that aperiodic events are captured. This aperiodic input procedure allows the process model to respond to arbitrary inputs correlated to random or sporadic inputs to the actual process. Initial input states derived from the actual process may be generated for the process model for abrupt changes in the input states to the elements of the actual process. Alternately, the logic or rules of the process model may include these abrupt changes and use only a beginning initial input state of the elements of the actual process to interpolate the expected behaviour. After determining a difference between the output of the process model and the output information from the actual process, this difference may be provided to operators on the manufacturing line, operators at monitoring positions, monitoring systems on the manufacturing line, monitoring systems at a central monitoring location, and other systems associated with monitoring and diagnostic tasks. The annunciation process may also include activating lights and/or sound producing apparatus. In an embodiment, after determining a difference between the output of the process model and the output information from the actual process, information associated with this difference may be logged into a database. The database may be realized in various formats including electronic memory storage devices. This information may be used to analyze the relationship between the process model and the actual process, such as reviewing historical data associated with the specific differences being analyzed. In some cases, the analysis may lead to verification that the actual process has evolved and that the process model may need to evolve. In such cases, to evolve the process model, the analysis process would provide an indication such as a signal or other form of data to reinitiate the design process based on the differences between the process model and the actual process. In various embodiments, variations of the method of FIG. 2 may be realized using a system such as a computer or other electronic device that executes computer-executable instructions for performing these methods. The computer-executable instructions may be provided using any form of computer readable medium. In an embodiment, the computer-executable instructions may include logic from the design process or design processes that are elements of the process model. The computer-executable instructions include logic to use the output from performing the process model instructions along with output information correlated to the actual process to diagnose the actual process. The output information may be obtained through communication of the system with one or more controllers that manage, which includes regulating, the actual process. In diagnosing the actual process, the system determines the difference between the process model and the actual process using the output information and the output from the process model, where initial input states of the actual process are used as initial inputs to the process model. The output information of the actual process may include a current status of elements operating in the actual process and the output from the process model may include a currently expected status of elements operating in the actual process. Such a computer based system may control scanning of the input states in the process model. The computer executable instructions may include instructions that execute the control logic of the process model. The computer executable instructions may also include instructions for announcing the difference between the process model and the actual process, instructions for logging information associated with the difference between the process model and the actual process, and instructions for analyzing the difference between the process model and the actual process. The computer executable instructions may also include logic that allows for the generation of a signal or data output to initiate a reconsideration of one or more design process directed to the evolvement of the process model based on analyzing the difference between the process model and the actual process. FIG. 3 depicts an embodiment of an apparatus 200 having a process model 210 and a difference engine 220 to diagnose an actual process 230 associated with process model 210. Process model 210 includes a unit that has logic to virtually perform the tasks of the actual process to which it is associated. The logic unit may be realized as hardware with logic circuitry to perform these tasks. Alternately, the logic unit may be realized as software that is programmable to perform these tasks, such as the logic unit being part of a computer or controller such as a programmable logic controller. Other embodiments include combination of hardware logic circuitry and programmable software. Process model 210 may include a number of component process models, where these component process models are adapted to represent an integration of a number of component actual processes. Process model 210 may also include a mechanism for receiving inputs, or input states, and a mechanism for providing outputs to other system units. In an embodiment, process model 210 has physical connections to receive input signals from components of the actual process, a multiplexed signal from one or more communication units that collect signals that are inputs to the actual process, a table of input status that represent the initial inputs to the elements of the actual process from a controller that manages the actual process. In an embodiment, difference engine 220 may include means to diagnose actual process 230 that uses output from process model 210 and output information correlated to actual process 230. Both the output from process model 210 and output information on actual process 230 may be responsive to process model 210 and actual process 230 performing tasks having effectively received the same initial input states. Difference engine 220 may be adapted to compare the differences between the outputs from process model 210 and the output information correlated to actual process 230. The output information correlated to actual process 230 may be provided by a controller that regulates actual process 230. Difference engine 220 may receive output information from a number of controllers used to manage, which includes regulating, portions of the actual process. Additionally, the number of controllers may be in a one-to-one relationship with a number of component process models that make up process model 210. The transmission of the output information to difference engine 220 may be accomplished using a variety of communication devices and procedures as is known to one skilled in the art. Alternately, dependent on the application, process model 210 and difference engine 220 may be incorporated in a controller, or controllers, that manages, actual process 230. Difference engine 220 may be adapted to announce, that is, provide an indication that a difference between the process model and the actual process has occurred. This annunciation or indication may be provided as a visible signal, an audible signal, or a combination of visible and audible signal. Difference engine 220 may be adapted to log information regarding the occurrence of the difference, where the logged information can be used with historical data to analyze these differences. The difference log for the associated information may be realized in any form of database that is known to those skilled in the art. FIG. 4 depicts a block diagram of an embodiment of a system 300 having a process model 310 including elements from a design process 315, a controller 340, and a difference engine 320 to diagnose an actual process 330 associated with process 310 model. Process model 310 may be an integration of a number of component process models corresponding to component processes of actual process 330. Each component process model includes elements from the design process for its associated component process of actual process 330. An actual process may be made up of a number of component processes in which the manufacturing elements have been provided by one or more sources. In a test environment during the design process for these manufacturing elements (tools and procedures for using these tools), these manufacturing elements have been tested and verified as to their application to the actual process. Elements of the design process are incorporated into process model 310 as a “known good.” With the integration of various component processes into actual process 330, the reuse of the design process with incorporation into process model 310 provides a diagnostic system for incorporation of these various manufacturing elements into actual process 330. Such a diagnostic system and methods provide for a reduction in the development effort normally associated with attempting to capture all possible failure modes. Embodiments for process model 310 may be configured similar to process model 210 of FIG. 3. Process model 310 includes a unit that has logic to virtually perform the tasks of the actual process to which it is associated. The logic unit may be realized has hardware with logic circuitry to perform these tasks. Alternately, the logic unit may be realized as software that is programmable to perform these tasks, such as the logic unit being part of a computer or controller such as a programmable logic controller. Other embodiments include combination of hardware logic circuitry and programmable software. Process model 310 may include a number of component process models, where these component process models are adapted to represent an integration of a number of component actual processes. Process model 310 may also include a mechanism for receiving inputs, or input states, and a mechanism for providing outputs, or output states, to other elements of system 300. In an embodiment, process model 310 has physical connections to receive input signals from components of the actual process, a multiplexed signal from one or more communication units that collect signals that are inputs to the actual process, or a table of input status that represent the inputs to the actual process from controller 340. These input signals, information, or input states are used by process model 310 as initial input to virtually determine the course of action for process model 310, which may include generating virtual control signals for elements within process model 310. These inputs may be scanned into process model 310 on practically a continuous basis, at period intervals, or rapidly enough such that aperiodic events are captured, depending on actual process 330. The state of process model 310 upon virtually performing tasks in response to receiving initial input or generating subsequent input may be provided as output to difference engine 320. Controller 340 is an actual controller 340 that is adapted to manage, which includes regulating, tasks of actual process 330. Actual controller 340 may be a computer or a programmable logic controller as is known to those skilled in the art, where actual controller 340 is adapted to interact with difference engine 320 according to various embodiments dependent upon the application. System 300 may include a number of controllers interacting with difference engine 320. In an embodiment, the number of controllers that manage the actual process are in a one-to-one relationship with a number of component process models associated with a number of component processes of actual process 330. Actual controller 340 may receive input signals, information, or input states from elements of actual process 330, from another related actual process, from a central control, and/or other input mechanism dependent upon the application. Such information may include a collection of facts or data representing specific events or situations that has been provided in electronic form in any number of conventional approaches. A signal may provide information by means of an indicator such as an impulse or a fluctuating electric quantity, such as voltage, current, or electric field strength. Variations in such a physical indicator may represent coded information. These inputs may provide a representation of the state in which actual process 330 is configured in its process that can be conveyed to difference engine 320. Actual controller 340 may provide these inputs to process model 310. Alternately, elements of actual process 330 or other input mechanism may provide the input to process model 310. Actual controller 340 uses these input signals, information, or input states to determine the course of action for actual process 330 and generates control signals for elements of actual process 330. These control signals may also provide a representation of the state in which actual process 330 is configured at a given time for use by difference engine 320. Difference engine 320 is used to evaluate or diagnose actual process 330 using outputs from process model 310 and output information correlated to actual process 330 from actual controller 340. In an embodiment, difference engine 320 compares the outputs from process model 310 with output information from actual controller 340 to determine a difference exists between process model 310 and actual process 330 to diagnose actual process 330. The initial input states to actual process 330 used by controller 330 are used as initial input states to process model 310. Difference engine 320 may be adapted to receive signals and/or information from a number of controllers. Difference engine 320 may be adapted to receive signals and/or information from a number of process models. In an embodiment in which process model 310 has a number of component process models associated with multiple component processes of actual process 330, each having a separate controller, difference engine 320 is adapted to respond to the integrated actual process 330 and to each component process of actual process 330 in an independent manner according to parameters of a given application. System 300 may also include an evaluation unit 350. In an embodiment, evaluation unit 350 is coupled to difference engine 320 and includes an annunciator to signal an occurrence of a difference between process model 310 and actual process 330. The form of the annunciator may be as a unit that provides a visual signal, an audible signal, a combination of visual and audible signal, or data provider such as but not limited to a computer, a printer, or other form of data communication device. Evaluation unit 350 may be coupled to difference engine and adapted as a log to collect information associated with the difference between the process model and the actual process. Evaluation unit 350 may also be configured as an analysis unit having historical information regarding the actual process. An analysis can be performed using logged information from current running of actual process 330 and process model 310 with respect to historical information regarding the same set of elements of actual process 330 performing similar activities in a similar time frame of the process. In an embodiment, system 300 may include a computer in which process model 310, difference engine 320, and evaluation unit 350 are incorporated. Interaction among these computer components may be realized as messages within a diagnostic program. Actual controller 340 may also be realized as a computer based system. Actual controller 340 may be realized as a programmable logic controller as is known to those skilled in the art, where actual controller 340 is adapted to interact with difference engine 320 according to various embodiments. A number of controllers may be utilized in system 300. Alternately, process model 310 and difference engine 320 may be incorporated into actual controller 340 as programmable code or logic circuitry. Evaluation unit 350 may also be incorporated in actual controller 340. In an embodiment, system 300 has a number of controllers 340, where each controller has one or more of process model 310, difference engine 320, and evaluation unit 350 incorporated within the controller associated with the particular component process managed by that controller according to the given application. In an embodiment, system 300 is a manufacturing plant having a number of manufacturing lines. Manufacturing plant 300 may include actual process 330 as a single process or actual process 330 having a number of component processes. Each component process may be correlated to one manufacturing line. Associated with each component process is a actual controller 340 and a process model 310 providing output to a difference engine 320 that may be configured as one difference engine 320 to interact with all controllers 340 and process models 310 or as multiple difference engines with each difference engine associated with one of several controllers 340. Alternately, one difference engine 320 in a set of difference engines 320 may be configured to interact with any number of controllers. The process models 310, difference engines 320, and evaluation units 350 may be incorporated in one or more computers in manufacturing plant 300 with annunciators providing visual and/or audible alerts exterior to each computer. Alternately, each process models 310, difference engines 320, and evaluation unit 350 may be incorporated in its associated actual controller 340 with annunciators providing visual and/or audible alerts exterior to each actual controller 340. Each process model 310 of manufacturing plant 300 includes elements reused from a design process correlated to its associated component process of actual process 330. In an embodiment, a manufacturing plant may include a number of manufacturing lines configured as cells. For example, an automotive manufacturing plant may have various lines that are areas of a body shop plant associated with different areas of the vehicle body. These areas may include an underbody line, a body side line, a framing line, and lines that act as marriage stations so that body pieces are brought together in different levels of assembly until at the end of the line a product such as a sheet metal shell is provided. This product may be a component part for a next process in the overall vehicle production. As can be appreciated by one skilled in the art, the various embodiments discussed herein are not limited to an automotive manufacturing environment but may be applied to various manufacturing processes. When a new or modified product is to be produced in the manufacturing plant, a redesign of the product requires a redesign of the tools and procedures in a manufacturing cell. Typically, the process redesign may require building a new set of tools. In an auto plant, as an example, this may involve redesign of the tools that hold parts together, tools that are used to work in concert the holding tools, flexible automation tools like robots for fastening and welding, and material handling systems. The redesigning includes a redesign of the control logic that manages theses tools. This control logic instructs the tooling how and when to work. The logic is hooked through a controller such as a programmable logic controller (PLC), which is a hardware controller that maintains the control logic. The PLC may be wired to sensors and actuators. The sensors sense whether particular product data is correct or a piece of product is present in a particular place in the cell to determine the status of the equipment in the cell. The sensor inputs to the PLC may also include people location as well as product location and safety information. The PLC uses signals input from the sensors to determine the course of action to be followed in the manufacturing process and outputs signals to actuators to conduct the appropriate action. Once the PLC determines from sensor information that the parts are safely in place (such as clamps properly closed or open at the proper time), its logic processes lead to sending a command to an element of the cell. For example, the PLC may send an instruction to the material handling robot to perform a designated function. When the function is completed, the PLC will receive a signal from the cell element indicating the completion. Typically, the PLC will continue performing sequential logic based on the input signals from sensors and actuators. In an embodiment, the PLC may operate in a parallel fashion. Operation of the PLC is not limited to sequential activity. The programmable logic controller may include a number of separate PLC files. Some files may be associated with processing the tools and other files may be associated with safety. Another file may be associated with monitoring diagnostic logic that is responsible for determining that configurations in a cell are not correct and/or reporting such a condition to an operator, an alert system or process, and/or overall control system monitor. The diagnostics pieces of the logic are responsible for providing information that something has happened in the cell that is not right or not expected. These diagnostic pieces may be provided as the cells are redesigned for new products or modifications to existing product. The tools and procedures for using the tools may be designed and tested in a testing environment that is a full scale implementation of the tools as they will be used in the manufacturing line. The procedures for these tools include the function-time relation associated with the manufacturing process of the particular line. Once the testing provides verification that the tools and procedures operate correctly in the full scale test environment, these tools and procedures can be moved into the production line of the actual process with the fabrication procedures incorporated as logic in various PLCs. Such a method of providing tools and procedures finds application in a manufacturing plant where the equipment for various lines or portions of lines are provided by vendors other than the manufacturer. In various embodiments, elements of the design process are incorporated in a process model that is in a diagnostic system or diagnostic portion of a system. The diagnostics may deal with determining whether the redesigned tools performed all the functions intended, whether there are colliding functions in what should be a sequential operation, whether the tools operate together in expected time intervals, whether the newly tooled cell provides the expected throughput, and other such matters. Additionally, the integration of tools fully, but separately verified provides another set of items for the diagnostic process. With the individual tools or sets of tools and procedures verified in the full scale testing, elements of the design process are incorporated in a process model that may be implemented similar to a virtual controller such as a virtual PLC. In the design process, a model is built to simulate and test the actual process. The tools of the actual process are defined in the model according to a set of logic instructions or logic file that generates a set of outputs depending upon a set of inputs. In the model the tools are provided with virtual connections to each other mapped to the actual process. The model may have electronic input connections allowing the model as a virtual controller to basically monitor the input states in the actual process and update the progress through the sequential logic of the model with each input. Part of executing a controller simulation includes dealing with the accuracy in replicating what activities and function occur on the plant floor. In a virtual controller simulation process, scans are performed, where an input image table and an output image table identical to the same setups that are used on the plant floor are generated. An input image table (or tables) provides a representation of the input state of the manufacturing line being simulated. This input image table may be considered as a memory that is flipping bits either high or low stating whatever the current condition is relative to a particular position represented by the input state. An output image table (or tables) restates the messages, responses, or instructions that are being sent out by the controller to the virtual elements of the manufacturing line. The virtual controller may be operated at a particular scan rate. It may operate at about 100 milliseconds, about 10 milliseconds, or whatever rate is set a particular operation. Whatever that scan rate is, the controller may initially scan its input image table to determine the state of conditions for those manufacturing elements to which it is assigned management responsibility. Based on reading the input image table, the controller proceeds through its ladder logic and updates all the instructions in its ladder logic file that are correlated to the input images. The controller cycles through its logic procedures such that based upon the new conditions in the input image table, including a lack of changes to a state, it processes all the steps, which can be visualized as rungs in a ladder, in its ladder logic file and then calculates and represents what the output should be based upon these new inputs. The controller will update its output image table. Based upon the output image table, it sends out the correct or required changes of instruction or permissions to the virtual tooling for which it manages. The controller may also perform a clean up cycle with respect to the input and output data. The controller may be configured to run in a cycle: read input states from the input image table, process control logic, update the output image table, and transmit the instructions generated by processing the control logic. The cycle continues with performing the read function to initiate the procedures. In operating the virtual controller, the system may be configured to constantly update its input image tables and update its output image tables in the virtual controller. The model may use clock slaving technology that is known to those skilled in the art. Implementation of clock slaving technology or other clocking technology is provided to allow for synchronization in the model and with the inputs from the actual process. This allows for the representation of activity in the physical world of the actual process as much as possible in the virtual controller world of the model to the point where debug of the system or manufacturing line can be performed. In an embodiment, the model provides a virtual controller world that represents the tooling and its interaction with the control logic of the physical production line controller. The model may be used to debug the tools to find software bugs, problems with control logic, and timing problems in the production sequence. The logic can be corrected and a simulation run. The results of the simulation may lead to changes in the mechanical design or layout of the cell. At the end of this design process including building process definitions and logic relations and testing them through simulation of the actual process to be performed with the integration of the various tools, a process model is provided that may be considered as a “known good.” By incorporating elements of the design process in the process model, a good confidence level regarding the virtual controller process model that is representative of the actual intended behaviour of the tools to be achieved in the production line can be reached. The diagnostic system uses this “known good” process model to compare a difference between the known state of the actual process and the expected or intended state of the process model. The process model built using controller logic includes the data that was tested and verified in building the virtual controller logic with actually design data. The process model with the design data verified through a try out phase provides a “known good” model. The process model is provided with electronic connections to perform a read task with a controller that manages the physical tasks for a particular manufacturing line on the plant floor. In some instances, this physical controller may manage all tasks of all lines on the plant floor. However, the process model does not provide control output directed to the physical elements of the actual process in the manufacturing lines on the plant floor. Synchronization is established initially between the process model states and the tooling states of the actual process. The states in the input image table are synchronized with the actual tooling to provide a similar starting point to compare a difference between outputs generated by the process model and states of the actual process at different points in time during the manufacturing processes of the actual process. The process model exercises the conditions presented to it by the input image table as it is updated. The inputs are presented by the physical tooling, though the presentation may be provided by other elements such as by the actual process controller managing the physical tooling. The process model then executes the model parameters that were generated in the design process producing a set of outputs that should represent a “known good” of what should be happening in the actual process, since these outputs are provided by the “known good” model. Then a comparison of these outputs from the process model with the corresponding view of the state of the actual process provides data for diagnosing the actual process. In the diagnostic process, the process model is running as a virtual controller in parallel with running the physical controller that manages the functioning of the elements in the actual process. The process model may be viewed as running a virtual controller simulation, a soft controller, as the physical controller manages the actual process. The process model acting as a virtual controller may be realized as a controller provided in software. However, the initial inputs to the process model are not provided from simulated tooling, it is read through an input image table that is actually provided by the physical tooling states. In alternate embodiment, a portion or all of the input to the process model may be from a simulation process. With a diagnostic system having an embodiment of the process model to compare differences of the process model with the actual process, using initial input from the actual process allows a diagnostic system to evaluate patterns in the actual process. Such a diagnostic system may compare an input image tables from the actual process and the process model using an embodiment of a difference engine. Looking for patterns concerns evaluating more than one data point, especially when dealing with a random or sporadic event that may occur once over a period of time. This period of time may include several production cycles in a manufacturing line such that its occurrence may happen for one of several products produced in the line. Pattern analysis may determine whether this is abnormal for a line producing one product type or is associated with one product in a manufacturing line that produces several product types. The process model reuses the entire “known good” process model definitions developed in the design process to execute a particular slice or step of logic based upon the set of inputs read into the process model. With respect to interaction with the actual process, the process model is in a sort of a read-only cycle that generates an output image table. In parallel, the physical controller may be updating its output image table based upon the same set of initial inputs read by the process model. The logic of the physical controller may also result in a procedure to produce a set of inputs to the actual process that correspondingly produce new input to the input image table for the process model. With the process model and the physical controller performing logic correlated to running the actual process, two sets of output tables are created for comparison to determine whether there is anything different between the output table of what the manufacturing line in the plant is doing versus what is expected to be occurring in the “known good” model. These output tables may be an input image table of the actual process and an input image table of the process model. This may be performed in a cyclic process where new inputs to the actual process occur. The difference in the two outputs may indicate a problem in the actual process. For example, the process model may receive an input from a sensor indicating a clamp is open with a piece of sheet metal at the sensor location and then later in time receive an new input from the same sensor indicating that the clamp is closed that is holding the sheet metal to a fixture for welding. From design data supplied in a tool tryout phase or from past experience, the difference in time between these new inputs should be a particular value. The outputs correlated to the actual process show that the difference in time between the inputs is greater than the expected value in the process model. A debug to the actual process can be initiated to determine the cause of the additional delay in closing the clamp. This is an example of the application of an embodiment of the diagnostic to a cycle time problem. Embodiments for the diagnostic system may be used to evaluate integration issues. Integration issues may include constructing the manufacturing cell with tools from several vendors in which each set of tools has been produced through a design process that includes trying out the particular tooling individually. The process model is provided with the data reused from each of the design processes together with the logic to integrate these tools on the plant floor. The use of the process model allows the diagnostic process to be conducted in an effective and efficient manner. Differences generated in the comparison between outputs from the process model and outputs correlated to the actual process can be provided as messages to an individual or system to communicate or announce the occurrence of these differences. Such a message may indicate that the actual process or component processes should be simulated offline from the production platform to which the process model is connected in a read only configuration, to increase the throughput of the actual process. The message indicating the occurrence in these differences may be logged to provide historical lessons saved there over time that indicate whenever a particular difference occurs. Historical data saved in such a manner may be used to further diagnose the overall manufacturing system including the performance versus age relationship of the tools of the system. In the case that the comparison yields signification differences, the diagnostic system may provide a signal or indication to evaluate or reinitiate the design process for one or more component processes associated with the actual process. The process model may need to be updated, though through the design process, the process model was developed as a “known good” or an expected good. At some point, parameters in the actual process may need to be re-evaluated to insure that the process model provides the “known good” model. FIG. 5 depicts a block diagram of another embodiment of a system 400 having a process model 410 including elements from a design process, an actual controller 440, and a difference engine 420 to diagnose an actual process 430 associated with the process model 410. Actual controller 440 manages actual process 430, while process model 410 acts as a virtual controller correlated to actual process 430. Difference engine 420 may include an annunciation device 450 or be connected to annunciation device 450. System 400 includes a communication network 460 to which process model 410, difference engine 420, actual controller 440, and annunciation device 450 are coupled. In an embodiment, system 400 is a manufacturing plant and communication network 460 is a plant Ethernet I/P network. Communication network may be realized as other types of communications including, but not limited, to a wireless network. In an embodiment, system 400 is a manufacturing plant having a plant Ethernet IP communication network 460, where process model 410 includes virtual programmable logic controller (VPLC) models and is hosted on a computer along with appropriate data. The computer may be realized as a commerically available personal computer (PC). The PC is coupled to Plant Ethernet I/P 460. The VPLC models represent initial design intent, which includes such items as cycle times, activity sequencing, and actual process assumptions. Using an identification tag for process control, VPLC 410 monitors and uses actual output signals from actual controller 440 on the plant floor. The identification tag may be an OLE for process control (OPC) tag, where OLE is object linking and embedding. Actual controller 440 may be a programmable logic controller, PLC. On receiving output signals from PLC 440, VPLC 410 starts its processes. VPLC models run in “shadow mode”, only sending input states to its input image table. Difference engine 420 reads the input image table from PLC 440 and compares it against the state of the input image table from VPLC model 410. As parallel processes occur for process model 410 and actual process 430, difference engine 420 determines if significant changes are occurring, for single or multiple items. For example, difference engine 420 may detect a delta between an actual clamp close time from PLC 440 and designed close time in model 410. Then, after analysis by difference engine 420, annunciation device 450 may direct a diagnostic message(s) to PLC 440 on plant floor. Such a diagnostic apparatus and methods incorporated in system 400 provides for continuous improvement using lessons learned. For example, if initial design assumptions were incorrect, then the model and model data for plant 400 may be adjusted. This architecture for system 400 provides the plant 400 with better diagnostics and provides a better model for next build in the virtual manufacturing engineering process. Although specific embodiments have been illustrated and described herein, it will be appreciated by those of ordinary skill in the art that any arrangement that is calculated to achieve the same purpose may be substituted for the specific embodiments shown. This application is intended to cover any adaptations or variations of the present invention. It is to be understood that the above description is intended to be illustrative, and not restrictive, and that the phraseology or terminology employed herein is for the purpose of description and not of limitation. Combinations of the above embodiments, and other embodiments, will be apparent to those of skill in the art upon studying the above description. The scope of the present invention includes any other applications in which the above structures and fabrication methods are used. The scope of the present invention should be determined with reference to the appended claims, along with the full scope of equivalents to which such claims are entitled.
description
The present invention relates to an ion implantation apparatus that irradiates a target with a ribbon-shaped ion beam (sheet-shaped or band-shaped) in which an X-direction size in an X direction is larger than a size in a Y direction orthogonal to the X direction to implant ions, and more particularly, to an ion implantation apparatus having an ion beam deflector that deflects an ion beam in an energy state irradiating a target by magnetic field or electric field to separate the ion beam and neutrons from each other and to a method of correcting a deviation angle of an ion beam in the ion implantation apparatus. An example of such a kind of conventional ion implantation apparatus is shown in FIG. 10. An ion implantation apparatus equivalent thereto is described, for example, in Patent Document 1. In the present specification and drawings, a traveling direction of an ion beam 4 in design is a Z direction, and two directions substantially orthogonal to each other in a plane substantially orthogonal to the Z direction are an X direction and a Y direction. For example, the X direction and the Z direction are horizontal directions, and the Y direction is a vertical direction. In the other words, such a “traveling direction in design” is a predetermined traveling direction, that is, a traveling direction for original travel. This ion implantation apparatus mass-separates an ion beam 4 generated from an ion source 2 and having a small sectional shape as foundation of a ribbon-shaped ion beam through a mass separator 6, accelerates or decelerates it through an accelerator/decelerator 8, energy-separates it through an energy-separator 10, scans it in the x direction through a scanner 12, forms it into a parallel beam through a beam paralleling device 14, irradiates a target (e.g., semiconductor substrate) 24 supported to a holder 26, and implants ions into the target 24. The target 24 is mechanically reciprocating scanned (reciprocating driven) together with the holder 26 in a direction along the Y direction by a target driving device 28 within an emission area irradiated with the ion beam 4 from the beam paralleling device 14. The beam paralleling device 14 cooperates with the scanner 12 that scans the ion beam 4 by magnetic field or electric field (in this example, magnetic field), bends it to be substantially parallel to a reference axis 16 by magnetic field or electric field (in this example, magnetic field) to form it into a parallel beam, and makes the ribbon-shaped ion beam 4 (see FIG. 11) in which a size in the X direction is larger than a size in the Y direction orthogonal to the X direction. Although the ion beam 4 has the ribbon shape, it does not means the size in the Y direction is as thin as paper. For example, the size in the X direction of the ion beam 4 is about 35 cm to 50 cm, and the size in the Y direction is about 5 cm to 10 cm. The beam paralleling device 14 is called as a beam paralleling magnet in case of using magnetic field described in the present example. In the example of the ion implantation apparatus, the target 24 is irradiated with the ribbon-shaped ion beam 4 through the scanning in the X direction. However, the ribbon-shaped ion beam 4 may be generated from the ion source 2, and then the target 24 may be irradiated with the ribbon-shaped ion beam 4 without the scanning in the X direction. Patent Document 1: Japanese Patent Laid-Open Publication No. 8-115701 (paragraph 0003, FIG. 1) A transport route of the ion beam 4 is within a vacuum chamber (not shown) and is kept in a vacuum atmosphere. However, there is inevitably a little gas such as residual gas and outgas in the transport route of the ion beam 4. The ion beam 4 collides with molecules of the gas, thereby generating neutrons. When the neutrons are made incident to the target 24, a bad influence occurs, for example, uniformity in distribution of the implantation amount deteriorates or error of the implantation amount occurs. To prevent such a problem, it is necessary that the ion beam 4 in an energy state (in the other words, a final energy state after passing through the accelerator/decelerator 8) irradiating the target 24 is deflected by magnetic field or electric field of an ion beam deflector disposed in the vicinity of the target 24, the deflected ion beam 4 and the neutrons 18 that is not deflected and goes straight are separated from each other, thereby preventing the neutrons 18 from being made incident to the target 24. The beam paralleling device 14 serves also as the ion beam deflector. To prevent the neutrons 18 separated by the beam paralleling device 14 from being made incident to the target 24, a predetermined distance L1 is necessary between the outlet of the beam paralleling device 14 and the target 24. The reason is that the neutrons 18 are made incident to the target 24 when the distance L1 is insufficient. When the ion beam 4 is largely deflected by the beam deflector 14, it is possible to reduce the distance L1. However, in such a case, there is a problem that the beam paralleling device 14 and the voltage source thereof have a large size. In addition, as the target 24 gets larger, the larger distance L1 is necessary. For example, the distance L1 of about 70 cm to 80 cm is necessary. The ion beam 4 is diverged by the space charge effect even in the course of transporting the ion beam 4 between the beam paralleling device 14 and the target 24. From the viewpoint of reducing an ion implantation depth, improving a throughput of the apparatus and miniaturization of semiconductor devices formed on the target 24, it is desired that the ion beam 4 irradiating the target 24 has a low energy and a high current. However, as the ion beam 4 has the low energy and the high current, the ion beam 4 is more largely diverged by the space charge effect. In addition, as the distance L1 gets larger, the ion beam 4 is more largely diverged. The divergence of the ion beam 4 occurs in both of the X and Y directions. Since the X-direction size of the ion beam 4 is sufficiently larger than the Y-direction size as described above, a bad influence caused by the Y-direction divergence is greater than the other. Thus, hereinafter, attention is paid to the Y-direction divergence. When the ion beam 4 is diverged in the Y direction, a part of the ion beam 4 in the Y direction is cut by a vacuum chamber surrounding the route of the ion beam 4 or a mask for shaping the ion beam 4, thereby decreasing a transport efficiency of the ion beam 4 to the target 24. For example, an opening 22 for passing the ion beam 4 is formed between the beam paralleling device 14 and the target 24, as shown in FIGS. 10 and 11 and as described in Japanese Patent Publication No. 3567749, and a mask 20 for shaping the ion beam 4 is formed. Accordingly, unnecessary ends of the ion beam 4 in the Y direction are cut by the mask 20, and it is possible to reduce a distance L2 from the ion beam 4 to the target 24. When the ion beam 4 is diverged in the Y direction by the space charge effect, a cutting ratio of the mask 20 becomes large. Accordingly, the amount of the ion beam 4 capable of passing through the mask 20 is reduced, thereby decreasing a transport efficiency of the ion beam 4. Even in case where the ribbon-shaped ion beam 4 is generated from the ion source 2 and the target 24 is irradiated with the ribbon-shaped ion beam 4 without passing through the X-direction scanning, there is also the aforementioned problem. A main object of the invention is to correct the Y-direction divergence of the ion beam caused by the space charge effect and to increase the transport efficiency of the ion beam, between the target and the ion beam deflector for separating the ion beam and the neutrons from each other. An ion implantation apparatus according to the invention includes an electric field lens, which is disposed on a downstream side of an ion beam deflector separating an ion beam and neutrons from each other, which has a plurality of electrodes opposed to each other in a Y direction with a space for passing the ion beam, and which narrows the ion beam in the Y direction. According to the ion implantation device, since it is possible to narrow the ion beam in the Y direction by the electric field lens, it is possible to increase the transport efficiency of the ion beam by correcting the Y direction divergence caused by the space charge effect of the ion beam, between the ion beam deflector separating the ion beam and the neutrons from each other and the target. Another ion implantation apparatus according to the invention includes an electric field lens, which is disposed on a downstream side of an ion beam deflector separating an ion beam and neutrons from each other and an upstream side of the mask, which has a plurality of electrodes opposed to each other in a Y direction with a space for passing the ion beam, and which narrows the ion beam in the Y direction. According to the ion implantation apparatus, since it is possible to narrow the ion beam in the Y direction by the electric field lens, it is possible to increase the transport efficiency of the ion beam by correcting the Y direction divergence caused by the space charge effect of the ion beam and by increasing the amount of the ion beam passing through an opening of the mask, between the ion beam deflector separating the ion beam and the neutrons from each other and the mask. The electric field lens may have an inlet electrode, an intermediate electrode, and an outlet electrode arranged at a distance from one another in the traveling direction of the ion beam, each of the inlet electrode, the intermediate electrode, and the outlet electrode includes a pair of electrodes that are opposed to each other in the Y direction with a space for passing the ion beam therebetween and are substantially parallel to a plane of the ion beam, and the inlet electrode and the outlet electrode are electrically grounded. The ion implantation apparatus may further include a direct-current voltage source that apples a direct-current voltage to the intermediate electrode, or the ion implantation apparatus may further include first and second direct-current voltage sources that apply direct-current voltages to a pair of electrodes constituting the intermediate electrode, respectively. The ion implantation apparatus may further include a beam paralleling device that bends the ion beam scanned in the X direction into a parallel beam by magnetic field or electric field so as to be substantially parallel to a reference axis to draw the ribbon-shaped ion beam, the beam paralleling device may serve also as the ion beam deflector, and the electric field lens may be disposed in the vicinity of an outlet of the beam paralleling device. The ion implantation apparatus may further include a plasma generating device that generates plasma and supplies the plasma to the vicinity of the upstream side of the target to suppress charge of the surface of the target caused by the irradiation of the ion beam, and the electric field lens may be disposed on the more upstream side than the plasma generating device. A deviation angle may be corrected to decrease a Y-direction deviation angle of the ion beam, using the electric field lens. According to a second aspect of the invention, since it is possible to narrow the ion beam in the Y direction by the electric field lens, it is possible to increase the transport efficiency of the ion beam by correcting the Y direction diffusion caused by the space charge effect of the ion beam and by increasing the amount of the ion beam passing through an opening of the mask, between the ion beam deflector separating the ion beam and the neutrons from each other and the mask. According to a third aspect of the invention, since the electric field serves as a unipotential lens (in other words, Einzel lens), it is possible to obtain another advantage that it is possible to narrow the ion beam without changing energy of the ion beam. According to a fourth aspect of the invention, in addition to the same effect as the third aspect of the invention, it is possible to obtain the following advantage. That is, since it is possible to apply direct-current voltages different from each other from the first and second direct-current voltage sources to a pair of electrodes constituting the intermediate electrode of the electric field lens, it is possible to adjust the Y-direction deviation angle of the ion beam. According to a fifth aspect of the invention, since the electric field lens is disposed in the vicinity of an outlet of a beam paralleling device serving also as an ion beam deflector, it is possible to more efficiently narrow the ion beam at a preceding stage and thus it is possible to obtain another advantage that it is possible to more increase the transport efficiency of the ion beam. According to a sixth aspect of the invention, since the electric field lens is disposed on the more upstream side than a plasma generating device, it is possible to supply electrons in plasma generated from the plasma generating device to a target without passing through the electric field lens. Therefore, even when the electric field lens is provided, it is easy to reduce the influence on the electrification suppressing effect of the surface of the target by the plasma generating device. According to seventh and eighth aspects of the invention, it is possible to obtain the following advantage. That is, since it is possible to decrease the Y-direction deviation angle of the ion beam using the electric field lens, it is possible to reduce deviation of an incident angle of the ion beam to the target caused by inclination of an orbit of the ion beam, and further to reduce deviation of an ion implantation angle. In addition, since it is possible to prevent the ion beam from colliding with a structure or to increase the amount of the ion beam passing through the opening of the mask by straightening the orbit of the ion beam, it is possible to increase the transport efficiency of the ion beam. According to a ninth aspect of the invention, since the deviation angle becomes substantially 0 degree, it is possible to more improve the advantages represented in the seventh and eighth aspect of the invention. That is, it is possible to prevent the deviation of the incident angle of the ion beam and further the deviation of the ion implantation angle, and more increase the transport efficiency of the ion beam. FIG. 1 is a plan view partially illustrating an embodiment of an ion implantation apparatus according to the invention. FIG. 2 is an enlarged front view illustrating the vicinity of an electric field lens in FIG. 1 as viewed in a direction indicated by an arrow P. The same reference numerals are given to the parts equal or equivalent to the conventional example shown in FIG. 10, and differences from the conventional example will be mainly described hereinafter. The ion implantation apparatus has an electric field lens 30 disposed on an downstream side of a beam paralleling device 14 that separates an ion beam 4 and neutrons 18 (see FIG. 10) from each other and serves also as an ion beam deflector, and the electric field lens 30 narrows the ion beam 4 in the Y direction. More specifically, the electric field lens 30 is disposed in the vicinity of an outlet of the beams paralleling device 14. The electric field lens 30 has an inlet electrode 32, an intermediate electrode 34, and an outlet electrode 36, which are arranged at a distance from one another in a traveling direction of the ion beam 4. The electrodes 32, 34, and 36 are substantially perpendicular to the Z direction that is the traveling direction of the ion beam 4. In other words, they are substantially parallel to the X direction. However, in the present embodiment, a side close to the inlet of the inlet electrode 32 (specifically, electrodes 32a and 32b constituting it, see FIG. 2) has a shape corresponding to a side close to the outlet of the beam paralleling device 14 (specifically, magnetic poles 14a and 14b, see FIG. 2). With such a configuration, the electric field lens 30 can be disposed closer to the outlet of the beam paralleling device 14. X-direction lengths of the inlet electrode 32, the intermediate electrode 34, and the outlet electrode 36 are larger than X-direction size of the ion beam 4. As shown in FIG. 2, electrodes of the electrode 32, the intermediate electrode 34, and the outlet electrode 36 are opposed to each other in the Y direction with a space therebetween for passing the ion beam 4. The electrode 32, the intermediate electrode 34, and the outlet electrode 36 include a pair of electrodes 32a and 32b, a pair of electrodes 34a and 34b, and a pair of electrodes 36a and 36b, which are substantially parallel to a plane (plane along the X-Y plane) of a wide side of the ion beam 4, respectively. The electrodes 32a and 32b, the electrode 34a and 34b, and the electrodes 36a and 36b are electrically connected to each other by conductors. In the present embodiment, inside surfaces of the electrodes 32a, 32b, 36a, and 36b are located on the substantially same plane as inside surfaces of the magnetic poles 14a and 14b of the beam paralleling device 14. The electrode 34a and 34b are located slightly out of the plane. The inlet electrode 32 and the outlet electrode 36 (more specifically, electrodes 32a, 32b, 36a, and 36b constituting them) are electrically grounded. The intermediate electrode 34 (more specifically, electrodes 34a and 34b constituting it) is connected to a direct-current voltage source 38 for applying a negative or positive (negative in the embodiment shown in FIG. 1) direct-current voltage V1 thereto. In the electric field lens 30, the inlet electrode 32 and the outlet electrode 36 are kept at the same electric potential, and the intermediate electrode 34 is kept at an electric potential different from that of the inlet electrode 32 and the outlet electrode 36. Accordingly, the electric field lens 30 serves as a unipotential lens and narrows the ion beam 4. Therefore, it is possible to narrow the ion beam 4 in the Y direction without changing energy of the ion beam 4. As a result, it is possible to increase a transport efficiency of the ion beam 4 to the target 24 by correcting Y-direction divergence of the ion beam 4 caused by the space charge effect, between the ion beam paralleling device 14 serving also as the ion beam deflector and the target 24, by the electric field lens 30. More specifically, when the aforementioned mask 20 is provided as the present embodiment, it is possible to increase the transport efficiency of the ion beam 4 by correcting Y-direction divergence of the ion beam 4 caused by the space charge effect between the ion beam paralleling device 14 and the mask 20 and by increasing the amount of the ion beam 4 passing through the opening 22 of the mask 20. When the electric field lens 30 is provided in the vicinity of the outlet of the beam paralleling device 14 as the present embodiment, it is possible to narrow the ion beam 4 by the electric field lens 30 in the initial step before or during the ion beam 4 is diverged in the Y direction by the space charge effect. Accordingly, it is possible to more effectively narrow the ion beam 4 by reducing loss of the ion beam 4. Therefore, it is possible to more increase the transport efficiency of the ion beam 4. As an absolute value (magnitude) of the direct-current voltage V1 applied with the direct-current voltage source 38 to the intermediate electrode 34 gets larger, it is possible to more strongly narrow the ion beam 4. The extent of narrowing the ion beam 4 is changed according to energy of the ion beam 4 at the time of passing through the electric field lens 30. As the energy of the ion beam 4 gets larger, a deflect effect which the direct-current voltage V1 has on the ion beam 4 gets smaller. To strongly narrow the ion beam 4, it is necessary to increase the absolute value of the direct-current voltage V1. FIG. 5 shows an example of a result of simulation of narrowing the ion beam 4 in the Y direction by the electric field lens 30 by applying a negative direct-current voltage V1 to the intermediate electrode 34. The present example is a case where the ion beam 4 parallel to the Y direction is made incident to the electric field lens 30 and a case of V1=−1.5VE. VE is a voltage corresponding to energy of the ion beam 4 (e.g., when energy of the ion beam 4 is 5 keV, a voltage VE is 5 kV). A minus sign (−) indicates that the direct-current voltage V1 is a negative voltage (hereinafter, the same). It can be seen that a focus F of the ion beam 4 is formed on the downstream side of the electric field lens 30. Although not shown, when the absolute value of the direct-current voltage V1 is smaller than 1.5VE, the effect of narrowing the ion beam 4 is weakened. Accordingly, when the focus F becomes away from the electric field lens 30 and the absolute value is larger than 1.5VE, the effect of narrowing the ion beam 4 is more strengthened and thus the focus F gets close to the electric field lens 30. In case of the direct-current voltage V1 having the same magnitude, when a diverged ion beam 4 that is not parallel beam is made incident to the electric field lens 30, the focus F becomes away from the electric field lens 30. FIG. 4 shows an example of an example of a result of measuring a maximum increasing ratio of beam current of the ion beam 4 at the target 24 in case of disposing an electric field lens, while changing energy of the ion beam 4 at the time of passing through the electric field lens 30. The ion beam 4 is a kind of As+. An increasing ratio means a ratio of increasing ion beam current in case of disposing the electric field lens 30 when the other conditions are the same as compared with the case where the electric field lens 30 is not disposed. A maximum increasing ratio means an increasing ratio at the time of employing a direct-current voltage V1 having a magnitude giving the maximum increasing ratio, since the increasing ratio is changed according to the magnitude of the direct-current voltage V1. From FIG. 4, it is can be seen that the maximum increasing ratio is large as the energy of the ion beam 4 is low. The reason is that as the energy of the ion beam 4 is low, the ion beam 4 is largely diverged by the space charge effect, the transport efficiency is decreased, the divergence of the ion beam 4 is suppressed by the electric field lens 30, and thus the effect of improving the transport efficiency becomes large. A positive direct-current voltage V1 may be applied to the intermediate electrode 34 of the electric field lens 30, reversing the polarity of the direct-current voltage source 38. In this case, the electric field lens 30 serves as the unipotential lens and it is possible to narrow the ion beam 4 in the Y direction without changing the energy thereof. FIG. 6 shows an example of a result of simulation of narrowing the ion beam 4 in the Y direction by the electric field lens 30 by applying a positive direct-current voltage V1 to the intermediate electrode 34. In the present example is a case of V1=0.25VE. Although not shown, when the magnitude of the direct-current voltage V1 is smaller than 0.25VE, the effect of narrowing the ion beam 4 is weakened. When the magnitude is larger than 0.25VE, the effect of narrowing the ion beam 4 is strengthened. FIG. 7 shows a schematic example of change in beam current at the target 24, when the direct-current voltage V1 applied to the intermediate electrode 34 of the electric field lens 30 is changed from negativity to positivity. When the direct-current voltage V1 is in the vicinity of a negative voltage −VN and in the vicinity of a positive voltage VP, peaks are formed. For example, the voltage −VN is about −VE to −1.5VE. For example, the voltage VP is about 0.5VE to 0.7VE. Accordingly, when a negative direct-current voltage is applied as the direct-current voltage V1 applied to the intermediate electrode 34, the voltage V1 in the range of −VN≦V1<0 may be used. When a positive direct-current voltage is applied, the voltage V1 in the range of 0<V1≦VP may be used. A bipolar voltage source (two-polarity voltage source) capable of continuously outputting a direct-current voltage V1 throughout negative and positive polarities may be used as the direct-current voltage source 38. The direct-current voltage V1 that can be output from the direct-current voltage source 38 may be, for example, in the range of −VN≦V1≦VP, and preferably, in the range of −2VE≦V1≦VE allowing a margin therein. The polarity of the direct-current voltage V1 applied to the intermediate electrode 34 may be separated into positivity and negativity for use depending on the purpose or the like. For example, when a negative direct-current voltage V1 is applied to the intermediate electrode 34, the ion beam 4 is once accelerated between the inlet electrode 32 and the intermediate electrode 34 and has energy higher than the original energy. In the acceleration region, when the ion beam 4 collides with residual gas to generate neutrons (the generation ratio of neutrons is very low because the acceleration region is short), a small amount of neutrons having energy higher than the original energy of the ion beam 4 are generated. The neutrons may be made incident to the target 24. This is called as energy contamination. When low-energy implantation is performed using a low-energy (e.g., about 10 keV or lower) ion beam 4, particularly, there is a problem of energy contamination of a high-energy component having energy higher than the ion beam 4. Thus, to avoid such a problem, a positive direct-current voltage V1 may be applied to the intermediate electrode 34. However, when a negative direct-current voltage V1 is applied to the intermediate electrode 34, there is an advantage that electrons in plasma generated from a plasma generating device 46 can be pushed back. When the positive direct-current voltage V1 is applied to the intermediate electrode 34, energy contamination may occur. That is, when the positive direct-current voltage V1 is applied to the intermediate electrode 34, the ion beam 4 is once decelerated between the inlet electrode 32 and the intermediate electrode 34 and has energy lower than the original energy. In the deceleration region, when the ion beam 4 collides with residual gas to generate neutrons (the generation ratio of neutrons is very low, as described above), a small amount of neutrons having energy lower than the original energy of the ion beam 4 are generated. The neutrons may be made incident to the target 24. When low-energy implantation is performed with energy of about 10 keV or lower, such energy contamination of a low-energy component having energy lower than the energy of the ion beam 4 does not result in a problem as compared with the energy contamination of a high-energy component. However, when the positive direct-current voltage V1 is applied to the intermediate electrode 34, electrons in the plasma generated from the plasma generating device 46 cannot be pushed back, differently from the case of the negative voltage, and the electrons may be pulled into the intermediate electrode 34. It is possible to cope with this by the below-described means. In consideration of the aforementioned merits and demerits, the polarity of the direct-current voltage V1 applied to the intermediate electrode 34 may be separated into positivity and negativity for use. Referring to FIG. 1, there provided a preceding-stage multipoint faraday 42 and a later-stage multipoint faraday 44, in which a plurality of detectors for measuring beam current of the ion beam 4 are arranged in the X direction, on the upstream side and the downstream side of the target 24, both multipoint faradays 42 and 44 and a shutter driven in the front thereof in the Y direction are combined for use, similarly with the technique described in Japanese Patent Laid-Open Publication No. 2005-195417, and thus a Y-direction beam size dt of the ion beam 4 at the position of the target 24 and a Y-direction divergence angle α of the ion beam 4 may be measured by the following equations on the basis of Y-direction beam sizes dt and db of the ion beam 4 at two portions in the Z direction as the traveling direction of the ion beam 4, a distance L3 between both portions, and distances L4 and L5 between both portions and a target. In stead of providing the shutter in front of the preceding-stage multipoint faraday 42, the preceding-stage multipoint faraday 42 may be provided, for example, in the vicinity of the mask 20 on the downstream side, and the preceding-stage multipoint faraday 42 may be driven in the Y direction.dt=(L5/L3)df+(L4/L3)db, (where L3=L4+L5)  [Equation 1]α=tan−1 {(db−df)/2L3}  [Equation 2] The direct-current voltage V1 may be feedback-controlled on the basis of the measured data of the beam size dt and the divergence angle α. For example, when the Y-direction beam size dt or the divergence angle α of the ion beam 4 is large, the absolute value of the direct-current voltage V1 may be controlled to be large. Accordingly, since the ion beam 4 is strongly narrowed with respect to the Y direction by the electric field lens 30, it is possible to decrease the beam size dt or the divergence angle α. In this case, it is preferable to preset a range for controlling the direct-current voltage V1, for example, in consideration of the characteristic shown in FIG. 7 (e.g., −VN≦V1≦0 when a negative direct-current voltage V1 is used, and 0<V1≦VP when a positive direct-current voltage V1 is used). In such a case, the control thereof becomes easy. The below-described case of correcting a deviation angle θ is the same. When the same direct-current voltage V1 is applied from one direct-current voltage source 38 to a pair of electrodes 34a and 34b constituting the intermediate electrode 34 of the electric field lens 30 and the ion beam 4 made incident to the electric field lens 30 is inclined in the Y direction for some reason as shown in FIG. 8, the ion beam 4 passing through the electric field lens 30 also has a deviation angle θ in the Y direction. The deviation angle θ is an angle formed by a central orbit of the ion beam 4 and a Z-axis direction in the Y-Z plane, more specifically, an angle of the central orbit of the ion beam 4 passing through the electric field lens 30, from the Z direction in the Y-Z plane. Such a problem can be solved, for example, by the embodiment shown in FIG. 3. In the present embodiment, one electrode 34a constituting the intermediate electrode 34 is connected to a first direct-current voltage source 38 for applying a first direct-current voltage V1 thereto, and the other electrode 34b is connected to a second direct-current voltage source 40 for applying a second direct-current voltage V2 thereto. In the embodiment shown in FIG. 3, negative direct-current voltages V1 and V2 are applied from the direct-current voltage sources 38 and 40 to the electrodes 34a and 34b, respectively. However, as described above, positive direct-current voltages V1 and V2 may be applied to the electrodes 34a and 34b, respectively, reversing the polarities of the direct-current voltage sources 38 and 40. A bipolar voltage source capable of continuously outputting the direct-current voltages V1 and V2 with both of positive and negative polarities may be used as the direct-current voltage sources 38 and 40. The direct-current voltage V1 and V2 applied to the electrodes 34a and 34b, respectively, may be separated into positivity and negativity for use. Since it is possible to apply the direct-current voltages V1 and V2 different from each other to the pair of electrodes 34a and 34b constituting the intermediate electrode 34 by disposing the first and second direct-current voltage sources 38 and 40, it is possible to adjust the Y-direction deviation angle θ of the ion beam 4. For example, as shown in FIG. 8, when the ion beam 4 is inclined upward in the Y direction, the absolute value of the direct-current voltage V2 applied to the electrode 36b opposite to the inclined side needs to increase larger than the absolute value of the direct-current voltage V1 applied to the electrode 36a close to the inclined side, as shown in FIG. 9. In the present example, direct-current voltages V1 and V2 having values of −VE and −1.24VE are applied to the electrodes 34a and 34b, respectively. In FIG. 9, the direct-current voltages V1 and V2 are negative. However, also in case of positive voltages, the same tendency is represented. With such a configuration, it is possible to decrease the deviation angle θ. The deviation angle θ may be reduced substantially to 0 degree. Using deviation angle measuring means having the aforementioned preceding-stage multipoint faraday 42 and later-stage multipoint faraday 44, similarly with the technique described in Japanese Patent Laid-Open Publication No. 2005-195417, the ion beam is made slowly incident in the Y direction to the multipoint faradays 42 and 44, Y-direction central positions yf and yb of the ion beam 4 at two portions in the traveling direction of the ion beam 4 are calculated, and then the deviation angle θ may be measured by the following equations on the basis of both central positions Yf and Yb and a distance L3 between both positions.θ=tan−1 {(yb−yf)/L3}  [Equation 3] One of the direct-current voltages V1 and V2 may be adjusted by a feedback control or the like on the basis of the measurement data of the deviation angle θ. For example, the absolute value of the direct-current voltage V2 (or V1) applied to the electrode 34b (or 34a) opposite to the inclined side of the ion beam 4 may be adjusted (controlled) to increase. Accordingly, the orbit of the ion beam 4 passing through the electric field lens 30 may be bent to the opposite side to the originally inclined side, thereby decreasing the deviation angle θ. The deviation angle θ may be reduced substantially to 0 degree. It is possible to reduce deviation in an incident angle of the ion beam 4 to the target 24 caused by the inclination of the orbit of the ion beam 4 and further an ion implantation angle, by decreasing the deviation angle θ. In addition, since it is possible to prevent the ion beam 4 from colliding with a structure by straightening the orbit of the ion beam 4, it is possible to increase the transport efficiency of the ion beam 4. In case of providing the mask 20 as the present embodiment, since it is possible to increase the amount of the ion beam 4 passing through the opening 22 of the mask 20, it is possible to increase the transport efficiency of the ion beam 4 from this viewpoint. It is possible to more raise the aforementioned effect also by reducing the deviation angle θ substantially to 0 degree. That is, it is possible to prevent the deviation of the incident angle of the ion beam 4 and further the ion implantation angle, and it is possible to more increase the transport efficiency of the ion beam 4. Referring to FIG. 1, as described in Japanese Patent Publication No. 3387488 and Japanese Patent publication No. 3414380, there may be provided the plasma generating device 46 that generates plasma and supplies the plasma to the vicinity of the upstream side of the target 24 to suppress charge of the surface of the target 24 caused by the irradiation of the ion beam. In this case, the electric field lens 30 is disposed preferably on the more upstream side than the plasma generating device 46. That is, the electric field lens 30 is disposed preferably on the downstream side of the beam paralleling device 14 and the upstream side of the plasma generating device 46. In such a case, electrons generated from the plasma generating device 46 can be supplied to the target 24 without passing through the electric field lens 30. Accordingly, even when the electric field lens 30 is provided, it is easy to decrease the influence on the effect of suppressing the charge of the surface of the target 24 by the plasma generating device 46. For example, when the direct-current voltages V1 and V2 are negative and the electric field lens 30 is disposed on the down stream side of the plasma generating device 46, the electrons in the plasma generated from the plasma generating device 46 are pushed back by the negative direct-current voltages V1 and V2 applied to the electric field lens 30, and thus it is difficult to reach the target 24. Particularly, since it is preferable that energy of the electrons in the plasma generated from the plasma generating device 46 is low (e.g., about 10 eV or less), the electrons in the plasma is easily pushed back by the negative direct-current voltages V1 and V2. On the contrary, when the electric field lens 30 is disposed on the more upstream side than the plasma generating device 46 and even when the negative direct-current voltages V1 and V2 are applied to the electric field lens 30, it is not disturbed that the electrons in the plasma generated from the plasma generating device 46 reach the target 24 and further it may be expected to push back the electrons toward the target 24 by the negative direct-current voltages V1 and V2 to help the electrons to reach the target 24. Accordingly, the electric field lens 30 does not disturb the charge suppressing effect of the plasma generating device 46 with respect to the surface of the target 24, and further assistant effect may be expected. When the direct-current voltages V1 and V2 are positive and even when the electric field lens 30 is disposed on the more upstream side than the plasma generating device 46, it is difficult to expect the effect of pushing back the electrons in the plasma generated from the plasma generating device 46, toward the target 24. The electrons may be pulled into the electric field lens 30 by the positive direct-current voltages V1 and V2. In such a case, for example, as shown in FIG. 1 by a two-dot-dashed line, there are provided a pair of electrodes 50 opposed to each other in the Y direction with a space for passing the ion beam 4 between the electric field lens 30 and the plasma generating device 46, and a negative voltage is applied from a direct-current voltage source (not shown) to the pair of electrodes 50, and the electrons in the plasma generated from the plasma generating device 46 may be pushed back toward the target 24 by the negative voltage. Since energy of the electrons is low as described above, the voltage to be applied to the electrode 50 may be, for example, in the range about -several tens V to −1 kV. Such an electrode 50 and a direct-current voltage for only it may be provided as necessary. Differently from the above embodiments, the ion beam deflector separating the ion beam 4 and the neutrons from each other may be a different device from the beam paralleling device making the ion beam 4 parallel. In such a case, the electric field lens 30 may be disposed on the downstream side of the ion beam paralleling device and the downstream side of the ion beam deflector. Generally, since the ion beam deflector is disposed on the downstream side of the beam paralleling device, the electric field lens 30 may be disposed on the downstream side of the ion beam deflector. When the ribbon-shaped ion beam 4 is generated from the ion source 2, the beam paralleling device is unnecessary and thus the electric field lens 30 may be disposed on the downstream side of the ion beam deflector. Two electric field lenses 30 may be in the traveling direction of the ion beam 4 and the above-described correction of decreasing the deviation angle θ of the ion beam 4 may be performed by cooperation of both electric field lenses 30. Strictly, in case of one electric field lens 30, when the correction of decreasing the deviation angle θ is performed using the electric field lens 30, the central orbit position of the ion beam 4 in the Y direction after the correction of the deviation may be changed by difference of the central orbit direction in the Y direction of the ion beam 4 at the incident time to the electric field lens 30. On the contrary, when the correction of decreasing the deviation angle θ is performed by cooperation of the two electric field lenses 30, (a) it is possible to correct the deviation and further (b) it is possible to regulate the central orbit position in the Y direction of the ion beam 4 passing through the downstream electric field lens 30 in spite of difference in the central orbit direction in the Y direction of the ion beam 4 at the incident time to the upstream electric field lens 30. Further, it is possible to keep the Y direction central position of the ion beam 4 incident to the target 24 substantially regular.
054904188
claims
1. A device for measuring a force which would be exerted by a spring of a supporting grid on a fuel rod of set diameter passing through the grid, comprising: an elongate body; a pair of flexible blades parallel to the direction of elongation and connecting the body to a pair of respective beams insertable in a cell, a force sensor connected to at least one of the beams for contact against the spring; a unit slidable in and along the body between a position in which it moves the beams apart while keeping them parallel to give them a spacing that corresponds to said set diameter, and a position in which it allows the flexible blades to move the beams towards each other; and means moving said movable unit at will between said two positions. 2. A device according to claim 1, wherein said unit comprises a longitudinally displaceable connecting rod connected to the beams by arms that make up a virtual deformable parallelogram. 3. A device according to claim 1, wherein said unit comprises at least two sets of ramps co-operating with cams provided on the beams. 4. A device according to claim 1, wherein said displacement means have adjustment elements limiting a stroke thereof and limiting an extent by which the beams may spread apart to an amount equal to said set diameter. 5. A device according to claim 4, wherein the adjustment elements comprise a stop-forming bush longitudinally displaceable and limiting the amount of displacement away from a rest position of an assembly belonging to the moving unit and comprising a piston and a piston rod, and a wedge for bearing against the bush and enabling the longitudinal position thereof to be adjusted. 6. A device according to claim 1, for measuring a force exerted by a double spring having two active branches, one on either side of a common cell wall, said device comprising two sets each having one said pair of beams and one said pair of flexible blades, carried by a common housing. 7. A device according to claim 6, wherein the two sets are independently mounted on the common housing by means enabling them to pivot about an axis parallel to a direction along which the beams can spread apart. 8. A device according to claim 6, wherein an outer one of said blades in each set is less flexible than an inner one of said blades thereof and said inner blade is designed to bear against the double spring.
050088416
summary
BACKGROUND OF THE INVENTION This invention relates to valves and more particularly to a non-invasive system and method for the inspection of valves. It may be explained here that generally a check-valve includes a housing and a movable element mounted in the housing for movement between an open position and a closed position and intermediate positions between the open and closed positions. The check-valve operates by allowing flow in one direction when the movable element is in the open position while preventing flow in the other when the movable element is in the closed position. The check valve has no external moving parts and, therefore, the position of the movable element and its integrity cannot be evaluated with normal visual inspection methods without valve disassembly. Failures of a few check valves in applications directly related to a safe shut down of a nuclear powered electrical generating unit during nuclear power plant operations led to a review of all check valve maintenance actions and failures. INPO (Institute of Nuclear Power Operations) published the results of the review in a Significant Operating Experience Report (SOER) No. 86-03 entitled "Check Valve Failures or Degradation" in October, 1986. The conclusions of this report were that the major causes of check valve failures were primarily due to misapplication and inadequate preventative maintenance. As a result of INPO's SOER 86-03, the electric industry worked with EPRI (the Electric Power Research Institute) and formed a program to address the needs of the industry. In 1988, EPRI issued a report entitled "Application Guidelines for Check Valves in Nuclear Power Plants." The EPRI report provided guidelines recommending the use of non-invasive inspection techniques to verify proper operation of check valves. Currently, inspection of check valves is generally accomplished by the disassembly of the valve and visually inspecting the internals. There is a very limited use of non-invasive inspection techniques consisting of ultrasonic, acoustic or, to a limited extent, magnetic techniques. Acoustic techniques involve the detection of structural-borne noise, i.e., acoustic energy or vibrations, emanating from the internal workings of the valve. The acoustic technique generally employs a piezoelectric crystal sensor, such as an accelerometer, mounted on the valve housing. All structural-borne acoustic energy waves or vibrations are detected by the acoustic sensor and converted by it to electric analog voltage signals or data representative of acoustic energy. The data is recorded and then analyzed in an attempt to diagnose which internal valve condition the data is indicating. Although the indications of various conditions and/or "problems" within the valve can be detected as vibrations by the acoustic sensor, the interpretation of the data as to which problem the acoustic energy or vibrations is indicating is difficult. For example, it is difficult at times, to differentiate between vibrations caused by impacts between worn parts and impacts which are expected in normal operation of the valve, i.e., impacts which occur upon the opening and closing of the valve. Also vibrations created by impacts caused by worn parts as the movable element fluctuates between open and closed positions can be misinterpreted as vibrations created by impacts caused by the movable member striking the valve housing or a valve stop in its fully opened position. It is also possible for the entire movable member to be missing with just the mounting arm which mounts the movable member to the housing remaining and obtain vibrations and resulting acoustic signatures in the data that might be misinterpreted as vibrations of impacts caused by the movable member striking the valve stop when, in fact, it is the mounting arm which is striking some portion of the valve's internal structure. Magnetic techniques for the inspection of valves currently relate to systems which provide information regarding the position of the movable element of the valve. The magnetic technique involves the use of a permanent magnet mounted on the movable element to provide a varying magnetic field as the position of the movable element changes. A magnet field sensor is used to measure the magnetic field strength from a point outside the valve. As magnetic field strength changes, the sensor will indicate the position of the movable element. Knowing the position of the movable element permits limited diagnostic evaluation of check valves. For example, a fluctuating movable element will be evident using the magnetic technique. Proper seating of the movable element upon closure, however, would not be evident using magnetic techniques although the position of the movable element would indicate closed. Also, and more importantly, worn internal parts would not be evident using magnetic techniques. Because of these and other difficulties associated with check valve inspection techniques presently employed, there now exists a need and a strong demand for an economical, viable means for the non-invasive inspection of check valves to verify proper operation without the disadvantages of the current inspection techniques. SUMMARY OF THE INVENTION It is a primary object of the present invention to provide a non-invasive system and method for the inspection of valves which combines acoustic and magnetic techniques in response to, and in satisfaction of, the aforementioned need and strong demand experienced in actual practice. For this purpose, the invention provides a non-invasive inspection system for a valve of the type including a housing and a movable element mounted in the housing for movement between an open position and closed position and intermediate positions between the open and closed positions. First means are provided for both detecting acoustic energy in the valve during an inspection interval and generating data representative of the detected acoustic energy. Second means are provided for both detecting signals indicative of the position of the movable element during an inspection interval and generating data representative of the detected signals. Third means are provided which are coupled to the first and second means for simultaneously receiving the data generated by the first and second means. In accordance with another aspect of the present invention, a non-invasive method of inspecting a valve of the type having a housing and an internal element mounted in the housing for movement between open and closed positions and intermediate positions between the open and closed positions is provided. The method comprises the steps of: detecting acoustic energy in the valve during an inspection interval and generating data representative of the detected acoustic energy; detecting signals indicative of the position of the movable element during an inspection interval and generating data representative of the detected signals; recording the data generated for subsequent analysis and/or processing the data generated to place the data generated in a form for analysis whereby to detect various conditions within the valve. Still another aspect of the invention is the provision of a dual sensor. The dual sensor comprises in combination: a container; first means disposed in the container for both detecting acoustic energy and generating data representative of the detected acoustic energy; and, second means disposed in the container for both detecting magnetic field strength signals and generating data representative of the detected signals. The above and other objects, features and advantages of the present invention will be apparent from the following detailed description of a preferred embodiments thereof taken in conjunction with the accompanying drawings.
summary
061378542
description
DESCRIPTION OF THE PREFERRED EMBODIMENTS Various embodiments of the present invention will be explained in detail with reference to the accompanying drawings hereinafter. First Embodiment A reactor control rod according to a first embodiment of the present invention will be explained with reference to FIGS. 1A and 1B and FIGS. 2A and 2B hereinbelow. FIG. 1A is a perspective view, partially cut away, showing the reactor control rod according to the first embodiment. FIG. 1B is a front view showing the reactor control rod according to the first embodiment, with partially cutting away wings of the reactor control rod. As shown in FIGS. 1A and 1B, in the reactor control rod 20 according to the first embodiment, a top end structure 4 which is formed integrally with a handle 3 is secured to a longitudinal top end of a long sheath 7 which has a deep U-shaped cross sectional shape, and a bottom end structure 5 is secured to a longitudinal bottom end of the long sheath 7. The sheath 7 is formed of stainless steel. A plurality of water feed holes 9 are formed in the sheath 7. A plurality of neutron absorber elements 21, each being made of longlife neutron absorbing material, e.g., hafnium (Hf), Hf alloy, or the like are aligned like a column in the sheath 7 along the sheath longitudinal direction. As a consequence, a plurality (four sheets) of wings 2 are formed. An opening portion of the sheath 7 constituting the wing 2 is fitted to each projected portion of a tie rod (central structure) 6 and then secured thereto by welding. The tie rod 6 acts as a central assembling material and is made of cruciform stainless steel. As a result, the reactor control rod 20 which has a cruciform cross sectional shape can be assembled by using a plurality of wings 2 in combination. FIGS. 2A and 2B are views showing a pertinent portion of the wing 2 of the reactor control rod according to the first embodiment in an enlarged manner respectively. FIG. 2A is a sectional view showing the pertinent portion of the wing of the reactor control rod taken along a line A--A in FIG. 2B. FIG. 2B is a cross sectional view showing the pertinent portion of the wing of the reactor control rod taken along a line B--B in FIG. 2A. As shown in FIGS. 2A and 2B, the neutron absorber element 21 is constructed by opposing a pair of neutron absorber plates (Hf plates) 22 made of hafnium (Hf) or hafnium alloy to each other. In the middle portion of the neutron absorber plates 22 along the sheath longitudinal direction, a pair of supporting rod through holes 23 are formed at different height levels so as to penetrate the neutron absorber plates 22 in their thicknesswise direction. Then, load supporting rods 24 which support the weight of the neutron absorber plates 22 via the sheath 7 are inserted into the supporting rod through holes 23. As shown in FIG. 2B, the load supporting rod 24 comprises a pair of top end portions 26 which are inserted into supporting rod fitting holes 25 formed in the sheath 7 and then secured thereto by welding, and a main body portion 27 which is inserted into the supporting rod through hole 23 and has a diameter larger than those of the top end portions 26. In addition, because of difference in diameter between the top end portions 26 and the main body portion 27, steps 28 are formed on the load supporting rod 24. A minute clearance can be created by the step 28 between an inner surface 29 of the sheath 7 and an outer surface 30 of the neutron absorber plate 22. Because of the presence of small steps 28, the sheath 7 and the neutron absorber plates 22 can avoid the situation that they are subjected to strong pressure mutually. The load supporting rods 24 and the sheath 7 are formed of weldable metal, and normally they are formed of stainless steel. Both the Hf plate and the stainless steel constituting the neutron absorber plate 22 are extremely excellent in corrosion resistance. However, since the Hf plate and the stainless steel are metals of a different kind, it cannot be assured that there is no possibility of a battery action being caused electrochemically. Therefore, in order not to generate a long-term stagnation of the core water being interposed between the neutron absorber plates 22, a plurality of longitudinal grooves 31 are formed on a surface of the main body portion 27 of the load supporting rod 24 in almost parallel with the longitudinal direction (axis direction) of the load supporting rod 24. A diameter of the top end portion 26 which is inserted into the supporting rod fitting hole 25 of the sheath 7 is reduced by scraping off to such an extent that the longitudinal grooves 31 are eliminated or more, so that the step 28 is formed on the top end portion 26 as described above. Because of the presence of the step 28, the sheath 7 and the top end portion 26 of the load supporting rod 24 can be correctly positioned when they are to be welded, and also heat leakage into the neutron absorber plate (Hf plate) 22 in welding can be suppressed. Since the longitudinal grooves 31 are formed on the main body portion 27 of the load supporting rod 24, the core water can be passed between the load supporting rod 24 and the neutron absorber plate 22 to thus prevent the stagnation of the core water. As a result, clevis corrosion can be suppressed. In this case, a clearance holding mechanism for holding the clearance between the sheath 7 and the neutron absorber plate (Hf plate) 22 is not depicted in vicinity of the load supporting rod 24 in FIGS. 2A and 2B. However, various mechanisms, e.g., formation of dimpling on the sheath 7 toward the inside from the outside, placement of an interposition such as a washer (including formation of projections on the Hf plate), provision of pins, etc. which protrude slightly from the inner surface of the sheath 7 toward the inside from the outside, employment of a top axis structure, or the like may be employed. Great difference in thermal expansion coefficient resides between the Hf and the stainless steel. Hence, if mutual distances between a plurality of load supporting rods 24 are set long, a diameter of the supporting rod through hole 23 formed in the neutron absorber plate (Hf plate) 22 must be enlarged in order to avoid the problem of difference in thermal expansion coefficient. In this case, since the impact load applied to the load supporting rod 24 upon driving the reactor control rod 20 is increased, it is desired that mutual intervals between the load supporting rods 24 should not be set long. For example, preferably such intervals should be set to about 3 to 5 cm. As shown in FIGS. 2A and 2B, a predetermined clearance (water gap) is held between a pair of opposing neutron absorber plates 22 by using a plurality of local spacers (Hf spacers) 32. Each of the local spacers 32 comprises a body portion 33 provided between a pair of neutron absorber plates 22 to hold a predetermined clearance between the neutron absorber plates 22, and axis portions 34 which are protruded from both ends of the body portion 33 to be inserted into spacer through holes 35 formed in the neutron absorber plates 22. Thus, the core water can be flown through via the clearance between the neutron absorber plates 22. Like the neutron absorber plates 22, the local spacer 32 is also formed of hafnium or hafnium alloy. The axis portions 34 of the local spacer 32 are welded to the neutron absorber plates (Hf plates) 22 respectively. Unlike the case of the load supporting rod 24, the local spacers 32 are not secured to the sheath 7. Top ends of the axis portions 34 of the local spacer 32 are projected outward slightly (e.g., 0.2 to 0.5 mm) from the outer surface 30 of the neutron absorber plates 22 respectively. Therefore, minute clearances can be created by such projected portions between the inner surface 29 of the sheath 7 and the outer surfaces 30 of the neutron absorber plates 22 so as to enable flow of the core water. Since the minute clearances are created by the projected portions of the axis portions 34 of the local spacers 32 as described above, full contact between the inner surface 29 of the sheath 7 and the outer surfaces 30 of the neutron absorber plates 22 can be prevented and also excessive generation of the oxide film on the outer surfaces 30 of the neutron absorber plates 22 can be suppressed. It is preferable that top ends of the axis portions 34 of the local spacer 32 should be shaped so as to reduce contact areas between the inner surface 29 of the sheath 7 and the top ends. For instance, it is preferable that the axis portions 34 should be formed to have a tapering shape respectively, or local convex portions should be provided on end surfaces of the axis portions 34 respectively. In order to prevent the long-term stagnation of the core water, grooves 36 are formed on surfaces of the local spacer (Hf spacer) 32. However, in the event that the corrosion problem due to the stagnation of the core water does not particularly become an issue, such grooves 36 are not always provided. The local spacer 32 is shown in FIGS. 2A and 2B by way of example. The shape of the local spacer 32 is not limited to the illustrated shape. In other words, if a portion of the local spacer, which is placed in the clearance formed between a pair of the neutron absorber plates (Hf plates) 22, can have a diameter slightly larger than that of the spacer through hole 35, the local spacer can be positioned upon welding. Therefore, any local spacer to satisfy the above may be employed. A left end shown in FIG. 2B is a side end portion of the cruciform tie rod 6. A convex cut 37 is formed on both side surfaces so as to leave a pair of outer thickness 38 which correspond to the thickness of the sheath 7. The top end portion of the sheath 7 is welded to top ends of a pair of convex portions 38 on both side surfaces of the cruciform tie rod 6 by using butt welding. In addition, in the side end portions of the neutron absorber plates 22 which are located on the tie rod 6 side, respective surfaces 39 facing to the sheath 7 side are formed thin. According to these structures, excessive heat application at welding of the sheath 7 can be prevented to thus improve the soundness of welding, the reactivity effect can be improved since a wing width of the neutron absorber plate (Hf plate) 22 is expanded, and the electrochemical corrosion problem such as clearance corrosion can be considerably relaxed by creating the clearance at the corner portions. As described above, according to the reactor control rod of the first embodiment, contact portions of the sheath 7 made of stainless steel to the members made of hafnium or hafnium alloy can be limited to the top end portions of the axis portions 34 of the local spacers 32. As a result, contact areas between metals of a different kind can be remarkably reduced and also the possibility of the electrochemical corrosion can be reduced. Furthermore, the minute clearance is created between the inner surface 29 of the sheath 7 and the outer surface 30 of the neutron absorber plate 22. As a result, the flow of the core water can be accelerated via the clearance, and exfoliation of the passive state oxide film which is formed on the surface of the neutron absorber plate 22 hardly occurs, so that the inside of the neutron absorber plate 22 can be protected over a long term. Since the corrosion problem can be relaxed extremely in this manner, the long-term reactor control rod, in which the nuclear lifetime and the electrochemical lifetime can be well balanced, can be derived. As a result, economical efficiency and safety of the nuclear power generation can be improved and also an amount of radioactive waste can be reduced. Second Embodiment Next, a reactor control rod according to a second embodiment of the present invention will be explained with reference to FIGS. 3A to 3E hereinbelow. A feature of the reactor control rod according to the second embodiment reside in that the load supporting rod used in the above first embodiment are formed as spacer/load supporting rods which can also be used as spacers. In the second embodiment, description of configurations common to those in the first embodiment will be omitted, but configurations of different constituent portions will be explained in detail in the following. FIG. 3A is a cross sectional view showing a pertinent portion of the reactor control rod according to the second embodiment. FIG. 3B is a view showing only a load supporting rod shown in FIG. 3A. FIG. 3C is a sectional view showing the load supporting rod taken along a line C--C in FIG. 3B. FIG. 3D is a sectional view showing the load supporting rod taken along a line D--D in FIG. 3B. FIG. 3E is a sectional view showing the pertinent portion of the reactor control rod taken along a line E--E in FIG. 3A. A difference of the second embodiment from the first embodiment is that, as shown in FIG. 3E, a spacer/load supporting rod 41 is constructed by inserting a load supporting rod 24 into an annular grooved spacer 40. In the second embodiment, the grooved spacer 40 is made of hafnium (Hf), and thus generation of the electrochemical corrosion between the grooved spacer 40 and the neutron absorber plate (Hf plate) 22 becomes difficult. But, in order to make perfection more perfect, grooves 42 are provided on the spacer/load supporting rod 41. Material for the grooved spacer 40 is not always limited to hafnium (Hf). The load supporting rod 24 is formed of stainless steel like the sheath 7 and thus the electrochemical corrosion will be anticipated between the load supporting rod 24 and the grooved spacer 40. Hence, as in the load supporting rod in the above first embodiment, a plurality of longitudinal grooves 31 are provided on a surface of a main body portion 27 of the load supporting rod 24 in substantially parallel with the longitudinal direction (axial direction). Thus, a consideration not to generate the long-term stagnation of the core water is taken. As shown in FIG. 3C, like the first embodiment, top end portions 26 of the load supporting rod 24 are scraped off so as to reduce its diameter to such extent that the longitudinal grooves 31 can be eliminated or more. As described above, according to the reactor control rod of the second embodiment, the spacer/load supporting rod 41 can be constructed by inserting the load supporting rod 24 into the annular grooved spacer 40. As a result, an interval between two opposing neutron absorber plates (Hf plates) 22 can be properly held still in the neighborhood of the load supporting rod 24. Because flow of the core water can be formed between constituent materials by the longitudinal grooves 31 of the load supporting rod 24 and the grooves 42 of the annular grooved spacer 40, the progress of corrosion can be suppressed. The spacer/load supporting rods 41 may be employed in place of the local spacers (Hf spacers) 32 (see FIGS. 2A and 2B) in the above first embodiment. Otherwise, four local spacers 32 are arranged as shown in FIGS. 2A and 2B and then the spacer/load supporting rods 41 may be provided separately from these local spacers 32. Third Embodiment A method of manufacturing a reactor control rod according to a third embodiment of the present invention will be explained with reference to FIG. 4 hereinbelow. FIG. 4 is a sectional view showing the method of manufacturing the reactor control rod according to the third embodiment. The method of manufacturing the reactor control rod according to the third embodiment is employed to manufacture the reactor control rod having the structure shown in FIGS. 1A and 1B. More particularly, this method is employed to manufacture the reactor control rod by securing the top end structure 4 and the bottom end structure 5 respectively to the longitudinal top end and the longitudinal bottom end of the long sheath 7 which has a deep U-shaped cross sectional shape, then aligning a plurality of plate-like neutron absorber elements 21 made of a long-life neutron absorbing material in the sheath 7 along the sheath longitudinal direction like the column to thus form the wing 2, and then fitting and securing the opening portions of the wings 2 to the tie rod (central structure) 6 to assemble a plurality of wings 2 in combination. As can be seen from FIG. 4, the reactor control rod, which is manufactured by the method of manufacturing the reactor control rod according to the third embodiment, is constructed by employing local spacers, of which body portions 33 are thiner than those of the local spacers (Hf spacers) 32 shown in FIG. 2B, and the spacer/load supporting rods 41 shown in FIG. 3A in combination. In the method of manufacturing the reactor control rod according to the third embodiment, first the supporting rod through holes 23 are formed so as to penetrate through the neutron absorber plate 22, acting as the neutron absorber element, along its thicknesswise direction, and then the load supporting rods 24 which are used to support the weight of the neutron absorber plate 22 by the sheath 7 are inserted into the supporting rod through holes 23. The spacer/load supporting rod 41 can be constructed by inserting the load supporting rod 24 having the longitudinal grooves 31 thereon into the grooved spacer 40. Then, the load supporting rods 24 are fitted into the supporting rod fitting holes 25 of the sheath 7. Then, while keeping minute clearances between the sheath 7 and the neutron absorber plates 22 by using a plurality of thin manufacturing spacers 43 which are interposed in the neighborhood of the load supporting rods 24, the top end portions 26 of the load supporting rods 24 are secured to the sheath 7 by welding. The manufacturing spacers 43 are interposed between the sheath 7 and the neutron absorber plates (Hf plates) 22 along the direction at a right angle relative to the longitudinal direction. In this manner, since a predetermined interval is assured between the sheath 7 and the neutron absorber plates 22 by the manufacturing spacers 43, relative positional relationships between the inner surface 29 of the sheath 7 and the outer surface 30 of the neutron absorber plate 22 can be precisely positioned when the top end portions 26 of the load supporting rods 24 are secured to the sheath 7 by welding. As shown in FIG. 4, the steps 28 of the load supporting rods 24 are slightly separated from the inner surfaces 29 of the sheath 7 in the state that the manufacturing spacers 43 are interposed between the sheath 7 and the neutron absorber plates 22. In this state, after the top end portions 26 of the load supporting rods 24 are secured to the sheath 7 by welding, the plurality of manufacturing spacers 43 are removed. Play between the neutron absorber plates 22 and the inner surfaces 29 of the sheath 7 can be prevented by the sheath dimpling, the local projection of the neutron absorber plate (Hf plate) 22, employment of the washer, the top axis structure, etc. if the case may be. As described above, according to the method of manufacturing the reactor control rod of the third embodiment, it is possible to manufacture the reactor control rod in which predetermined clearances are created between the inner surface 29 of the sheath 7 and the outer surfaces 30 of the neutron absorber plates 22. The reactor control rod being manufactured as above can achieve the same advantages as those in the above first and second embodiments. Also, according to the method of manufacturing the reactor control rod of the third embodiment, since the slight clearance can be created between the steps 28 of the load supporting rods 24 and the inner surface 29 of the sheath 7, a stagnation time of the core water in this area can be shortened. As has been explained in the above first and second embodiments, the mechanism utilizing the local spacers 32, etc. may be employed to hold the clearances between the inner surface 29 of the sheath 7 and the outer surfaces 30 of the neutron absorber plates (Hf plates) 22. If such clearances are held, the stagnation time of the core water can be shortened and in addition generation of a corrosion product can be suppressed. Fourth Embodiment Next, a reactor control rod according to a fourth embodiment of the present invention will be explained with reference to FIGS. 5A to 5B hereinbelow. In the reactor control rod according to the fourth embodiment, the local spacers in the above first embodiment are modified. In the fourth embodiment, description of configurations common to those in the first embodiment will be omitted, but configurations of different constituent portions will be explained in detail in the following. FIGS. 5A and 5B are views showing a pertinent portion of the wing 2 (see FIGS. 1A and 1B) of the reactor control rod according to the fourth embodiment of the present invention in an expanded manner. FIG. 5A is a sectional view showing the pertinent portion of the wing 2 of the reactor control rod taken along a line A--A in FIG. 5B. FIG. 5B is a cross sectional view showing the pertinent portion of the wing 2 of the reactor control rod taken along a line B--B in FIG. 5A. At first, a difference between the fourth embodiment and the first embodiment is the configuration and the arrangement location of the local spacers. More particularly, in the reactor control rod according to the fourth embodiment, a plurality of (e.g., four) local spacers 44 are positioned at end portions of the neutron absorber plates 22, acting as the neutron absorbing elements 21, along the sheath widthwise direction. Each of the local spacers 44 has a convex portion 45 which is interposed between a pair of neutron absorber plates 22 to hold a predetermined clearance therebetween. There are many modifications of the fourth embodiment. For example, as shown in FIG. 6A, the neutron absorber plate (Hf plate) 22 without the local spacer 44 may be curved or bent at the location of the local spacer 44 and then secured to the opposing neutron absorber plate (Hf plate) 22 by welding. As shown in FIG. 6B, the neutron absorber plate (Hf plate) 22 without the local spacer 44 may curved or bent at the end portions in the sheath widthwise direction and then secured by welding over the total length of the plate 22. The same advantages as those of the above first embodiment can be achieved by the reactor control rod according to the fourth embodiment. No strong friction can be generated between the inner surface 29 of the sheath 7 and the outer surfaces 30 of the neutron absorber plates 22, so that the passive state oxide film can be protected. Fifth Embodiment Next, a reactor control rod according to a fifth embodiment of the present invention will be explained with reference to FIG. 7 hereinbelow. In the reactor control rod according to the fifth embodiment, the configuration of the above first embodiment shown in FIG. 2 is partially modified. In the fifth embodiment, description of configurations common to those of the first embodiment will be omitted, but configurations of different constituent portions will be explained in detail in the following. FIG. 7 is a front view showing a part of a wing 2 of a reactor control rod according to the fifth embodiment in the state that the sheath 7 is removed therefrom. As shown in FIG. 7, in the reactor control rod according to the fifth embodiment, two spacer/load supporting rods 41 shown in FIG. 3 are provided in upper and lower areas of the neutron absorber plates 22, serving as the neutron absorbing elements 21, respectively. More particularly, in the configuration of the first embodiment shown in FIG. 2A, two load supporting rods 24 positioned in the middle area shown in FIG. 2A can be omitted by replacing the local spacers 32 positioned in upper and lower areas with the spacer/load supporting rods 41 shown in FIG. 3. In addition, in the reactor control rod according to the fifth embodiment, supporting rod through holes 23a formed in the upper area (the top end side along the sheath longitudinal direction) of the neutron absorber plates 22 and supporting rod through holes 23b formed in the lower area (the bottom end side along the sheath longitudinal direction) of the neutron absorber plates 22 are formed to have different shapes. In other words, an inner diameter of the upper supporting rod through hole 23a is set such that a clearance between a hole wall surface of the supporting rod through hole 23a and a peripheral surface of the main portion 27 of the load supporting rod 24 along the sheath longitudinal direction is reduced small. More specifically, the upper supporting rod through hole 23a is formed as a slightly longitudinal hole in the sheath widthwise direction (the lateral direction of FIG. 7), and is formed such that a clearance is scarcely provided in the sheath longitudinal direction (control rod inserting/withdrawing direction). The longitudinal hole in the sheath widthwise direction is provided to absorb the problem of difference in thermal expansion. The reason that the clearance is scarcely formed in the inserting/withdrawing direction is to prevent increase in the impact load which is applied to the load supporting rods 24 from the neutron absorber plates (Hf plates) 22 when the control rods are inserted and withdrawn. In contrast, an inner diameter of the lower supporting rod through hole 23b is set large so as to allow movement of the neutron absorber plates (Hf plates) 22 in the sheath longitudinal direction due to thermal expansion. Since there exists difference in thermal expansion between the neutron absorber plates (Hf plates) 22 and the sheath 7, the neutron absorber plates (Hf plates) 22, whose position along the sheath longitudinal direction is fixed by the upper load supporting rod 24, expands and contracts downward. Therefore, a sufficient clearance is provided in the lower supporting rod through hole 23b along the sheath longitudinal direction so as to respond to such expansion/ contraction. As one modification, two upper spacer/load supporting rods 41 or two lower spacer/load supporting rods 41 of four spacer/load supporting rods 41 may be replaced with the local spacers 32 shown in FIG. 2. The upper spacer/load supporting rods 41 or the lower spacer/ load supporting rods 41 are not bound by the load supporting rods 24 if the structure is set as above. As a result, such structure can correspond to the case where the neutron absorber plates (Hf plates) 22 is moved relative to the sheath 7 because of difference in thermal expansion. Sixth Embodiment Next, a reactor control rod according to a sixth embodiment of the present invention will be explained with reference to FIGS. 8A to 8B hereinbelow. In the reactor control rod according to the sixth embodiment, the configuration of the above first embodiment shown in FIG. 2 is partially modified. In the sixth embodiment, description of configurations common to those of the second embodiment will be omitted, but configurations of different constituent portions will be explained in detail in the following. FIG. 8A is a front view showing a reactor control rod according to a sixth embodiment of the present invention in the situation that a sheath is partially cut away. FIG. 8B is a front view showing a neutron absorber plate of the reactor control rod according to the sixth embodiment of the present invention. As shown in FIGS. 8A and 8B, in the reactor control rod 50 according to the six embodiment, a plurality of (three) supporting rod through holes 23 are formed linearly along the sheath longitudinal direction in the almost center area of the neutron absorber plate 22, acting as the neutron absorbing element 21, in the sheath longitudinal direction and the sheath widthwise direction. The load supporting rods 24 shown in FIG. 2 are inserted into these supporting rod through holes 23. In addition, in the reactor control rod according to the sixth embodiment, a pair of spacer through holes 35 are formed respectively in an upper area (top end side in the sheath longitudinal direction) and a lower area (bottom end side in the sheath longitudinal direction) of the neutron absorber plate 22. The local spacers 32 shown in FIG. 2 are fitted into the spacer through holes 35. Respective diameters and shapes of the supporting rod through holes 23 and the main body portions 27 (see FIG. 2B) of the load supporting rods 24 are set such that a clearance between hole wall surfaces of the supporting rod through holes 23 and peripheral surfaces of the main body portions 27 of the load supporting rods 24 in the sheath longitudinal direction can be set minutely. Accordingly, the weight of the neutron absorber plate 22 can be supported by the load supporting rods 24. In addition, if the neutron absorber plate 22 is moved relative to the sheath 7 relatively due to thermal expansion, relative movement is caused from the center portion in the vertical direction since the center portion of the neutron absorber plate 22 is fixed. As a result, even if the neutron absorber plate 22 and the sheath 7 are rubbed together because of their relative movement, a rubbing distance can be shortened, so that damage of the passive state oxide film formed on the surface of the neutron absorber plates (Hf plates) 22 can be suppressed. Besides, it is preferable that, like the upper supporting rod through hole 23a shown in FIG. 7, the supporting rod through holes 23 be formed as a longitudinal hole along the sheath widthwise direction. If the supporting rod through holes 23 are so formed, they can respond to the movement of the neutron absorber plate 22 in the sheath widthwise direction due to thermal expansion. Seventh Embodiment Next, a reactor control rod according to a seventh embodiment of the present invention will be explained with reference to FIG. 9 hereinbelow. The reactor control rod according to the seventh embodiment is common in basic structure to the reactor control rod having the structure shown in FIGS. 1A and 1B. More particularly, this reactor control rod according to the seventh embodiment is constructed by securing the top end structure 4 and the bottom end structure 5 respectively to the longitudinal top end and the longitudinal bottom end of the long sheath 7 which has a deep U-shaped cross sectional shape, then aligning a plurality of plate-like neutron absorber elements 21 made of long-life neutron absorbing material in the sheath 7 along the sheath longitudinal direction like the column to thus form the wing 2, and then fitting and securing the opening portions of the wings 2 to the tie rod (central structure) 6 to assemble a plurality of wings 2 in combination. More specifically, the reactor control rod according to the seventh embodiment is formed by modifying partially the configuration of the reactor control rod shown in FIG. 4. As shown in FIG. 9, in the reactor control rod according to the seventh embodiment, edge portions 60 and edge portions 61 of water feed holes 9 formed in the sheath 7, both are positioned on the neutron absorber plate 22 side (inner side) and the outer side respectively, are chamfered. Edge portions 60 of the water feed holes 9 are separated from the neutron absorber plate 22. Both edge portions of water feed holes 62 formed in the neutron absorber plate 22 are chamfered. In the reactor control rod according to the seventh embodiment, the edge portions 60 of the water feed holes 9 formed in the sheath 7, which are positioned on the neutron absorber plate 22 side, are chamfered. Therefore, even if corrosion product 63 is generated on surfaces of the neutron absorber plate (Hf plate) 22, such a situation that corrosion product is scraped off by flashes formed on the edge portions 60 of the water feed holes 9 in the sheath 7 upon movement by the thermal expansion can be eliminated. In order to supplement the description, normally the corrosion product is soft rather than original metal and its density is low, and therefore it can become inflated spatially. Unless the edge portions 60 of the water feed holes 9 are chamfered, such a phenomenon occurs that the corrosion product is scraped off in a thermal expansion cycle caused at start/stop, etc. of the reactor. The corrosion product being scraped off is discharged into the core water to stray therein. In the case of hafnium (Hf), the problem of radioactivity storage does not occur since a half life is relatively short like about 43 days, but a possibility that a radioactivity level of the core water, which has been reduced remarkably up to this day, is made worse even slightly can be supposed since Hf-181 (which emits gamma rays such as 482 keV, 346 keV, etc.) is contained. For this reason, the above problem can be overcome by the configuration of the reactor control rod according to the seventh embodiment. The seventh embodiment may be combined arbitrarily with any of the above first to sixth embodiment or eighth and ninth embodiments to be described later. Eighth Embodiment Next, a reactor control rod according to an eighth embodiment of the present invention will be explained hereinbelow. The eighth embodiment may be combined arbitrarily with any of the above first to seventh embodiment or a ninth embodiment to be described later. The reactor control rod according to the eighth embodiment is common in basic structure to the reactor control rod having the structure shown in FIGS. 1A and 1B. More particularly, this reactor control rod according to the eighth embodiment is constructed by securing the top end structure 4 and the bottom end structure 5 respectively to the longitudinal top end and the longitudinal bottom end of the long sheath 7 which has a deep U-shaped cross sectional shape, then aligning a plurality of plate-like neutron absorber elements 21 made of a long-life neutron absorbing material in the sheath 7 along the sheath longitudinal direction like the column to thus form the wing 2, and then fitting and securing the opening portions of the wings 2 to the tie rod (central structure) 6 to assemble a plurality of wings 2 in combination. However, the shape of the neutron absorbing element is not limited to a plate shape. In addition to the so-called trap type control rod employing the Hf plate shown in FIG. 1, the eighth embodiment may be applied to the control rod of a type in which a plurality of rod-like neutron absorbing material (e.g., Hf rods) are immersed directly in the core water. In the reactor control rod according to the eighth embodiment, the neutron absorber element is constructed by forming the neutron absorbing material containing at least hafnium into a plate, a rod, etc. Further, a hafnium density on the surface area of the neutron absorber element is set lower than an internal hafnium density. More particularly, the neutron absorber element of the reactor control rod according to the eighth embodiment is constructed by covering the surface of the Hf member formed a plate, a rod, etc. with an alloy containing the low Hf density (e.g., zircaloy-2, zircaloy-4, Hf--Zr alloy containing the low Hf density, etc.). Hafnium (Hf) and zirconium (Zr) are materials which can be employed to form an alloy at any rates, i.e., to form a full composition solid-solution type alloy. Such alloy having a different composition ratio is formed weldably. The passive state film having the low Hf composition ratio is formed on the surface of the neutron absorber element. Assume the case where this film is released once because of generation of a strong friction force, the reactivity value is not affected at all since the Hf density is low and also the Hf-Zr alloy, if employed, can less contribute to the increase of the radioactivity density of the core water since Zr is different to radioactivate rather than Hf. That is, the reactivity and radioactivity problems are scarcely caused. Since in principle the corrosion advances from the surface of the neutron absorber element, the corrosion of Zr first occurs in the reactor control rod according to the eighth embodiment and therefore start of the corrosion of Hf can be considerably deferred. Since Zr has the induced radioactivity density extremely lower than Hf and also Zr is used widely as the fuel rod covering tube, etc., the problem of increase of the radioactivity level due to the reactor control rod can be completely eliminated. As in the case where the zircaloy having excellent corrosion resistance has been invented by adding iron, chromium, nickel, tin, etc. into zirconium, the possibility that the corrosion resistance of Hf can be improved by adding these elements into the Hf member itself may be thought of, nevertheless no necessity of such improvement has arisen in the related art. It has already become evident that such improvement is effective for the Hf--Zr alloy. However, in case it is possible to aim at the longer lifetime of the control rod employing Hf, the advantage can be achieved by improving the corrosion resistance of the Hf itself much more and also coating the control rod with the zircaloy, etc. As described above, according to the reactor control rod of the eighth embodiment, the neutron absorber element is formed of the neutron absorbing material containing at least hafnium, and also the hafnium density on the surface portion of the neutron absorber element is set lower than its inner density. As a result, discharge of the hafnium into the core water because of corrosion of the neutron absorber element can be suppressed, so that the radioactivity level in the periodical inspection, for example, can be suppressed low. Ninth Embodiment Next, a reactor control rod according to an ninth embodiment of the present invention will be explained hereinbelow. The ninth embodiment may be combined arbitrarily with any of the above first to eighth embodiments. The reactor control rod according to the ninth embodiment is common in basic structure to the reactor control rod having the structure shown in FIGS. 1A and 1B. More particularly, this reactor control rod according to the ninth embodiment is constructed by securing the top end structure 4 and the bottom end structure 5 respectively to the longitudinal top end and the longitudinal bottom end of the long sheath 7 which has a deep U-shaped cross sectional shape, then aligning a plurality of plate-like neutron absorber elements 21 made of long-life neutron absorbing material in the sheath 7 along the sheath longitudinal direction like the column to thus form the wing 2, and then fitting and securing the opening portions of the wings 2 to the tie rod (central structure) 6 to assemble a plurality of wings 2 in combination. However, the shape of the neutron absorbing element is not limited to a plate shape. In addition to the so-called trap type control rod employing the Hf plate shown in FIG. 1, the eighth embodiment may be applied to the control rod of a type in which a plurality of rod-like neutron absorbing material (e.g., Hf rods) are immersed directly in the core water. The reactor control rod according to the ninth embodiment is characterized in that an effective surface area can be reduced by processing the surface of the neutron absorber element to improve smoothness. That is, the effective surface area of the surface of the Hf member such as the plate, the rod, etc. to thus suppress an amount of surface corrosion. In order to supplement the description, since there is a minute unevenness on the actual surface of the neutron absorber element and such uneven surfaces contact with the core water, the actual surface area (reaction area) is increased remarkably rather than the apparent surface area. In addition, unevenness on the surface of the neutron absorber element causes the stagnation of the core water and also causes the corrosion. Therefore, if the unevenness on the surface like the reactor control rod according to the ninth embodiment is suppressed, an amount of the corrosion product can be suppressed considerably. There are many known methods as the method of suppressing the unevenness on the surface. For example, there are mechanical polishing, chemical polishing (chemical processing), electrochemical polishing (electro- chemical processing), composite polishing employing above polishing in combination, or the like. In the above first to ninth embodiments of the present invention, the description of the example of "the trap type configuration in which two sheets of Hf plates are opposed to sandwich the water gap inside the sheath made of stainless steel" has been made mainly. But most of the embodiments of the present invention may be applied to the control rod of a type in which Hf is exposed directly to the core water. In the above embodiments of the present invention, the description of the control rod employing the integral type center assembling material (tie rod) has been made. But the present invention may be applied to the "control rod of the type in which Hf is exposed to the core water", i.e., in which independent structural materials which have been developed in Europe and in which center axes of the control rods are not perfectly integrated with each other is employed. As described above, according to the reactor control rod of the present invention, since the minute clearance can be formed without fail between the sheath and the neutron absorber elements, contact areas between metals of a different kind can be remarkably reduced and also the possibility of the electrochemical corrosion can be reduced. Also, damage of the passive state oxide film formed on the surface of the neutron absorber element can be prevented. Since the corrosion problem can be relaxed extremely in this manner, the long-term reactor control rod in which the nuclear lifetime and the electrochemical lifetime can be well balanced can be obtained and economical efficiency and safety of the nuclear power generation can be improved. Also, an amount of radioactive waste can be reduced. According to the reactor control rod of the present invention, the neutron absorber element is formed of the neutron absorbing material containing at least hafnium, and also the hafnium density on the surface portion of the neutron absorber element is set lower than its inner density. As a result, discharge of the hafnium into the core water because of corrosion of the neutron absorber element can be suppressed. According to the reactor control rod of the present invention, since the effective surface area can be reduced by processing the surface of the neutron absorber element to improve smoothness, an amount of surface corrosion of the neutron absorber element can be suppressed. According to the reactor control rod of the present invention, since the edge portions of the water feed holes formed in the sheath, which are positioned on the neutron absorber plate side, are chamfered, discharge of the corrosion product generated on the surface of the neutron absorber element into the core water can be suppressed. Moreover, according to the method of manufacturing the reactor control rod of the present invention, it is possible to manufacture the reactor control rod in which the minute clearance can be formed without fail between the sheath and the neutron absorber elements. The reactor control rod being manufactured in this manner can suppress generation of the corrosion product. Since the corrosion problem can be relaxed extremely in this manner, the long-term reactor control rod in which the nuclear lifetime and the electrochemical lifetime can be well balanced can be obtained. As a result, economical efficiency and safety of the nuclear power generation can be improved and also an amount of radioactive waste can be reduced.
abstract
The invention relates to an inexpensively-/easily-decommissionable nuclear power plant, where a nuclear isle of one or more nuclear power-stations is installed in caverns, and further, side by side with them, a center for characterizing, treating and conditioning radioactive wastes and two repositories are installed in suitable caverns, with a final repository being adapted to store low-intermediate level nuclear wastes and a temporary repository being adapted to store spent fuel, high-level long-life radioactive materials and, in case, spare nuclear rods for reactor refueling.
claims
1. An iodine-loaded waste form, comprising a glassy body comprised of a mixture of a LST (Low-Sintering-Temperature) glass and an iodine-loaded material;wherein the LST glass has a sintering temperature that ranges from 425° C. to 550° C.;wherein the LST glass comprises bismuth oxide and at least one element selected from the group consisting of oxides of Pb, Bi, Zn and B; andwherein the iodine-loaded material is selected from the group consisting of AgI, AgI-zeolite, Ag-silica aerogel, ZnI2, CuI, and a bismuth-oxy-iodine compound having a thermal decomposition temperature greater than the sintering temperature of the LST glass. 2. The iodine-loaded waste form of claim 1, wherein the iodine comprises radioactive iodine. 3. The iodine-loaded waste form of claim 1, wherein the LST glass comprises boron and bismuth. 4. The iodine-loaded waste form of claim 3, wherein the LST glass comprises boron, bismuth, and zinc; and has a sintering temperature of about 500° C. 5. The iodine-loaded waste form of claim 4, wherein the LST glass comprises 51-55 wt % Bi, 21-22 wt % Zn, and 3-4 wt % B, with the remainder comprising O, and comprising essentially no lead or silicon. 6. The iodine-loaded waste form of claim 5, wherein the iodine-loaded material comprises AgI-zeolite, and the zeolite comprises Mordenite zeolite. 7. The iodine-loaded waste form of claim 1, wherein the iodine-loaded material comprises AgI, and the concentration of AgI in the waste form ranges from 20 wt % to 60 wt % AgI. 8. The iodine-loaded waste form of claim 1, wherein the AgI is homogeneously dissolved throughout the iodine-loaded waste form. 9. The iodine-loaded waste form of claim 1, wherein the iodine-loaded material comprises AgI-zeolite, and the zeolite comprises Mordenite zeolite. 10. The iodine-loaded waste form of claim 1, wherein the iodine-loaded material comprises a bismuth-oxy-iodine compound having a thermal decomposition temperature greater than 550° C. 11. The iodine-loaded waste form of claim 10, wherein the bismuth-oxy-iodine compound comprises Bi5O7I. 12. The iodine-loaded waste form of claim 1, wherein the iodine-loaded waste form is surrounded by a protective coating comprising Bi2O3. 13. The iodine-loaded waste form of claim 1, wherein the iodine-loaded waste form is surrounded by a protective coating comprising Bi5O7I. 14. An iodine-loaded waste form, comprising a glassy body comprised of a mixture of a LST (Low-Sintering-Temperature) glass and an iodine-loaded material;wherein the LST glass comprises 51-55 wt % Bi, 21-22 wt % Zn, and 3-4 wt % B, with the remainder comprising O, and comprising essentially no lead or silicon;wherein the LST glass has a sintering temperature of about 500° C.;wherein the iodine-loaded material comprises AgI-zeolite; andwherein the zeolite comprises Mordenite zeolite. 15. A method of forming a glassy iodine-loaded waste form, comprising:a) mixing powdered frits of a LST (Low-Sintering-Temperature) glass with particles or powders of an iodine-loaded material, wherein the LST glass comprises bismuth oxide and at least one element selected from the group consisting of oxides of Pb, Bi, Zn and B; and wherein the iodine-loaded material is selected from the group consisting of AgI, AgI-zeolite, Ag-silica aerogel, ZnI2, CuI, and a bismuth-oxy-iodine compound having a thermal decomposition temperature greater than the sintering temperature of the LST glass.b) heating the mixture in an oxidizing environment at a temperature that ranges from 425° C. to 550° C., which sinters and densifies the mixture; and thenc) cooling the sintered mixture. 16. The method of claim 15, wherein the iodine comprises radioactive iodine. 17. The method of claim 15, wherein the LST glass comprises boron and bismuth. 18. The method of claim 17, wherein the LST glass comprises boron, bismuth, and zinc; and has a sintering temperature of about 500° C. 19. The method of claim 15, wherein the concentration of AgI in the waste form ranges from 20 wt % to 60 wt % AgI. 20. The method of claim 15, wherein the LST glass comprises 51-55 wt % Bi, 21-22 wt % Zn, and 3-4 wt % B, with the remainder comprising O, and comprising essentially no lead or silicon. 21. The method of claim 20, wherein the iodine-loaded material comprises AgI-zeolite, and the zeolite comprises Mordenite zeolite. 22. The method of claim 15, wherein the AgI is homogeneously dissolved throughout the iodine-loaded waste form. 23. The method of claim 15, wherein the iodine-loaded material comprises AgI-zeolite, and the zeolite comprises Mordenite zeolite. 24. The method of claim 15, wherein the iodine-loaded material comprises a bismuth-oxy-iodine compound having a thermal decomposition temperature greater than 550° C. 25. The method of claim 15, wherein the bismuth-oxy-iodine compound comprises Bi5O7I. 26. The method of claim 15, further comprising after step c) surrounding the glassy iodine-loaded waste form with a protective coating comprising Bi2O3. 27. The method of claim 15, further comprising after step c) surrounding the glassy iodine-loaded waste form with a protective coating comprising Bi5O7I.
052415708
claims
1. A method of removing a control rod from a boiling-water nuclear reactor, said control rod having plural blades, said method comprising: removing a top head of said reactor; removing all fuel bundles from a fuel support having an aperture conforming to the cross section of said control rod through its blades; positioning a control rod so that its blades clear said aperture sufficiently to permit decoupling of said control rod; rotating said control rod so as to decouple it from a control-rod drive; and lifting said control rod out of said reactor. a control rod having a plurality of blades; a control-rod guide tube for guiding vertical motion of said control rod; a fuel support for supporting fuel bundles separated by said blades, said fuel support having an aperture conforming to a cross section of said control rod through said blades for preventing rotational movement of said control rod to a decoupling orientation when said control rod is between a maximum power position and a minimum power position, said minimum power position being above said maximum power position, said fuel support being supported by said control-rod guide tube; control-rod drive means for controlling vertical motion of said control rod, said control-rod drive means providing for vertical motion between said maximum power position and said minimum power position, said control-rod drive means providing for vertical movement to a decoupling position, said decoupling position being no lower than said minimum power position, said decoupling position being at a level sufficient to permit said control rod to rotate to a decoupling orientation relative to said fuel support; and coupling means for coupling said control rod to said control-rod drive means, said coupling means being releasable by rotational movement of said control rod to said decoupling orientation relative to said control-rod drive means. 2. A method as recited in claim 1 further comprising an initial step of inserting all control rods to respective minimum power positions, said positioning step involving moving said control rod to a decoupling position above its minimum power position. 3. A core-control assembly comprising: 4. An assembly as recited in claim 3 wherein said decoupling position is the same as said minimum power position. 5. An assembly as recited in claim 3 wherein said decoupling position is above said minimum power position. 6. An assembly as recited in claim 3 wherein said fuel support is welded to said control-rod guide tube.
abstract
A mechanical decladder for a spent nuclear fuel rod-cut includes an opening and closing unit configured to open and close an outlet of a basket into which the nuclear fuel rod-cut is loaded, a supporter on which the nuclear fuel rod-cut discharged from the outlet of the basket is seated, a hydraulic cylinder module configured to move the nuclear fuel rod-cut seated on the supporter; and a cutting module for slitting the nuclear fuel rod-cut while the nuclear fuel rod-cut is being moved by the hydraulic cylinder module. The opening and closing unit opens and closes the outlet of the basket in conjunction with a movement of the hydraulic cylinder module.
claims
1. A reactor instrumentation system for monitoring a state of a reactor, comprising:an instrumentation tube disposed in a reactor core;a gas flow pipe disposed in the instrumentation tube;a pump connected to the gas flow pipe and configured to supply gas containing oxygen into the gas flow pipe; anda nuclide analysis device connected to the gas flow pipe outside of the reactor core and configured to measure gamma rays emitted from one or more types of nuclides, including N-16, in the gas which has flowed out through the gas flow pipe in the instrumentation tube in the reactor core,wherein the gas flow pipe includes a plurality of pipes that extend different lengths in the same instrumentation tube in the reactor core, and the nuclide analysis device includes a plurality of nuclide analysis devices configured to respectively measure the gamma rays emitted from the plurality of pipes, andwherein a number of the gas flow pipes is equal to a number of neutron detectors in the reactor core and the gas flow pipes extend different lengths corresponding to the neutron detectors in the instrumentation tube. 2. The reactor instrumentation system according to claim 1, whereinthe nuclide analysis device is further configured to measure gamma rays emitted from O-19 in the gas flow pipe. 3. The reactor instrumentation system according to claim 1, further comprising:a monitoring device connected to the nuclide analysis device and configured to monitor reactor power on the basis of a measurement result transmitted from the nuclide analysis device. 4. The reactor instrumentation system according to claim 1, whereinsensitivity of the neutron detectors is calibrated on the basis of a measurement result from the nuclide analysis device. 5. The reactor instrumentation system according to claim 1, whereinan energy distribution of neutrons at an observation position is estimated on the basis of measurement results of the gamma rays emitted from O-19 and N-16 generated by irradiating the oxygen in the gas flow pipe with neutrons in the reactor core. 6. The reactor instrumentation system according to claim 1, whereinthe gas containing oxygen is carbon dioxide. 7. A reactor, comprising:a reactor pressure vessel; anda reactor core provided in the reactor pressure vessel,an instrumentation tube disposed in the reactor core;a gas flow pipe disposed in the instrumentation tube;a pump connected to the gas flow pipe and configured to supply gas containing oxygen into the gas flow pipe; anda nuclide analysis device connected to the gas flow pipe and configured to measure gamma rays emitted from one or more types of nuclides, including N-16, in the gas which has flowed out through the gas flow pipe in the instrumentation tube in the reactor core,wherein the gas flow pipe includes a plurality of pipes that extend different lengths in the same instrumentation tube in the reactor core, and the nuclide analysis device includes a plurality of nuclide analysis devices configured to respectively measure the gamma rays emitted from the plurality of pipes, andwherein a number of the gas flow pipes is equal to a number of neutron detectors in the reactor core and the gas flow pipes extend different lengths corresponding to the neutron detectors in the instrumentation tube.
description
This application is a continuation of U.S. patent application Ser. No. 12/511,341 which was filed on Jul. 29, 2009, the entirety of which is incorporated by reference herein. This invention was made with Government support under Contract DE-AC05-76RLO1830 awarded by the U.S. Department of Energy. The Government has certain rights in the invention. The present disclosure relates generally to compositions and the treatment of uranium-comprising materials. Particular embodiments of the disclosure relate to compositions and methods as well as systems for treating nuclear fuel. As part of the process for utilizing nuclear fuel to generate power, the fuel is irradiated. The fuel can be, for example, UO2-based fuel, Mixed Oxide (MOX) fuels, and/or U-metal fuels. Irradiated nuclear fuel can be the product of the irradiation of many kinds of commercial fuels as well as defense fuels, and these fuels can be classified as spent fuel and/or irradiated uranium. Processing this fuel, either before or after irradiation, can be problematic. Irradiated fuel can be particularly problematic in view of the various transmutated and fission products that are generated. Problems associated with the processing can include environmental and/or health hazards as well as problems associated with nuclear material safeguards and security, cost, storage, and/or disposal. From an environmental and/or health standpoint for example, the fuel can contain components that have been classified as environmentally hazardous and/or toxic that must meet regulatory processing and disposition requirements. For example, certain actinide and fission products can dictate handling fuel according to comparably expensive methods rather than comparably inexpensive methods were such components below regulated levels. This handling can include highly regulated storage and/or disposal techniques. Also, previous processing techniques can introduce and/or generate environmentally hazardous components such as organic solvents and/or NOX, for example. Further, the fuel contains valuable components whereby the recycling or extraction of such components is highly desirable. Uranium and/or plutonium within the fuel, for example, are valuable components that if recycled can provide for more cost efficient fuel and energy production as well as less waste for storage and/or disposal. In addition, the extraction of industrial- and medically-useful radioisotopes such as molybdenum-99 can be desirable. In the past, these fuels have been treated according to what is referred to as the Plutonium and Uranium Extraction (PUREX) process. Generally, the fuel has been exposed to a hot nitric acid bath to isolate the uranium by oxidizing the UO2 to UO22+, for example. This process can dissolve the fuel matrix as well as all the fission products. While a great deal of energy is necessary to dissolve the fuel in hot nitric acid, aside from this additional energy expense, as the UO2 fuel is dissolved in the nitric acid, a large volume of NO and NO2 gases are formed and sent up processing stacks. Some noble gas fission products such as xenon and krypton can be completely released and proceed up the stacks as well. It has been found that fission products such as iodine and bromine also appear in the stack gases. Ruthenium also evaporates and can condense in the stacks. Tritium can be expelled up the stacks as well. Undissolved components of the hot nitric acid treatment can remain, and these components can include Mo, Tc, Ru, Rh, and Pd. Following the nitric acid extraction, organic extractants such as tributyl phosphate (TBP) are dissolved in organic solvents and used to facilitate the separation of the actinides from each other and from other fission products. Problematically, these processing techniques accumulate combustible organic solvents and corrosive acids which can result in radiation induced solvent degradation. Further, valuable actinides may be lost among fission product waste and highly radiotoxic mixed wastes may be generated. Compositions are provided that include irradiated nuclear fuel and ammonium. According to example implementations, the compositions can include the irradiated nuclear fuel and alkaline carbonates such as ammonium carbonate. Compositions are also provided that include irradiated nuclear fuel; carbonate; and peroxide. Methods for treating irradiated nuclear fuel are provided. The methods can include exposing the fuel to a carbonate-peroxide solution to dissolve at least a portion of the uranium into the solution. Methods can also include exposing the fuel to an ammonium solution to dissolve at least a portion of the uranium into the solution, and removing at least some of the ammonium from the solution to acquire the uranium. Methods can include preparing a mixture comprising the fuel and an aqueous solution; and maintaining the mixture below a temperature of about 100° C. while dissolving at least a portion of the uranium of the fuel into the solution. Methods for acquiring molybdenum from a uranium comprising material are provided. The methods can include exposing the material to an aqueous solution to form a mixture comprising a solid phase and a liquid phase; separating the solid phase from the liquid phase; and exposing at least a portion of the liquid phase to an adsorbent to remove at least some of the solute from the liquid phase and form an effluent containing the molybdenum. This disclosure is submitted in furtherance of the constitutional purposes of the U.S. Patent Laws “to promote the progress of science and useful arts” (Article 1, Section 8). The compositions, methods and systems of the present disclosure will be described with reference to FIGS. 1-6F. Referring first to FIG. 1, a general depiction of a system is shown that may be utilized to provide the compositions and/or perform the methods as described herein. As depicted, system 10 can be considered a continuous dissolution system; however, other systems may be utilized. For example, systems configured to perform processes such as decanting and/or batch filtration may be utilized. System 10 can include a vessel 14 and material 16. Vessel 14 can be coupled to conduit 12 as well as conduit 18. Vessel 14 may be configured to also include a filter material 20. Filter material 20 can be configured to support material 16 and act to confine solid material within vessel 14 while allowing liquid materials to pass through to conduit 18. According to example implementations, the volume confined by vessel 14 can be in fluid communication with both conduits 12 and 18. Conduits 12 and 18 can be coupled with pumps and/or valves to facilitate the metered transfer of a solution through vessel 14. System 10 may be utilized to expose the solution to material 16. According to example implementations, this metered transfer can facilitate the dissolution of material 16 using the solution provided from conduit 12. Material 16 can be irradiated nuclear fuel such as the irradiated nuclear fuel noted above. This fuel can include uranium, transuranics such as plutonium, and/or fission products. It is understood that the chemistry of irradiated nuclear fuel is complex as well as unpredictable, making the treatment of irradiated nuclear fuel difficult and not simply a matter of routine experimentation. The composition of the irradiated nuclear fuel can vary widely depending on the original fuel composition and the type of irradiation performed. The nuclear fuel may have been manufactured for industrial or defense purposes and may have been irradiated according to either protocol. These variables can change the chemistry of the fuel dramatically. To further complicate matters, the irradiated fuel may have been treated after irradiation. For example, material 16 can be oxidized prior to processing as described herein and as oxidized, material 16 may include U3O8 and/or UO3, for example. Material 16 can be in the form of pellets, for example, maintaining the shape in which it was manufactured for irradiation. According to example implementations, material 16 can be comprised of particles having average sizes of less than 200 μm. According to other example implementations, the particles can have an average size from about 5 to about 200 μm. The size can also range from about 25 μm to about 200 μm, and in other implementations, the size can range from about 5 μm to about 25 μm. System 10 can be configured to expose a solution to material 16 within vessel 14. The solution can include one or more of carbonate, peroxide, and ammonium, such as an ammonium cation. The solution can be a carbonate-peroxide solution, an ammonium solution, and/or an ammonium carbonate solution. According to an example implementation, the solution can include ammonium carbonate and peroxide. While ammonium carbonate can be utilized, other carbonates such as sodium carbonate and potassium carbonate may be utilized as well. Ammonium carbonate may be preferred because it can be removed from the product solution via evaporation. The peroxide can be in the form of hydrogen peroxide. The peroxide within the solution may be at least 0.05 M, for example. The solution may contain at least 0.1 M carbonate species, which may be in the form of ammonium carbonate, for example. The ammonium carbonate within the solution may be at least 0.1 M of the solution prior to being exposed to the material 16. The solution may also be saturated with carbonate species or as high as 2.2 M in some instances. According to example implementations, the ammonium carbonate within the solution can be greater than the concentration of peroxide within the solution. The solution can be saturated with ammonium carbonate and also include 30% (v/v) of a 30% (wt/wt) hydrogen peroxide solution. The solution may have pH>7 and may be as high as 10 or higher. The solution can be exposed to material 16 at room temperature and atmospheric pressure, for example. Upon being exposed to material 16, the solution and material 16 can form a composition such as a mixture. The mixture can be heterogeneous and include both a solid and liquid phase. According to example implementations, the mixture within vessel 14 can include the irradiated fuel as well as ammonium. The mixture within vessel 14 can include the irradiated nuclear fuel as well as carbonate and peroxide. The mixture can also have at least some of the ammonium comprised of the liquid phase, and at least some of the solid phase comprising the fission products. As disclosed, at least some of the uranium of material 16 within vessel 14 can then be comprised of the liquid phase upon dissolution of material 16 with the solution. According to example implementations, upon exposure of material 16 to the solution, the uranium within material 16 may oxidize and form a series of carbonate-peroxide complexes. These complexes may ultimately convert to the soluble UO2(CO3)34−, becoming part of the liquid phase. The mixture can also include fission products and transuranics which can precipitate as carbonates and hydroxides, thus remaining in the solid phase. The noble metal phase of the irradiated fuel may form a black suspension in the product, thereby remaining in the solid phase as well. According to example embodiments, the partitioning of components of material 16 is detailed in the remaining Figures. Referring to FIG. 2, a general scheme for the processing of material 16 is shown wherein material 16 is exposed to the solution containing carbonate and peroxide to form a liquid phase, a solid phase, and a gas phase, for example. Upon exposure of material 16 to the solution, the UO2 can oxidize and dissolve, forming a series of soluble peroxide carbonate complexes which enter the liquid phase. The peroxide within the solution can decompose within a few hours, leaving the dissolved uranium in the uranium oxide carbonate form described. The ammonium salt of this anion may be only moderately soluble and strong ammonium carbonate solutions may precipitate large yellow crystals of (NH4)4UO2(CO3)3 (ammonium uranyl carbonate). This compound may be redissolved by reducing the ammonium carbonate concentration to about 0.05 M, thus returning the complex to the liquid phase. Additional hydrogen peroxide may also be utilized to dissolve the ammonium uranyl carbonate into the liquid phase. Several fission products and transuranic elements can form moderately soluble carbonate complexes and be a part of the M-CO3(I) shown in FIG. 2 at high carbonate concentration. The lanthanides, zirconium, and Pu(IV) may be a part of these carbonates. Where the carbonate concentration is reduced, perhaps later in the process through the evaporation of the ammonium carbonate complex, these moderately soluble carbonate complexes may precipitate and return to the solid phase. The M-CO3(I) of the liquid phase can include UO2(CO3)34− and dilute (NH4)2CO3 with various fission products. The liquid phase can have substantially all of the Cs+ and Rb+ and part of the Mo and Tc (as MoO42− and TcO4−). The liquid phase can also contain Se and Te (as, for example, SeO32− and TeO32−) as well as most of the Br and I (as Br− and I−). The liquid phase can also contain Pd as cationic amine complexes. Lanthanides, actinides, and Zr can be present at a low concentration as carbonate complexes. As shown in FIG. 2, there is an off gas (OG) or gas phase that may be generated during the process. This OG phase can include O2 via the decomposition of peroxide. Other gases that may evolve can include NH3, CO2, and H2O. Small amounts of Xe and Kr may also appear in the OG phase. According to example implementations, the noble gas fission products may be captured from this process. The Xe and Kr can be absorbed onto a silica gel at −20° C. after freezing the water and ammonium carbonate on a cold finger cooled with dry ice, for example while allowing oxygen to pass into the atmosphere. The OG can be generated from highest to lowest volume in the following order: O2, H2O, NH3, CO2, Xe, and Kr. FIG. 2 further depicts solids (####, (s)) which can remain in the solid phase upon dissolution of a portion of material 16 and/or form upon dissolution, as a precipitate, for example. These solids can include BaCO3, SrCO3, Ln2(CO3)3, Zr (a non-stoichiometric hydrous oxide), a metallic phase of Mo, Tc, Ru, Rh, and Pd, hydrous RuO2, AgI, In(OH)3, SbO(OH)3, hydrous SnO2, and the transuranic elements. The solids can include Se and As as a non-stoichiometric zirconium selenite and arsenate. Further, the solids can include Pu, Np peroxy carbonates, and/or Am and Cm carbonates. An example dissolution stoichiometry for UO2 can be as follows:UO2+H2O2+3(NH4)2CO3→(NH4)4UO2(CO3)3+2NH4OH  (1) Consumption of H2O2 can be greater than indicated by equation (1). Formation and decomposition of various uranyl peroxide-carbonate complexes can influence H2O2 consumption. Fission products and higher actinides may consume a somewhat smaller amount of peroxide, carbonate, and hydroxide. The dissolution can generate hydroxide, but the solution may be buffered and the pH should not change materially. In accordance with an example dissolution of 15 grams of UO2: 15 g of UO2=13.2 g of U=0.0556 mole U Carbonate consumed=0.167 mole Peroxide consumed can be approximately 0.2 mole or greater. According to example implementations, the method may utilize 150 mL 1.5M (NH4)2CO3 and 30 mL 30% (10.5M) H2O2 solution. The final concentrations can be 0.6 M (NH4)2CO3 and 0.6 M H2O2. Hydrogen peroxide can be continually decomposing throughout the dissolution and may be below detection limits in a matter of hours. As hydrogen peroxide is consumed, more can be added to continue the oxidation of the UO2 within the irradiated nuclear fuel. The volume of O2 generated can be calculated from the difference between the total volume of H2O2 added and the amount consumed by actual oxidation of UO2 to UO22+. (The amount consumed by oxidation of other actinides and the fission products can be small compared to oxidation of uranium, and may be ignored).2H2O2→2H2O+O2  (2) In accordance with Eq. (2), the total moles H2O2 added=0.315 moles, moles H2O2 consumed by UO2=0.0556 moles, and moles H2O2 left to decompose to O2=0.26 moles. The moles O2 generated=0.13 moles and volume of O2 gas generated=2.9 liters at room temperature. If more H2O2 is added during the dissolution to make up for decomposition, then the volume of oxygen gas can be greater by that amount. Spent fuel may decompose H2O2 faster than unirradiated UO2. Upon exposure of material 16 to the solution containing carbonate and peroxide, for example, a mixture that includes at least two of a liquid phase, a solid phase, and a gas phase, are demonstrated to be formed. The phases of the mixture may be separated by classic liquid/solid/gas separation techniques such as filtration and/or evaporation. This separation can include continuous liquid dissolution and separation as well as decanting or batch filtration, for example. Referring again to FIG. 1, filter material 20 may be configured to provide such filtration. Upon filtration, the liquid phase can include a substantial amount of uranium and/or plutonium of the irradiated fuel. In contrast with the PUREX process for example, embodiments of the present disclosure provide methods, compositions, and/or systems that can be utilized to process irradiated fuel with substantially less adverse environmental impact, hazardous risk, and cost. As demonstrated, embodiments of the present disclosure utilize relatively benign aqueous solutions to process the irradiated fuel and these aqueous solutions can be utilized at ambient temperatures and pressures requiring substantially lower energy costs. The PUREX process, on the other hand, utilizes heated nitric acid solutions which require both substantial environmental and toxic exposure preventive measures as well as substantial energy. Further, and in accordance with example implementations, the solutions utilized to dissolve the irradiated fuel can be considered “green reagents” in that they are generally recyclable or they decompose in water or air without adding waste volume. Referring to FIG. 3, an overall flow scheme for treating material 16 is shown that includes exposing material 16 to solution 12 to form a mixture and then separating the solid and liquid phases at step 32. Upon separation there remains a liquid fraction 34 as well as a solid fraction 36. The solid fraction can be dissolved yet again in a carbonate solution 38. The carbonate solution can be the ammonium carbonate peroxide solution described herein, as well as other carbonate peroxide solutions, for example. Upon suspension of the solid 36 into solution 38, a solid phase 44 can be separated at 40. The liquid phase 42 can be combined with liquid phase 34 at 46. According to example implementations this combined liquid phase can be heated at 48 to evaporate off ammonium carbonate in the solution in the form of gas 52 as well as separation at 50 to provide product solution 56 and solid 54. Solid 54 can be combined with the solids 44 to provide solid product material 58. According to example implementations, these processes can be performed while maintaining the temperature of the mixtures below about 100° C. Utilizing the methods, compositions, and/or systems of the present disclosure to treat the irradiated material, actinides such as neptunium and plutonium may be dissolved with the uranium of the material. Hydrogen peroxide can be maintained in the mixture to retain some actinides in the liquid phase. Actinides in heptavalent forms can be produced in the mixture. These actinides can be a stable portion of the liquid phase, and may be separated from one another by adjusting the pH of the liquid phase. For example, heptavalent neptunium may be stable in aerated solutions at low pH, while at this low pH heptavalent plutonium may precipitate. Alternatively, at high pH, the heptavalent plutonium may be stable in the liquid phase and the heptavalent neptunium may precipitate. The solubility stability of other actinides such as Am and Cm may be exploited to foster separation as well. With reference to FIGS. 4A and 4B, a more detailed scheme is shown that includes the processing of irradiated nuclear fuel including UO2 and fission products that also includes the actinides Np, Pu, Am, and Cm, and Sr. This fuel can be exposed to a solution including ammonium carbonate and peroxide. Upon exposure, a heterogeneous mixture including the liquid phase (soluble fraction) and a solid phase (insoluble fraction) are formed. The phases can be separated by filtration to provide separate solid and liquid phases. The soluble species can include those listed as well as mechanically entrained species that may also be present in the liquid phase. Referring to FIGS. 4A & 4B, the insoluble fraction may include mechanically entrained soluble species. The insoluble fraction can contain Sr, Ba, Zr, Se, As, Mo, Tc, Ru, Rh, Pd (metallic phase), Ag, In, Ge, Sn, Sb, Np, Pu, Am, and Cm. This solid phase may be exposed (resuspended) in an ammonium carbonate solution such as a 1.5M (NH4)2CO3 solution for about 30 min to form another heterogeneous mixture that includes both solid and liquid phases. These phases can be separated and the liquid phases combined. The remaining solid phase may be exposed (washed) to another 1.5M (NH4)2CO3 solution before being combined with solids recovered from further liquid phase processing. Referring to FIG. 4B, the liquid phase recovered from the initial separation can be about a 0.6M (NH4)2CO3 aqueous solution having U, Rb, Cs, Mo, Tc, Pd, Cd, Ag, As, Se, Te, Br, and/or I. This solution may contain low concentrations of carbonate complexes of Zr, Ln, Np, Pu, Am, and/or Cm. The solution can be warmed to 40-90° C. for 20-40 min. to decompose most of the (NH4)2CO3 and peroxide. This warming can result in the precipitation of a solid phase which can be separated and combined with the previously separated solids. The remaining liquid phase can be about 0.05M (NH4)2CO3 and contain U, Rb, Cs, Mo, Pd, Cd, Ag, As, Se, Te, Br, and/or I. In accordance with example implementations, the actinides are relegated to the solid phase product while the liquid phase product contains substantially all of the uranium. Thus, the actinides can be separated from the uranium of the irradiated fuel utilizing relatively benign reagents and process parameters. Implementations of the embodiments of the disclosure can provide for the separation of these components while generating little environmental waste and utilizing relatively low amounts of energy. To compare implementations of the methods, systems, and/or compositions of the present disclosure to that of the prior processing techniques, the following non-limiting examples are provided. Carbonate-Peroxide Dissolution. 50-mg subsamples of fuel can be placed into a 125-mL glass Erlenmeyer flask, 20 mL of saturated ammonium carbonate solution can be added and 10 mL of 30% hydrogen peroxide can be added. (Reagent grade chemicals can be utilized.) A magnetic stir bar can be utilized to mechanically agitate the composition and a watch glass placed over the flask. The mixture can be stirred for one hour, then allowed to stand overnight at ambient temperature. Portions of the fuel can dissolve and demonstrate a bright yellow-orange solution, which can fade over the next few days into something that may appear faintly blue through the yellow hot cell window in which the dissolution can be performed. The solution can be gently taken dry on low heat to evaporate off the ammonium carbonate and any remaining hydrogen peroxide. The dry residue can be dissolved in 5 mL of 12M nitric acid and diluted to 50 mL with 2M nitric acid. The product solution can appear a little dark, with a blue cast, through the yellow hot cell window. The final solution from the carbonate fuel dissolution can be acidic. Elements that form insoluble carbonates, such as strontium and the rare earths, can be ultimately dissolved in the final product solution. Nitric Acid Fuel Dissolution: 50-mg subsamples of fuel can be placed in 125-mL Erlenmeyer flasks and then 15 mL of 12M nitric acid added. A magnetic stir bar can be utilized to mechanically agitate the composition and a glass funnel can be placed in the top of the Erlenmeyer to contain spray and allow the nitric acid to reflux. The composition can then be warmed to nearly boiling for an hour, or until the fuel has substantially dissolved. The composition may not be taken to dry, so that technetium may not be lost to evaporation (as Tc2O7). After the bulk of the fuel has substantially dissolved, the composition can be centrifuged to separate the undissolved residue. The supernatant liquid can be poured into a volumetric flask, and the residue in the bottom of the centrifuge tube (presumably the Mo, Tc, Ru, Rh, Pd phase) can be returned to the Erlenmeyer flask and heated again for three hours with 10 mL of concentrated nitric acid, with the funnel in place to contain spatter and reflux the nitric acid. After three hours of heating, a suspension of black particles can be added to the volumetric flask with the previous solution, and then diluted up to 100.0 mL with 2M nitric acid. Any undissolved particles can ultimately be transferred to the volumetric flask with the sample solution. Results. The nitric acid fuel dissolution and the carbonate-peroxide fuel dissolution can reveal similar results for every analyte except for technetium (see Table 1). The uncertainty given is total propagated uncertainty at 1 standard deviation. The analytical results are statistically the same for both fuel dissolutions, within two standard deviations, for all analytes except technetium. Results are given in microcuries of analyte per gram of fuel. The two fuel samples are 25 μm and 5 μm sieved samples. “Carb” refers to room temperature ammonium carbonate, hydrogen peroxide fuel dissolution, and “Nitric” means hot 12M nitric acid dissolution. The fuel can break up and dissolve completely in the carbonate solution, dissolving the UO2 fuel matrix and a number of other elements, while leaving some other elements in an acid-soluble form. The cesium, europium, americium, strontium, and plutonium can be completely in solution at the end of the carbonate fuel dissolution (in 2M nitric acid). TABLE 1Analytical Data, μCi per gram of fuel ± 1s uncertaintyFuel SampleCs-134Cs-137Eu-154Eu-155Am-24125 μm Carb9.87E+1 ±4%8.61E+4 ±3%1.26E+3 ±2%2.04E+2  ±9%3.08E+3 ±4%25 μm Nitric9.36E+1 ±4%8.20E+4 ±3%1.23E+3 ±2%2.08E+2  ±8%2.97E+3 ±4% 5 μm Carb9.72E+1 ±4%8.44E+4 ±3%1.17E+3 ±2%2.12E+2  ±9%2.85E+3 ±4% 5 μm Nitric9.74E+1 ±4%8.44E+4 ±3%1.15E+3 ±2%2.18E+2 ±15%2.74E+3 ±4%Fuel SamplePu-239 + 240Pu-238Sr-90Tc-9925 μm Carb8.61E+2 ±4%4.14E+3 ±3%4.69E+4 ±3%4.88E+0 ±3%25 μm Nitric8.38E+2 ±2%4.31E+3 ±2%4.59E+4 ±3%1.17E+1 ±3% 5 μm Carb8.13E+2 ±4%4.05E+3 ±3%4.53E+4 ±3%4.62E+0 ±3% 5 μm Nitric8.41E+2 ±3%4.26E+3 ±2%4.59E+4 ±3%1.45E+1 ±3% The solid and liquid phases produced utilizing embodiments of the methods, systems, and compositions described may be further processed to separate components within each from the respective liquid and/or solid phases. For example and with reference to FIG. 5, a method for acquiring molybdenum from a uranium comprising material is shown. According to example implementations, material 16 can be exposed to an aqueous solution to form a mixture comprising a solid phase and a liquid phase. With reference to the previous description, material 16 can be freshly irradiated fuel and the solid phase can contain Sr, Ba, Zr, Se, As, Ru, Rh, Pd, Ag, In, Ge, Sn, Sb, Np, and Pu. The liquid phase can contain U, Rb, Cs, Mo, Pd, Cd, Ag, As, Se, Te, Br, Sr, Pu, and/or I. As described the solid and liquid phases can be separated to form two distinct phases, liquid phase 34 and solid phase 36 The liquid phase can be exposed to an adsorbent to remove at least some of the solute from the liquid phase and form an effluent containing the molybdenum. Upon separation, liquid phase 34 can be exposed to an adsorbent such as ion exchange adsorbent 62 and then the effluent from this ion exchange adsorbent processing step can be further purified via liquid/liquid extraction at step 64 to provide material 66 which includes molybdenum. The solids 36 can include fission products. The methods, systems, and compositions of the present disclosure can thus provide both an environmentally and economically improved process for acquiring particularly valuable metals such as Mo from irradiated fuel. By acquiring these valuable compounds, the processing itself can be made economically feasible and by separating even more mass from portions of the irradiated fuel, the amount of fuel left to be regulated decreases, which decreases cost of environmental handling. According to example implementations, irradiated nuclear fuel containing fission products can be dissolved in an ammonium carbonate solution. The nuclear fuel may be received in regulated containers and these containers can be first perforated to allow for gas removal. As an example, radiolysis gases can be removed from containers in hotcell by drilling a hole(s) into the containers and evacuating the gases to a cryogenically cooled gas absorbent trap such as a liquid nitrogen-cooled charcoal trap, for example. These traps can be removed and stored to allow for decay of short-lived isotopes of Xe, Kr, I2, and/or Br2. The containers can then be opened to allow for immersion of targets within containers in about 10 L/ea. of 1M ammonium carbonate solution. Each target can contain as much as 500 g of uranium. H2O2 can then be added to each mixture to bring the concentration of the mixture to 0.2M H2O2 and the mixture gently stirred. Each of these mixtures being considered a dissolver solution. Referring to FIGS. 6A-6F, a more detailed scheme for acquiring molybdenum from the dissolver solutions is depicted. As depicted in FIG. 6A, the dissolver solutions can be combined, a hydroxide such as Ba(OH)2 added, and the solution warmed to decompose any remaining peroxide while maintaining a high carbonate concentration. These liquid phase portions can be acquired via the dissolution of irradiated fuel as described above and/or in accordance with the methods, systems, and compositions of the present disclosure. This solution can then be filtered to provide both a soluble fraction and an insoluble fraction. The insoluble fraction can include Sr, Ba, Pu, Np, Am, Cm, Zr, Ag, Pd, Rh, Ru, In, Ge, Sn, and/or Sb, for example. This insoluble portion can be exposed to a carbonate solution to provide another liquid phase that may be combined with the soluble fraction. The combined soluble fraction can contain U, Rb, Cs, Mo, Tc, Pd, Cd, Ag, As, Se, Te, Br, and/or I, for example. The soluble fraction may then be treated in accordance with alternative schemes, neither of which is mutually exclusive. In accordance with one scheme, the soluble fraction can then be passed through a carbonate loaded anion exchange resin capturing substantially all of the U but allowing effluent containing substantially all remaining components of the liquid fraction to pass, the Mo-effluent. This resin can be 8 L, 15 L, and/or from 8 L to 15 L. This effluent can contain Rb, Cs, Pd, Cd, Ag, Mo, Tc, As, Se, Te, Br, and/or I. In accordance with this scheme, the resin will be sufficiently robust to radiolysis from high dose cationic species in the soluble fraction for batches as large as 20 targets, for example. In accordance with an alternative scheme, the soluble fraction shown in FIG. 6A and obtained from the filtered dissolver solution can then be passed through a cation exchange resin, such as a NH4+-form cation exchange resin, and rinsed with an ammonium carbonate solution such as a 0.005M (NH4)2CO3 solution to provide an effluent that contains UO2(CO3)34−, MoO42−, TcO4−, AsO43−, SeO32−, TeO32−Br− and/or I−, the alternative Mo-effluent. Either one or both of these Mo-effluents can be further treated by organic extraction as described below. The Mo-effluent recovered from the anion resin may also be further treated with a cation resin prior to organic extraction. The Mo-effluent can then be passed through an ammonium loaded ion exchange resin to capture Rb, Cs, Pd, Cd, and/or Ag. The effluent passing this ammonium loaded resin can include Mo, Tc, As, Se, Te, Br, and/or I. The captured components can be washed from the resin and combined as waste if desired. The effluents from resins can subjected to liquid/liquid extraction to acquire the Mo from the mixture of Mo, Tc, As, Se, Te, Br, and/or I. This effluent can primarily be an aqueous carbonate solution and this solution can be exposed to a primarily organic solution such as 8-hydroxyquinoline in chloroform, for example. The Mo can be removed from the solution and the remaining aqueous phase discarded if desired. In accordance with example implementations, the systems, methods, and compositions of the present disclosure can provide for the dissolution of the irradiated fuel with solutions that are manageable when utilizing ion exchange purification techniques. For example, the ammonium carbonate system of the solutions can allow for a substantially efficient purification utilizing ion exchange resin under aqueous conditions, while only a single step of the method utilizes an organic solvent, thereby providing methods that can recover Mo under environmentally as well as economically friendly conditions. In compliance with the statute, embodiments of the invention have been described in language more or less specific as to structural and methodical features. It is to be understood, however, that the entire invention is not limited to the specific features and/or embodiments shown and/or described, since the disclosed embodiments comprise forms of putting the invention into effect. The invention is, therefore, claimed in any of its forms or modifications within the proper scope of the appended claims appropriately interpreted in accordance with the doctrine of equivalents.
description
This invention pertains in general to self-contained power supplies and, more particularly, to such a power supply that is designed to operate in the vicinity of a radiation source Conventional nuclear reactors require reactor vessel penetrations for the cabling that communicates signals from the in-core instrumentation to the control room. The penetrations are often a source of leakage of reactor coolant over the life of the reactor vessel. Therefore, it has always been an objective to reduce the number of reactor vessel penetrations to the minimum required for safe operation of the nuclear plant. One way to reduce the number of in-core instrumentation penetrations is to transmit the in-core detector signals wirelessly. However, wireless transmission of the detector signals would require a self-sustaining power source within the reactor vessel. It is well understood that conventional power sources such as chemical batteries, thermoelectric generators or vibration energy harvesters that would traditionally provide the voltage and current for such a wireless transmitter, cannot survive the in-core environment of a nuclear reactor. It is also well known that vacuum micro-electronics (VME) devices can survive the reactor in-core environment, but devices based upon that technology also require a power source located within the interior of the reactor vessel. As schematically illustrated in FIG. 1 vacuum micro-electronic devices 10 are typically powered, in part, by a heater circuit (filament heater) 12, which is part of or in contact with a cathode 14. The cathode emits electrons when the heater circuit reaches the appropriate thermal energy. These electrons travel from the cathode 14 to an anode 16 as shown in FIG. 1 by the arrow 20. In conventional applications, the heater element and the anode/plate terminal are simply powered by a combination of direct voltage and current from a power supply. The terminal 18, commonly referred to as the “Grid,” controls the flow of electrons between the cathode 14 and anode 16 based upon the voltage bias applied to the grid 18. The voltage bias to operate the grid 18 and the anode 16 is much less than that required to heat the cathode 14. Thus, to facilitate wireless transmission of in-core detector signals out of the reactor vessel a new source of power is required to operate a vacuum micro-electronic device that can withstand the environment of a nuclear reactor, preferably, for as long as the fuel assembly, in which the in-core detector assembly is embedded, is to remain in the reactor core. It is an object of this invention to provide a vacuum micro-electronics device with such a power source and preferably one such source that can power the in-core detector assembly for so long as the fuel assembly is an environmental risk. This invention achieves the foregoing objective by providing an in-core electronics assembly including a solid state vacuum micro-electronics device. The solid state vacuum micro-electronic device comprises a cathode element; an anode element; a means for establishing a voltage bias between the grid and ground; and a voltage source for establishing a desired voltage bias between the anode element and ground. A housing sealably encloses the cathode, the anode and the grid and a heater is disposed within the housing proximate or as part of the cathode for heating the cathode, wherein the heater comprises fissile material. In one embodiment, the cathode element is wrapped around the fissile material. In another embodiment, the cathode element extends through the fissile material. Preferably, the dimensions of the fissile material is not larger than 0.1 inch in height and 0.230 inch in diameter. In one such embodiment, the fissile material is uranium dioxide less than 5 w/o. Preferably, the voltage source is responsive to irradiation within a reactor core to provide the desired voltage and in one such embodiment the voltage source is a self-powered in-core radiation detector. The in-core electronics assembly also includes one or more sensors with signal outputs that are monitored through the grid. Desirably, the in-core electronics assembly includes a wireless transmitter which is powered by the solid state vacuum micro-electronic device. The invention also contemplates a solid state vacuum micro-electronic device comprising some of the foregoing elements. The preferred embodiment of this invention comprises a vacuum micro-electronics (VME) device with a fissionable heater element capable of producing the energy necessary to power the vacuum micro-electronics device directly from the thermal energy produced by fissile material, such as U-235. FIG. 2 shows a high level representation of vacuum micro-electronics device 10 being powered by the U-235 heater/cathode element 22. In FIG. 2, U-235 is coated on the cathode 14. Alternately, the heater/cathode element 22 can either be wrapped around or run through the fissile material, as shown in FIGS. 7 and 5, respectively. The fissile material will heat up as it absorbs neutrons that are leaked from the reactor core. The dimensions of the fissile material are preferably, approximately 0.1 inch in height by 0.260 inch diameter in order to fit into a typical VME. The fissile material is preferably a uranium dioxide (UO2) pellet with low enriched (ideally less than 5 w/o) U-235, however, other fissile material can also be used. Another important aspect of this invention deals with powering the anode/plate terminal 16 of the VME. The anode/plate terminal of the VME can be connected to a self-powered detector (SPD) emitter or several SPDs in order to generate the required electrical power needed. Typical SPDs behave like ideal current sources and produce a current proportional to the neutron flux as described in US 2013/0083879. This invention utilizes the SPDs properties to create a potential difference across the VME anode terminal 16. FIG. 3 shows a longitudinal cross section of an SPD which can be used to establish a bias across the anode 16 and FIG. 4 is a radial cross section of the SPD of FIG. 3. The SPD, shown in FIGS. 3 and 4, has an emitter 26 that is connected to the anode 16 through an electrical lead 36. The emitter 26 is surrounded by Co-59, identified by reference character 28, which is surrounded by a platinum sheath 30. The assembly of the emitter, Co-59 and platinum sheath is surrounded by aluminum oxide insulation 32 and enclosed within a steel outer sheath 34. FIG. 5 depicts a schematic of a VME (triode) constructed in accordance with this invention inside an in-core electronics assembly 54. The cathode 14 is shown heated by a filament 40 that is heated by a pellet of fissionable material 38. The anode 16 is connected to the emitter 26 of the SPD 24 which applies a biasing potential V between the anode 16 and ground. In FIG. 5, the grid 18 is figuratively shown connected to the sensors' outputs of a fixed in-core instrument assembly 48 disposed within a reactor core 50. One such in-core instrumentation assembly is more fully described in U.S. Pat. No. 5,251,242, assigned to the assignee of this invention. The VME of this invention can be located in the top nozzle of nuclear fuel assembly such as the top nozzle shown in FIG. 6, in which a VME 10 constructed in accordance with this invention is shown in block form attached to a sidewall 46 of the nozzle 44. A calculational analysis was performed, assuming that the pellet of fissionable material is approximately 12 inches above the active core, and showed there would be roughly 5% of the core average thermal flux (3×1012 n/cm2-s) at the VME's location and would produce a measurable thermal energy over the life of a fuel assembly. The number of VMEs that would be required to power a wireless transmitter 52 would then only depend on the transmitter's power requirements. While specific embodiments of the invention have been described in detail, it will be appreciated by those skilled in the art that various modifications and alternatives to those details could be developed in light of the overall teachings of the disclosure. Accordingly, the particular embodiments disclosed are meant to be illustrative only and not limiting as to the scope of the invention which is to be given the full breadth of the appended claims and any and all equivalents thereof.
description
This application is a continuation application of and claims priority to U.S. patent application Ser. No. 15/922,663, filed Mar. 15, 2018 entitled “Production of Copper-67 From An Enriched Zinc-68 Target,” now issued as U.S. Pat. No. 10,711,321, which is a divisional application of and claims priority to U.S. patent application Ser. No. 14/819,271, filed Aug. 5, 2015, entitled “Production of Copper-67 from an Enriched Zinc-68 Target,” now issued as U.S. Pat. No. 10,006,101, which claims filing benefit of U.S. Provisional Patent Application No. 62/035,113, filed Aug. 8, 2014, entitled “Production of Copper-67 from an Enriched Zinc-68 Target,” the entire contents of all of which are incorporated herein by reference. This application is related to a method and apparatus for the production of radiopharmaceutical copper-67. Further, the application describes a sublimation apparatus and target assembly of the sublimation apparatus used to improve the methods of producing copper-67. Nuclear medicine is a branch of medicine that relies on radiation to both diagnose and treat a variety of conditions, including many types of cancers, heart diseases, and other disorders. Within nuclear medicine, diagnostic or imaging techniques use radioisotopes that are either gamma or positron emitters. Typically, the majority of medical procedures involving radioisotopes are for diagnostic applications. A smaller percentage of the procedures are for therapeutic purposes. In either case, these radioisotopes are relatively short-lived (i.e., a short half-life) and are linked or conjugated to chemical compounds known as radiopharmaceuticals. A radiopharmaceutical preferably binds to one or more sites of a tissue or cancer cell. As many cancer cells have a limited number of available binding sites, the administration of a non-labeled bio-conjugate will often times block one or more of the cellular sites. Therefore, radioisotopes used in the labeling of a bio-conjugate preferably have high specific activity to minimize the attachment of non-labeled bio-conjugates that have little to no therapeutic or diagnostic effect. With the use of a gamma-detecting camera, radiopharmaceuticals are used to construct 3-D images of different organs and tissues, thereby providing information on organ function or disease. This data may in turn be used for reliable and accurate medical diagnosis. One such radiopharmaceutical, copper-67, has a half-life of about 62 hours, and has a gamma-ray energy suitable for imaging. Copper-67's beta particle is also of sufficient energy for therapy with a cell range of less than 2 mm and the gamma ray is detectable using a SPECT camera. In addition, the chelation chemistry of copper is well established and copper is well tolerated by the body, particularly at the trace levels administered to patients. Furthermore, a copper-67 radiopharmaceutical has sufficient range to target and irradiate small tumors without damaging surrounding healthy tissue. Copper-67 has been used in studies to treat non-Hodgkin's lymphoma and shows promise in treating many types of cancer. The half-life of copper-67 also delivers a low systematic radiation dose to the patient and allows for its transportation from a generation facility to a medical center or research laboratory. Methods for producing copper-67 have included nuclear reactors and bombarding zinc oxide with high energy protons. Like reactor generation, producing copper-67 using high energy proton accelerators has high inherent capital and operational costs, scheduling issues, and product contamination risks. The specific activity of copper-67 from proton production methods also exhibit wide variability. As nuclear medicine continues to be an important part of non-invasive disease diagnosis and treatment, there exists the need to produce copper-67 without the described drawbacks. Overview The current disclosure is directed towards a method of producing radioisotopes and a sublimation assembly, apparatus, and vessel used for producing and isolating radioisotopes, for example, copper-67. In one embodiment, the copper-67 may be produced with a purity, specific activity, and consistency suitable for diagnostic and therapeutic applications. The description itself is not intended to limit the scope of this patent. Rather, the inventors have contemplated that the claimed invention might also be embodied in other ways, to include different elements or combinations of elements similar to ones described in this document, in conjunction with other present or future technologies. As used herein, beam energy contains the units MeV, current is described in microAmps, and the power of the beam is expressed as kW. Sublimation is a separation and purification technique for inorganic solids. In general, the separation of an inorganic mixture using sublimation includes placing a solid mixture in a flask positioned within a heating element. Located above the flask is a condenser, which may include a continuous flow of water or other coolant fluid. At times, the condenser may comprise a hollowed and exposed inner volume in which a dry-ice slush bath may be used to cool the condenser. The flask containing the mixture may be heated to a select temperature, and the interior volume of the sublimation apparatus may be placed under a dynamic vacuum. The inorganic component with a greater vapor pressure at the given temperature of the solid mixture and the pressure of the sublimation apparatus may therein condense upon a solid surface of the condenser. Following a certain period of time, the heat may be removed from the flask, and thereafter the condenser removed. The separated, and typically the desired, inorganic component may then be scrapped from the surface of the condenser. In one embodiment, the sublimation apparatus may generally include a support to position a solid mixture within the sublimation vessel and a collection vessel. The sublimation vessel may generally have a heat volume portion configured to fit within a region of a heating element, and a warm volume portion that extends from the heat volume portion to a location outside of the region of the heating element. The collection vessel may include an upper end and an opposite, open end, with an internal sidewall extending from the upper end to the opposite open end, thus forming an internal volume to the collection vessel. Upon applying heat to the sublimation vessel via a heating element, for example, and consequently heating the solid mixture positioned within the heat volume portion of the sublimation vessel, one or more metal vapors may condense upon the internal sidewall, causing the metal vapors to collect within the internal volume of the collection vessel. Furthermore, described is a method for producing the radioisotope, copper-67, from an isotope-enriched metal target comprising zinc-68, hereafter referred to a “zinc-68 target,” a “metal target,” or a “target.” The method of separation may include positioning a solid mixture comprising copper-67 and zinc-68 in a sublimation apparatus. The sublimation apparatus may include, for example, the previously described structure. The method may incorporate heating the solid mixture to a temperature sufficient to form metal vapor comprising greater than 90% by weight zinc-68. The heated metal vapor thereafter may condense within the internal volume of the collection vessel and onto the internal sidewall. Condensation may occur as zinc-68 has an appreciable vapor pressure at a temperature from 300° C. to 700° C. and at a nominal pressure of 10−5 mbar within the sublimation vessel. The method of producing copper-67 may begin by positioning the zinc-68 target in a target assembly and directing an electron beam with an energy of at least 20 MeV and an average power of at least 1 kW, onto a first in a series of at least three, substantially parallel Bremsstrahlung converter plates. As discussed within the instant application, Bremsstrahlung refers to electromagnetic radiation produced by high energy electrons deflected (decelerated) in an electric field of another charged particle, such as an electron or atomic nucleus. A Bremsstrahlung converter is a material that produces Bremsstrahlung radiation when high energy electrons strike the converter, thereby converting electron energy into photon energy. Typically, converter plates are made from materials with high atomic numbers as the Bremsstrahlung radiation's efficiency increases with the energy of incident electron, the atomic number, and thickness of the target material. For example, tungsten and tantalum both have relatively high electron-photon conversion rates, high melting points, and may withstand high electron power densities. Therefore, converter materials include, but are not limited to, tungsten, tantalum, or heavier metals, such as osmium. Independently, each of the at least three converter plates may have a thickness between 0.75 mm to 3.0 mm and a minimum plate separation between 1 mm and 4 mm. The use of three converter plates may generate sufficient Bremsstrahlung radiation that impacts the zinc-68 target over a period of time. For example, and without limitation, the period of time may be at least 1 hour. As specific activity of a radioisotope is typically reported in units of activity per unit mass (Curies/gram), the above configuration and dimensions may produce a solid mixture with a measured activity of copper-67 of at least 1 μCi/g-target. Alternatively, if a single converter plate is used, the plates may have a thickness in the range of about 2 mm to about 8 mm about, 2 mm to about 5 mm, or about 2 mm to about 3 mm. Similarly, the converter plates may be tungsten, tantalum, tantalum-coated tungsten plates, or another heavy z-metal, such as osmium. The multi-converter plate may be designed to stop high energy incident electrons and prevent the photo-production target from excessive heating by absorbing low energy electrons that may otherwise deposit thermal energy into the metal target. To minimize the pass through of electrons and subsequent heating of the metal target, it is possible to increase the total thickness of the converter. However, an increase in converter thickness may result in a decrease in the average photon flux at the energy of maximum production within the zinc-68 target and may produce lower photo-yields of the desired radioisotope, for example, copper-67. Alternatively, a decrease in converter thickness may increase photon flux at the energy of maximum production in the metal target but may increase target heating by allowing a large portion of the electrons to penetrate through the converter plates and into the target. The spacing between the converter plates may be organized to keep the turbulence of the water flowing between the plates in order to maximize the heat removal. The thicker the plates or, alternatively, the thicker the water between the plates may potentially shield the gamma rays and decrease the overall yield of the metal target. For example, it may not be suitable to have different spacing between the plates, respective of one another, because the water may find the least resistance within the assembly and the narrowly spaced plates may have insufficient water flow and overheat. Furthermore, the farther away the metal target is from the converter, the less “concentrated” the gamma-ray beam may become. Consequently, the concentration of the gamma-ray energy may be represented by 1/R2, where R is the distance away. While multiple converter plates provide heat removal by water, or similar coolant fluids, further embodiments may rid such features as water in the converter may block the electrons and gamma rays targeted for the metal target. Accordingly, converter designs such as a liquid metal converter made from lead bismuth eutectic (LBE) may be incorporated into the target assembly. Therefore, such converter designs may run at high power and dissipate heat without water flow in the converter. An optimum Bremsstrahlung converter design, for example, is one that may produce maximum high energy Bremsstrahlung photons above 10 MeV for photo-nuclear reactions. A Bremsstrahlung converter may have multiple stacked converter plates in series to improve production yields of copper-67. If multiple stacked converter plates are used, the total thickness of all converter plates may have a thickness in the range of about 2 mm to about 8 mm, about 2 mm to about 6 mm, or about 2 mm to about 4 mm. The separation of electron linear accelerator (linac) generated copper-67 from a bulk zinc-68 target may require separating small, near trace amounts of copper-67 from the solid target mixture. For example, the mass of non-converted zinc-68 in the solid mixture may be seven to nine orders of magnitude greater in mass than the copper-67. Accordingly, the separation process may account for the dilution of copper-67 in the solid mixture, and therefore, minimize the loss of the very small amounts of copper-67 in the solid mixture. In other words, one of the technical problems that may be addressed is the select separation and isolation of the small amounts of copper-67 in the solid mixture. Photonuclear production of copper-67 using an electron linac represents an alternative to proton and neutron induced production methods. When high energy photons are absorbed by a nucleus of the target material, the nucleus becomes unstable. The unstable nucleus may then release excess energy in the form of one or more particles, e.g., proton, neutron, α, β, or γ, etc., and decays to a lower energy state. This process may be expressed as: T+γ→P+b, wherein, T represents the target nucleus, γ the incident radiation particle, e.g., a gamma ray, P the product nuclide, and b, the emitted particle. As stated, the use of electron linacs for copper-67 production is a convenient and relatively inexpensive alternative to nuclear reactor and proton accelerators. The described sublimation and purification methods may have copper-67 recovery yields of at least 80%, at least 90%, at least 95%, or at least 98%. The process of copper-67 production and improved efficiencies in the separation and isolation of copper-67 described may address and provide answers to many technical and commercial issues. To produce high concentrations of copper-67 in an irradiated target, the power of the electron beam or the irradiation time may be increased. Therefore, a relationship may exist between the average power of the electron beam used to irradiate a zinc-68 target and the time of irradiation in an irradiated target. Additionally, in a photon induced reaction, the yield of radioisotopes may be increased by increasing the production rate, which may, in part depend, upon the photon flux, the number of target atoms, and the cross section of the radiation induced photo-nuclear reaction. The number of Bremsstrahlung photons created using an electron linac may depend on electron beam parameters, such as electron energy, current, beam divergence, and beam size. Likewise, different converter materials and design may affect efficiencies of electron to photon conversions. Additionally, a metal target may not be only subjected to irradiation from gamma rays, but also irradiation from gamma and electrons of insufficient energy to cause photo-conversion. Moreover, a photo-production metal target may be also subjected to irradiation from primary and secondary electrons from the electron beam. The metal target may therefore absorb power delivered by both incident electrons and photons, resulting in additional heat generation. Accordingly, there exists an appropriate balance between electron beam power and the amount of heat dissipated. Also, since radioisotope yields are proportional to the incident beam power, the power density from gammas and secondary electrons in the target may be maximized to increase isotope production yield. Still, the target may be limited to maximize the photon flux within the target. In both instances, the maximization of electron beam power and photon flux may increase the thermal power density in the target. Accordingly, if the melting point of target materials is low, the target may melt, and in some instances boil, unless sufficient cooling exists. To optimize and balance irradiation parameters, Monte-Carlo simulations may be used to model both the optimum photon flux and power deposition into a zinc-68 target. The photon flux may be calculated by utilizing standard MCNPX volume-averaged flux for a 40 MeV electron energy beam. Also, the total energy deposited by electrons and photons on the metal target and converter plates may be simulated using energy deposition tally of MCNPX. In an embodiment, because of its high atomic number, high density (19.3 g/cm3), and very high melting point (3422° C.), tungsten may be selected for the converter material of converter plates. It may also be advantageous to coat converter plates with tantalum to impart additional chemical stability. While there may exist no limit to production yields regarding how high an average beam power may be used, practical limitations exist to prevent partial melting, or perhaps, partial vaporizing of the target. For a zinc-68 target of about 30 g to about 50 g, a suitable average beam power may be tens of kilowatts, e.g. from about 5 kW to about 40 kW. To optimize photon flux distribution through the zinc-68 target, the appropriate size and shape of the target may maximize the integral flux, and correspondingly, the overall photo-yield of copper-67. A study in system parameters such as the beam energy (MeV), current (microAmps), and hence the power of the beam (kW), may maximize the photo-yield. However, there exists an operational balance to control the electron beam because of heat generation in the zinc-68 target. Therefore, a target assembly equipped with a cooling design may moderate the anticipated increase in temperature of the target, converter, and/or assembly. A given target metal is commonly composed of many isotopic species. For example, the isotopic amount of zinc-68 in natural zinc may be about 19%. The desired radioisotope generated by the photo-production process is the result of a gamma photoreaction with a specific isotope of the target metal. As indicated, in the case of the photoreaction to produce copper-67, the target metal is zinc, and the isotope of interest is zinc-68. If natural zinc is irradiated in a photo-production process, the other isotopes of zinc may be converted into unwanted or contaminating species, some of which may be radioactive. Accordingly, the use of a target enriched in the isotope of interest, i.e., the isotope that is converted to the desired radioisotope, may result in an increase in photo-yield and a reduction in contaminating species. However, isotopic enriched targets may be expensive and since only a small portion of the target metal may be converted into the desired radioisotope, it may be necessary to develop a process to recover the unconverted enriched target metal. For example, photoreaction using Bremsstrahlung may often convert a small amount of a target isotope to the radioisotope of interest—as little as nanograms of radioisotope per gram of target. For these reasons, the zinc-68 target to be irradiated may be enriched in zinc-68 by at least about 90%, at least about 95%, and even at least about 99%. For example, the zinc-68 target obtained may comprise an enrichment of greater than 95% zinc-68, greater than 97% zinc-68, greater than 99% zinc-68, greater than 99.9% zinc-68 or even greater than 99.99% zinc-68. It may also be advantageous for the zinc-68 target to have trace copper impurities removed in order to minimize the amount of cold copper (non-copper-67) recovered in the separation process following irradiation (described in further detail herein). Highly enriched zinc-68 targets that contain low levels of cold copper may be obtained by repeated sublimation of the zinc-68 target. The recycling of the zinc-68 target may have an advantage in that the amounts of cold copper and other trace metal contaminants in the enriched zinc-68 target may reduce with each successive recycle. Accordingly, when a certain amount of Cu-67 is produced, the target may have few impurities of cold copper, accounting for a higher ratio of Cu-67 to cold copper or other impurities, as compared to a target that may not have been successfully sublimated or recycled. Therefore, it may be possible to obtain radioactive copper samples for medical applications with a higher ratio of copper-67 to non-radioactive (cold) copper after each zinc target recycle stage. From a theoretical perspective, the actual mass size of the zinc-68 target to be irradiated with Bremsstrahlung may not be limited, however, from a technical perspective, the zinc-68 target may have a mass size, for example, in the range of about 10 g to about 1000 g, 80 g to 300 g, or 10 g to about 60 g. Albeit, it is understood that smaller and larger sized targets may also be irradiated. To optimize operational system parameters in the production of a high specific activity product comprising copper-67, an investigation may be conducted to determine the following: the optimum electron beam energy for a given electron linac, keeping in mind that electron beam energy also has an effect on the maximum beam current; the design of the Bremsstrahlung converter in terms of material as well as geometry to maximize photon flux within the zinc-68 target; and the zinc-68 target geometry to maximize photon flux through the target. It is understood that a change or optimization of one operation parameter may, in turn, affect at least one of the other operational parameters. Therefore, an appropriate “tradeoff” when optimizing any one operational parameter may be assessed and analyzed. Referring to the figures, FIG. 1A illustrates a cross-sectional view of target assembly 10. Target assembly 10 may comprise a front housing 12 that includes a first section 12a, a second section 12b, and a third section 12c, the latter being joined to rear housing 14. Front housing sections 12a and 12b may be assembled and disassembled to allow access to plate cavity 15 and Bremsstrahlung converter plates 16. The first section 12a of the front housing 12 may include a front window fitting to seal front target window 18a. Likewise, the third section 12c of the front housing 12 may include a rear window fitting to seal rear target window 18b. Collectively, target windows 18a and 18b may allow access to plate cavity 15, which encloses converter plates 16. Front target window 18a may be made of any material that has little or no effect on electron beam 20, which passes through target front window 18a. Similarly, rear target window 18b may be made of any material that has little or no effect on the produced gamma photons, which also passes through rear window 18b. Converter plates 16 and metal target 27 may be configured in any suitable manner within electron beam 20. To remove heat generated in converter plates 16 by the impact of electron beam 20, the second section 12b of the front housing 12 may include coolant fluid input 22. The coolant fluid, for example water, may be added through coolant fluid input 22 at a select rate (volume/min) and enter plate cavity 15 to remove heat generated in converter plates 16. After passing around or between converter plates 16, the coolant fluid may be diverted to the third section 12c of the front housing 12 through conduit 24 (the coolant flow in FIG. 1A is represented by the depicted arrows). Thereafter, the coolant flow may be directed to target cavity 26, pass through target cavity 26, and then exit out coolant fluid output 28. In this configuration, the coolant fluid may remove heat generated in metal target 27 during irradiation. While FIG. 1A depicts one embodiment of a target assembly, alternative target assembly designs may provide similar irradiation conditions, for example, photon flux or coolant flow. Furthermore, it is conceivable, for example, that another coolant design may have two separate coolant fluid inputs and two corresponding outputs. Within target assembly 10, rear housing 14 is joined to back end 29 of the third section 12c of the front housing 12. For example, rear housing 14 may be joined via welding to back end 29 at joint 30. Rear housing 14 may be mechanically configured to be sealed by back-plate target plunger assembly 32 (including a back plate that opens and closes by the plunger), which in turn may be releasably attached to target crucible support 33. Target crucible support 33 may be mechanically configured to releasably attach to and from target crucible 34. Accordingly, one may mechanically manipulate back-plate target plunger assembly 32 to position target crucible 34, and hence, the metal target 27 in and out of target assembly 10. In one embodiment, rear housing 14 may be cylindrical. Metal target 27 may be configured in any geometric form for irradiation. For example, metal target 27 may be configured in the form of one or more plates or a solid cylinder. Metal target 27 may be positioned in target crucible 34 and then positioned within a target assembly 10, thereafter being irradiated with gamma rays produced by converter plates 16. The gamma rays may have an intensity of at least about 1.5 kW/cm2 to about 20 kW/cm2. For example, an arrangement of converter plates 16 may produce gamma rays with an intensity of from about 3 kW/cm2 to about 14 kW/cm2 or from about 3 kW/cm2 to about 8 kW/cm2. FIG. 1B illustrates a cross-sectional view of another embodiment of a target assembly 10B. Similar to target assembly 10 in FIG. 1A, target assembly 10B includes a front housing 12 that may include a first section 12a, a second section 12b, and a third section 12c, the latter being joined to rear housing 14B. The first and second sections, 12a and 12b of housing 12, may be assembled and disassembled to allow access to target cavity 26B and converter plates 16. First section 12a of housing 12 may include a front window fitting to seal a front target window 18a. Target assembly 10B may also include a coolant flow system (not shown, but may be similar to the system of coolant fluid input 22 in FIG. 1A) for cooling the converter plates 16 and the metal target (not shown). For example, water or another coolant fluid may be used to remove heat from the metal target when positioned in target cavity 26B. The coolant fluid may be contained within target housing 17. Target housing 17 may also include an input and output (not shown) so the coolant fluid may flow into housing 17, around a metal target positioned in target cavity 26B, and exit out of housing 17. An arrangement of three in series converter plates 16 shown in FIG. 1B may produce gamma rays with an intensity of from about 4 kW/cm2 to about 6 kW/cm2. In one example, converter plates 16 made of tungsten may be irradiated with an electron beam (such as electron beam 10 of FIG. 1A) having a beam energy in the range of about 25 MeV to about 100 MeV, e.g., 35 MeV to 55 meV, and a beam current in the range of about 30 microAmps to about 280 microAmps, e.g., 50 microAmps to 140 microAmps. The irradiation of converter plates 16 with the electron beam may result in the production of gamma rays with energies in the range of about 1 MeV to about 55 MeV, e.g., of about 1 MeV to about 40 MeV. For example, in some instances and for medical applications, the irradiation may be continued until the conversion to copper-67 yields a copper-67 total activity of at least about 2 μCi/g-target, at least about 5 μCi/g-target, at least about 10 μCi/g-target, or at least about 20 μCi/g-target. For example, when using a 40 g zinc-68 target (such as target 27 (FIG. 1A)), one may irradiate the metal target with Bremsstrahlung-produced gamma rays for a time until at least about 80 μCi of copper-67, at least about 400 μCi of copper-67, or at least until about 800 μCi of copper-67, is produced. In one instance, for example, one may irradiate a 40 g zinc-68 target with Bremsstrahlung-produced gamma rays for a time until from about 500 μCi to 500 mCi of copper is produced. Alternatively, a target assembly may provide a yield of copper-67 of at least about 5 μCi/g-target-kW-hr of beam energy, at least 20 μCi/g-target-kW-hr of beam energy, or at least about 50 μCi/g-target-kW-hr of beam energy. Irradiation times may be, for example, in the range of about 1 hour to 260 hours, 10 hours to 140 hours, or 40 hours to 96 hours. FIG. 1C illustrates a target holder 40 for insertion within target cavity 26 of FIG. 1A or target housing 17 of target assembly 10B, for example. Target holder 40 may hold a metal target, such as metal target 27 in FIG. 1A, contained within a target crucible, such as target crucible 34. Although depicted as cylindrical, the target holder 40 may take any shape. In use, a target crucible containing a metal target may be positioned within internal volume 41 of target holder 40 and may be held in place with threaded plug nut 42. Target holder 40 may also include any number of cooling fins 44 to facilitate the transfer of heat from the metal target to the coolant fluid that flows through target cavity 26 of FIG. 1A or target housing 17 of FIG. 1B. FIG. 2 is a schematic of an electron linear accelerator (linac) that may be used for producing photonuclear copper-67 from a zinc-68 target. Depicted within FIG. 2, quadrapole magnets (denoted 10 cm long QM (Quad2a)) may be used to help focus the electron beam down an axis of the accelerator. Dipole magnets may be included to allow the electron beam to be turned, and therefore to determine the energy of the electron beam. Additionally, moveable screens may be used to determine the size of the beam inside the accelerator tube. Corresponding to the schematic illustrated in FIG. 2, the total unloaded output energy may be about 50 MeV, with an energy reduction 0.118 MeV/microAmps of peak beam current after beam loading. Seen in FIG. 3, taking into account that at higher energies, the photo-produced copper-67 yield does not increase linearly with increase in electron energy, and considering the load characteristics of a pulsed electron linac, it may be possible to operate the electron linac in an optimal energy range for an optimal irradiation time. In one embodiment, the optimization may be done to produce a yield of copper-67 suitable for medical applications. For example, a cylindrical zinc-68 target that is about 2.5 cm in diameter, about 2.8 cm in length, and with one end of the cylindrical target facing the converter plates (converter plates 16 in FIG. 1A), a peak beam current may be calculated using a beam load function for different beam energies. Assuming an average duty factor of 0.1%, which is the fraction of time the beam is “on,” the average beam current and average beam power may be determined from the peak beam current for a given electron beam energy. For example, at 40 MeV of loaded beam energy, the peak beam current is 104 microAmps. Considering 0.1% duty factor, the average current is 104 microAmps. For these given electron beam parameters, the average power was found to be: Pavg=40 MeV×104 microAmps×0.1%=4.16 kW. Accordingly, the average power of an electron beam striking a converter for the photonuclear conversion of zinc-68 to copper-67 may likely be in the range of 3 kW to 8 kW. A MCNPX simulated photon flux through a 40 g cylindrical zinc-68 target may be used to calculate the average activity yield of copper-67 at various beam energies and corresponding beam currents. Photon activations on zinc targets may be performed at various beam energies followed by gamma spectroscopy. The optimal current and energy of electron beam may be determined based on the highest activity yield of copper-67. According to the measured activity values, optimal beam energy for the photo-production of copper-67 may be about 38 MeV. The values may be compared or measured against Monte Carlo simulation results to determine their agreement. Using Monte Carlo simulations, the optimum photon flux in a 40 g cylindrical zinc-68 target, the heat deposition in the target, as well as the converter with an electron beam of 40 MeV energy at 25 microAmps average beam current using several different converter designs and various thicknesses, may be investigated. For example, the optimum photon flux yield may peak using a 1.5 mm thick converter and gradually drop with increasing thickness of the converter. An increase in the converter thickness may also result in a corresponding decrease of the energy (heat) deposited into the target. However, there may exist a relationship between photon flux, converter design and thickness, and heat generation in the target. For example, an increase in the thickness of the converter from 1.5 mm to 4.5 mm may cause the optimum photon flux to decrease by about 18% with a corresponding 41% drop in the energy generation within the target. Considering the possible melting of a target posed by large amounts of heat generation some yield of copper-67 may be forgone in exchange for lower heat generation. As represented by FIG. 4, following the gamma irradiation of the target (metal target 27 in FIG. 1A) and allowing sufficient time for some of the relatively short-lived radioisotopes of copper to decay to near background levels, the target may be positioned in a sublimation apparatus 50. Sublimation apparatus 50 may include sublimation vessel 52, heating element 54, and translation stage 56 to vertically position heating element 54 and sublimation vessel 52 relative to the position of collection vessel 58 and crucible 60 containing solid mixture 62. Sublimation apparatus 50 may also include vacuum port valves 64, vacuum gauge 66, and inert gas port 68. After sublimation apparatus 50 is assembled, sublimation vessel 52 may be evacuated and back-filled with an inert gas, for example, argon, using inert gas port 68. Similarly, helium or nitrogen may be used. The purge/vacuum cycles, through vacuum port valves 64, may be used to remove trace levels of oxygen in sublimation vessel 52 prior to heating in order to minimize oxidation of zinc-68 to a zinc-68 oxide. Within the interior of sublimation vessel 52 is solid mixture 62, which as shown, is contained within crucible 60. Crucible 60 may be supported in sublimation vessel 52 with support 70. Positioned above crucible 60, and hence above solid mixture 62, is collection vessel 58. Sublimation vessel 52, as depicted, is represented as a cylindrical hollow tube, and may be made of quartz, though sublimation vessel 52 may similarly be made of a metal, e.g., titanium, or a ceramic oxide. In one embodiment, an advantage of making sublimation vessel 52 out of quartz is that an infra-red detector may be used to measure the temperature of collection vessel 58 during the sublimation heat cycle. The monitoring of and, if necessary, adjustment to, the temperature of the collection vessel 58 may optimize the fill efficiency of the target metal within the internal volume (discussed later) of collection vessel 58 during the sublimation heat cycle. In the sublimation of a solid mixture 62 containing zinc-68, or another target metal, copper-67 and other trace metals, for example, zinc-68 may have a greater vapor pressure than that of copper-67 at a given temperature and pressure. Accordingly, in the described sublimation process, the zinc-68 of solid mixture 62 may be selectively converted into the vapor phase upon heating by heating element 54. The zinc-68 may then condense in sublimation apparatus 50, and the copper-67, and optionally other trace metals, are retained in solid mixture 62. In one embodiment, an advantage to the separation process described herein is the manner in which zinc-68 may condense from solid mixture 62 within sublimation vessel 52. Under most sublimation conditions for a given temperature and pressure, at least about 95% or 98% or greater of the zinc-68 may be removed from the solid mixture by sublimation. For example, at least about 99.9%, even at least about 99.99%, on a weight basis of the zinc-68 in solid mixture 62 may be separated by sublimation. The copper-67 that remains in the solid mixture 62 may be further purified by chemical means, for example, by dissolving solid mixture 62 in an aqueous inorganic acid to form an acidic solution of metal ions. The copper-67 may then be separated from other trace metals by a metal-ion exchange. The zinc-68 sublimate may thereafter be recycled for use in another enriched target, and the process of producing copper-67 may therein be repeated, as discussed previously. Alternatively, copper-67 produced in the gamma irradiation of a zinc-68 target may be separated from solid mixture 62 at temperatures in the range of about 400° C. to about 700° C. in an environment of reduced pressure. The environment of reduced pressure in sublimation apparatus 50 may be created under a dynamic vacuum, using vacuum port valves 66, rather than static vacuum. However, it is understood that either type of vacuum may be used. Also, an exemplary range of pressures of the evacuated sublimation vessel 52 may be about 1 mbar or less (e.g., about 10−6 mbar). Using vacuum gauge 68, the pressure may be determined. Collection vessel 58, as depicted in FIG. 4, subpart A, and in FIG. 5, may be described as a one piece or multiple-piece unit vessel (each being described herein) that defines an internal volume of any shape, for example, a cylindrical or cone-shaped vessel that fits within sublimation vessel 52. Collection vessel 58 may be used as a receptacle in which sublimed metal vapors from a heated solid mixture may condense. As stated above, sublimation apparatus 50 may include translational stage 56 to position sublimation vessel 52, and optionally, heating element 54 to a location over crucible 60 and collection vessel 58. Once the components of sublimation apparatus 50 are in appropriate positions, sublimation vessel 52 may be secured and sealed. This may occur, for example, by using a high vacuum O-ring 72, located at the bottom of the sublimation vessel 52 and a vacuum source (Indicated in FIG. 4). Following the heating step of the process, translational stage 56 may also be used to move sublimation vessel 52, and optionally, heating element 54, away from collection vessel 58 and crucible 60 that contains the remaining solids of solid mixture 62. For example, translational stage 56 may be in a vertical relationship to the sublimation vessel 52, via a sublimation support assembly 74, such that the stage in connection with sublimation vessel 52 may both lower and raise sublimation vessel 52 over collection vessel 58 and crucible 60. Moreover, translational stage 56 may be in connection with heating element 54 to lower and raise heating element 54 over collection vessel 58 and crucible 60. Sublimation apparatus 50 may further include a control unit 76 that receives or monitors temperature data of solid mixture 62, crucible 60 that contains solid mixture 62, support 60, and pressure data, through vacuum gauge 68, within sublimation vessel 52. For clarity, the lines between control unit 76 and subpart A indicate that the control unit 76 is in data communication with the sublimation apparatus 50. Such communication, for example, may be through hardwire or wireless sensors (not shown) providing the data. Based on the given temperature data, the control unit 76 may also be used to automatically adjust the operating temperature of heating element 54, and thereby adjust the temperature of solid mixture 62 as well as at least a portion of collection vessel 58. The control unit 76 may receive temperature data of collection vessel 58. The ability to adjust and maintain temperature of the different components, e.g., solid mixture 62 or collection vessel 58, during sublimation may help prevent or minimize the formation of a zinc-68 “plug” in the lower half of collection vessel 58 before a significant portion of zinc-68 metal is sublimed from solid mixture 62. Accordingly, the temperature of the various components may be controlled so to control the condensation rate of the metal vapor within collection vessel 58. FIG. 5 illustrates a cross-sectional representation of collection vessel 58 that may be used as a receptacle for collected condensed vapors of zinc-68 from solid mixture 62 when heated by heating element 54 to an appropriate temperature and environment of reduced pressure within sublimation vessel 52. Collection vessel 58 is depicted as a cylindrical form that fits within sublimation vessel 52, which happens to have a cylindrical form. Although both the collection vessel 58 and the sublimation vessel 52 are depicted as cylindrical in shape in FIG. 5, one vessel shape may be independent of the other vessel shape. Stated another way, collection vessel 58 and sublimation vessel 52 need not have identical nor similar shape, and may have very different shape forms that define different internal geometric volumes. As shown, collection vessel 58 may have an internal volume 80 with internal sidewall 84, on which sublimed metal vapor may condense. As a metal vapor flows into the internal volume 80, the metal vapor passes along the vessel and eventually contacts a relatively cool internal sidewall 84 of collection vessel 58 and condenses along internal sidewall 84 to form sublimed metal 82. During the heat stage of the sublimation process, collection vessel 58 is positioned within both heat volume portion 86 and warm volume portion 87 of sublimation vessel 52. The temperature of the heating element 54 is maintained at a temperature that may allow the metal vapor to condense within collection vessel 58 at a rate that does not clog a lower portion of collection vessel 58 with sublimed/condensed metal 82 with significant amounts of target metal yet to be sublimed in solid mixture 62. Accordingly, there exists an optimal temperature at which to maintain heating element 54, and, the temperature along the length of internal volume 80 of collection vessel 58. Condensed metal 82 may further be prevented from traversing downward on the internal sidewall 84 via adhesion with internal sidewall 84 and tension within the condensed metal 82. As shown, collection vessel 58 may include an upper end 88 and opposite, open end 89, with internal sidewalls 84 extending from upper end 88 to opposite open end 89, thereby forming internal volume 80 of collection vessel 58, wherein vapor of the target metal may condense upon internal sidewall 84. For example, collection vessel 58 may have internal volume 80 of sufficient size to hold 10 g to 1 kg of zinc metal. Also shown, open end 89 may be configured to engage and fit with an open end of crucible 60. The configured fit may not necessarily have to be a tight or a sealed fitting between open end 89 and crucible 60. However, the snugness of the fit may minimize the escape of sublimed metal 82 into a volume of sublimation vessel 52 before the vapor has an opportunity to move up collection vessel 58 and condense within internal volume 80. In metal-metal separations using the described sublimation process, at least one metal to be sublimed from a solid mixture may have an appreciable vapor pressure at a temperature from 300° C. to 700° C. at a nominal pressure of 10−5 mbar within sublimation vessel 52. Collection vessel 58 may have internal sidewalls 84 that are cylindrical, as depicted in FIG. 5, or may be shaped as a truncated cone, or flat or scalloped elongated segments that combine to form geometric volume 80. Collection vessel 58 may be made of any material that is thermally stable to temperatures of at least about 800° C. For example, suitable materials may include a metal such as titanium, a ceramic oxide that is stable at temperatures greater than 600° C., or graphite. In one embodiment, graphite may be a material of particular interest because of its inherent lubricity and thermal stability. One advantage of collection vessel 88 being made of graphite is that condensed metal 82, and in particular, condensed zinc-68, may more easily be removed from internal volume 80. Particularly, the condensed zinc-68 may be recovered from collection vessel 58 by sliding collection vessel 58 off of condensed metal 82, thereby leaving a zinc-68 target slug that is easily refitted, e.g., by melting into a crucible and positioned back into a target assembly for irradiation. Following irradiation, the sublimation process may be repeated and again the condensed zinc-68 slug may be returned to the target assembly 10 or 10B. To make the final conversion of zinc-68 to copper-67 more efficient, any number of repeated irradiation and recovery cycles are possible, which may make the process efficient in terms of final conversion of zinc-68 to copper-67. Crucible 60 may be made of materials that are stable at high temperatures. For example, suitable materials for crucible 60 may be materials stable at temperatures to at least about 900° C. including, but not limited to, a ceramic oxide, a metal, or graphite. Crucible 60 may also be used to shape the enriched zinc-68 shot into a select geometric form of the zinc-68 target. For instance, the commercial shot of zinc-68 may be placed in crucible 60 and therein positioned in a melt furnace, or an alternative environment that may be purged of trace amounts of oxygen to minimize the formation of zinc oxide during the melt stage. As shown in FIG. 5, crucible 60 is a high temperature stable cup with an open end and an opposite closed end. Like a cup, crucible 60 may adopt a cylindrical form or any geometric form including a truncated cone form. In many instances, the geometric volume form of the zinc-68 target may adopt the interior geometric volume form of crucible 60, if the same crucible is used to both prepare the target and contain the zinc-68 target in a target assembly. Further, in some instances, crucible 60 may include any number of exterior cooling fins (not shown) to facilitate the cooling of the zinc-68 target during the irradiation. Following the sublimation of zinc-68 from solid mixture 62, the copper-67 residue that remains in crucible 60 may be isolated from other trace metals by dissolution in an acid (e.g., a mineral acid such as sulfuric acid, hydrochloric acid, phosphoric acid, nitric acid, or a combination of mineral acids), followed by ion exchange with a selective copper ion exchange resin (e.g., a quaternized amine resin) or a chelating agent immobilized on an ion exchange resin or silica substrate. In one embodiment, the copper-67 residue may be dissolved in hydrochloric acid and the resulting aqueous solution passed through a quaternary amine ion exchange resin. The non-copper trace metals in the acid solution may pass through the column at a very low pH. After passing through a low pH aqueous solution, the pH of the flush solution is raised to release the copper from the exchange resin. The collected solution is thereafter evaporated to dryness, leaving a copper-67 radioisotope. In one embodiment, the copper-67 left may be suitable for shipment, or for molecular complexation as a radiopharmaceutical for medical or research applications. Illustrated in FIG. 6 is a cross-sectional representation of collection vessel 90 that includes two separable portions including a first portion 92 having a first upper end 93, which may be a closed end, and an opposite, first open end 94. First portion 90 may include first internal sidewall 96 extending from first upper end 93 to opposite, first open end 94. Second portion 98 of collection vessel 90 may include a second, upper open end 100 configured to engage and fit with opposite, first open end 94 of first portion 92. Second portion 98 may also include an opposite, second open end 101, and second internal sidewall 102 extending from second, upper open end 100 to second, opposite open end 101. If combined in an elongated manner, first internal sidewall 96 and second internal sidewall 102 may define first internal volume 104a and second internal volume 104b, respectively, forming collection vessel 90. A multiple-piece collection vessel 90, as shown in FIG. 6, may have any number of divided portions. For example, a collection vessel may have six portions that extend from a portion proximate to the crucible and a portion proximate to the closed end of the sublimation vessel. FIG. 6 exemplifies and describes a two-piece collection vessel. In one embodiment, the advantage of dividing collection vessel 90 into at least two portions may be to facilitate the removal of the condensed metal that forms within the internal volume 104a and 104b of multiple-piece collection vessel 90. The following examples, while, in addition to referring to the subsequent figures, are put forth so as to provide a complete disclosure and description of how the articles and methods described and claimed are made and evaluated. They are intended to be purely exemplary and are not intended to limit the scope of what the inventors regard as their invention. Efforts have been made to ensure accuracy with respect to numbers (e.g., amounts, temperature, etc.) but some errors and deviations should be accounted for. Unless indicated otherwise, parts are parts by weight, temperature is in ° C., or if not stated, the temperature at which the experiment or measurement is conducted is about room temperature. Pressure is at, or near, atmospheric unless stated otherwise. There are numerous variations and combinations of reaction conditions, e.g., component concentrations, desired solvents, solvent mixtures, temperatures, pressures and other reaction ranges and conditions that may be used to optimize the product purity and yield obtained from the described process. Referring to FIG. 11, which shows a method 1100 of producing an irradiated metal target. For example, to prepare a sample zinc-68 target, about 40 g of about 98% to about 99% enriched zinc-68 may be melted into an alumina (Al2O3) crucible, as an example of step 1102. Alumina is one of many select materials that may be used as a crucible material because of its hardness, low porosity, and high melting point (2072° C.). The crucible may thereafter be positioned within a tube furnace having an 8 inch hot zone with a 2 inch diameter alumina casing for fully enclosing a slightly smaller diameter furnace tube. An inert gas line may connect to one end of the furnace tube. During the heat or melt cycle of the enriched cycle, the crucible may be blanketed in argon to minimize oxidation of zinc. The target may therein take the form of the crucible. The furnace tube may be cycled under vacuum to 20 to 30 mbar and flushed with argon for at least two cycles prior to heating the furnace tube. A high quality zinc target (i.e., natural refined zinc to remove metal contaminants to 99.9999% zinc or better) or a 98% or better enriched zinc-68 target may be melted into an approximately 7 mL volume alumina (98% Al2O3 by weight) crucible with an approximate outside diameter of 25 mm. In one embodiment, it may be advantageous if the resulting zinc “slug” inside the crucible is essentially free of voids or there is little or no zinc oxide (ZnO) coating or ZnO embedded in the slug. To minimize the amount of ZnO in the target crucible, the zinc melt may be poured into the crucible through a specially designed funnel at a temperature between about 500° C. and about 550° C. Pouring may also occur in an argon blanket environment. The funnel may be made of graphite and may be about 7 cm long, 3.5 cm in diameter, and have an orifice of approximately 5 mm in diameter. The design of the funnel, and in particular the orifice, may minimize the incorporation of ZnO from entering the target crucible. Instead, the ZnO may float on top of the zinc melt in the funnel as the zinc slowly fills the crucible from the orifice. Thereafter the ZnO may be collected on the angular surface of the funnel, removed, and may be refined by sublimation to recover additional zinc-68 material. After being positioned in a target assembly, step 1104, and directing an electron beam at converter plates, step 1106, the metal target may be irradiated using a 48 MeV, 10 kW electron linac in step 1108. The alumina crucible containing the zinc-68 target obtained from the melted shot may be positioned a few centimeters, e.g. from 3 cm to 6 cm, from the last converter plate. The converter plates may comprise three water-cooled tungsten plates in series, each having a thickness of 1.5 mm and separated from one another by 3 mm. See FIG. 1, for example. Accordingly, cooling the converter plates in step 1110 may help remove heat generated during irradiation. Fast moving electrons from the linac may then strike the converter plates and produce Bremsstrahlung photons as the electrons decelerate within the series of converter plates. The zinc-68 target may irradiate with Bremsstrahlung photons for 1 hour to 260 hours, 1 hour to 180 hours, 1 hour to 80 hours, or 5 hours to 60 hours. Following the irradiation of the target, in a further embodiment, the target may be retained in the target assembly for a time sufficient for the short-lived radioisotopes to decay to background level so that the radiation exposure to working personnel is in agreement with safety limits. To determine if sufficient copper-67 is produced within the zinc-68 target, the irradiated target may be analyzed for activity of various radioisotopes using a photon spectrometer. Different dimensions and masses of a cylindrical zinc target may be investigated. The radius to length ratio of the cylinder that produces the highest activity yield of copper-67 was assessed by comparing results for all possible values of radius. FIG. 7 illustrates a plot that describes the radius to length ratios for 40, 60, 80, and 100 g zinc-68 targets and corresponding copper-67 activity yields. As illustrated, the optimized radius and length for a 40 g zinc target may be found to be 0.8 cm and 2.8 cm respectively. Moreover, the optimal radius to length ratio for a cylindrical target may be about 0.18 to about 0.32 for many mass targets at the given electron energy and electron beam energy of 40 MeV and 1 kW, respectively. For example, it may be advantageous to prepare a zinc-68 target with a radius to length ratio in the range of 0.18 to 0.25. Alternatively, the optimal radius to length ratio may change with a corresponding change in electron beam operational parameters. In one embodiment, for the electron linac used in the photo-production of copper-67, an optimum electron beam energy may be from about 38 MeV to about 42 MeV. In order to maximize the Bremsstrahlung photon yield, while minimizing the zinc target heating, different converter thicknesses may be used. The converter geometry may be found to have three tungsten discs, each with a thickness of 1.5 mm and separated from one another by 3 mm. For example, for a 40 g zinc-68 target cylinder having a radius of 0.8 cm and a length of 2.8 cm in length and an electron beam of 38 MeV, the estimated activity of copper-67 is 16 μCi/g-target-kW-hr. Experimentally, for a cylindrical zinc target of radius 0.9 cm and length of 2.2 cm, the measured copper-67 activity may roughly be 12.4 μCi/g-target-kW-hr. Generally, it may be discovered that the experimentally measured values for the activity of copper-67 is about 20-30% less than the Monte Carlo simulated values. Following the irradiation of the zinc-68 target described herein, (as in method 1100 of FIG. 11) to allow high energy short-lived radioisotopes to decay to safe levels for handling, the target holder may be removed from the target assembly and placed in a lead pig. A sheet of lead glass may be positioned in front of the lead pig. After a minimum of 30 minutes, using laboratory tongs, the target holder containing the target crucible may be removed. Shown in FIG. 12, and method 1200, the target crucible may thereafter be removed and positioned on the support stand of a sublimation apparatus in step 1202. Once a two-piece collection vessel is positioned atop the target crucible, and subsequently a crucible stand, a crucible, collection vessel, and a stepper motor controller may be used to lower the heating element and an attached quartz sublimation vessel over the collection vessel and supported crucible, as in step 1204. The sublimation vessel may be lowered until a lower open end of the vessel contacts an O-ring on the vacuum assembly. A mechanical vacuum pump may be used to achieve a vacuum of about 2 mbar, at which time, a vacuum turbo pump may be used to lower the pressure to less than 1×10−4 mbar, as in step 1206. The vacuum system may be checked for leaks by closing the inlet valve to the turbo pump and verifying the vacuum leak rate is less than a certain rate, for example, 1×10−2 mbar/min. A furnace control program controlling the heating element, may thereafter be initiated to bring the furnace temperature to 150° C., as in step 1208. While the furnace temperature is maintained at 150° C., the vacuum valve to the turbo pump is closed, and the sublimation vessel is purged with argon. The argon valve is then closed and the vacuum opened to reapply a vacuum of about 2 mbar to the sublimation vessel. The argon/vacuum process may be cycled 3 times to remove trace amounts of oxygen gas within the sublimation vessel. Following the third purge cycle, or subsequent cycles, the valve to the turbo pump is opened and the pressure is reduced to less than 1×10−4 mbar. Again, the leak rate of vacuum may be verified to be less than 5×10−3 mbar/min. Additionally, it is contemplated that pressure may be monitored within the collection vessel and/or the sublimation vessel. Thereafter the furnace control program brings the temperature of the furnace to 600° C. and maintains such temperature during the heat cycle. For example, the sublimation run may increase the temperature from 150° C. to approximately 600° C. at a ramp rate of 6 degrees per minute. The rate may be adjustable so as to not crack the components of the apparatus depending on the choice of materials. For example, increasing temperature at high rates may crack materials made of alumina. However, in one embodiment, materials made of quartz may not be as susceptible to higher rates. The total time of the sublimation heat cycle at 600° C. time is approximately 2 hours and 15 minutes, followed by a rapid cool down, as in step 1210. Starting from a 40 g zinc target, the amount of zinc remaining in the crucible may be less than 20 mg after sublimation. Accordingly, a majority of the zinc may condense within the collection vessel during the heating stage. Additionally, throughout the heat cycle, the vacuum may be monitored to ensure the vacuum is less than 1×10−4 mbar. The majority of the zinc may be captured in the collection vessel and thus, may be used to repeat the irradiation process. Afterward, the vacuum valve is closed and the sublimation vessel is slowly back-filled with argon to raise the system pressure to 0 psi, as in step 1212. In turn, the stepper motor controller of the translation stage may be used to raise the quartz tube above the collection vessel. After removing the collection vessel, it may be set aside for further zinc recovery and copper-67 production runs. The crucible containing the copper-67 may then be removed from the sublimation apparatus. Approximately 8 mL of concentrated HCl may be added to the crucible and stirred, as in step 1214. After 30 minutes, for example, the concentrated HCl is pumped onto a 10 mL, 1×8 anion exchange column. The column may be washed with approximately 10 mL of 6M HCl to elute non-copper metal ions from the column. The copper ion may then be eluted with 10 mL of 2M HCl. The 2M eluent is dried in a glass shipping vial to less than a 1 mL volume using a heated flowing flow of nitrogen gas. As indicated, the sublimed zinc-68 may be removed from the collection vessel and may be melted into a new crucible for subsequent photo-generation of copper-67, sublimation, and recovery, for example, by repeating method 1100 and 1200 of FIGS. 11 and 12, respectively. However, each sublimation and crucible re-filling may create some waste zinc that is caught in the pour funnel or escapes due to evaporation onto cooler parts of the melt furnace. The waste zinc may be collected and packed into a crucible or may also be sublimed, melted, and reused in a subsequent irradiation process, for example, method 1100 of FIG. 11. With this recovery process, the total lost zinc per each irradiation/separation/recovery process cycle may be less than 100 mg of zinc-68. Illustrated in FIGS. 8 and 9, experimental production runs may be conducted for the irradiation of a natural zinc target and a zinc-68 target. Particularly, FIG. 8 depicts the isolation yields of the separation stage, i.e., the combined sublimation and chromatography process steps, for the recovery of the copper-67 from the copper-67 in a solid mixture. With the exception of isolated technical issues, the recovery yields may be consistently greater than 90% because the implementation of the funnel fill system with production run number 13 to remove zinc oxide and tight control to prevent contaminants, such as chlorine contacting the zinc. The 60% yields obtained with production runs 20 and 24 may be caused by improper sublimation program or a failed vacuum gasket, respectively. FIG. 9, shows the rate of activity per unit of mass and power for different productions and type of target irradiated (natural or enriched). The plot shows the expected differences between a natural zinc target and a zinc-68 target. Also, the variation in copper-67 activity created from the zinc-68 target runs may be attributed to changes in distance between the converters whereby the target with longer spacing creates lower activity. For waste zinc collected from previous sublimation runs, for example in step 1210 of FIG. 12, the zinc-68 may be heated under an argon atmosphere in a tube furnace to form a melt that is poured into an alumina crucible using the described graphite funnel, as in step 1102 of FIG. 11. Specifically, the amount of zinc-68 in the crucible was 36.52 g. The crucible may therein be positioned in the target assembly and irradiated with a calculated average power of 4.125 kW electron beam for 1 hour, as in steps 1104 and 1108 of FIG. 11. Irradiation was stopped, the crucible was placed in a lead pig, and thereafter the crucible was positioned in a sublimation apparatus the next day (about 23 hours later), though a wait time of about 3 to 5 hours may be typical. The sublimation temperature was brought from room temperature to 150° C. in about 8 minutes, the temperature being measured, for example, with a thermocouple positioned on the exterior of the quartz sublimation vessel within the heat zone of the heating element. The temperature of the sublimation vessel was increase by 6° C./min and the solid mixture sublimed at 600° C. for about 2.5 hours, as in step 1208 of FIG. 12. The measured vacuum (dynamic) within the sublimation vessel was maintained at 1.9×10−5 mbar and the final leak test revealed a leak rate of 6×10−5 mbar/min, as in step 1206 of FIG. 12. After the cool down period, the collection vessel may be removed from atop the crucible. Correspondingly, the sublimed zinc-68 may be removed and collected. The collected zinc sublimate had a measured copper-67 count of 47 cps. The crucible with the retained copper-67 had a measured count of 3150 cps or a copper-67 activity of about 9000 μCi. Thereafter, 6 mL of 10M HCL may be added to the solids remaining in the crucible and after stirring for 30 min, the HCl solution may be placed atop an ion exchange column, as in step 1214 of FIG. 12. The crucible may then be washed with an additional 6 mL of 6M HCl solution and the wash solution added atop the column. A 2M HCl solution (12 mL) may be used to elute non-copper metals from the column. Additionally, a 0.001M HCl solution (12 mL) may be used to elute the copper on the column. After drying the eluent solution with a warm nitrogen stream flow, the residue had a measured copper-67 activity of about 9080 μCi, indicating minimal loss from the column purification stage. Using the irradiation and separation processes described in FIGS. 11 and 12, respectively, FIG. 10 shows the total copper-67 activity for different production runs using different average electron beam power (kW-hr) using either a natural zinc target or a zinc-68 target. As shown, several production runs may be conducted using an approximate 36 g zinc-68 target at an average electron beam power of 4.1 kW for one hour. When using a zinc-68 target, the total activity of copper-67 may range from about 4000 μCi to about 9000 μCi. Also, when using a natural zinc target (about 19% Zinc-68), the total activity of copper-67 may range from about 1200 μCi to about 2100 μCi. In an additional production run, again using an approximate 36 g zinc-68 target, the irradiation time may be increased to two hours, essentially doubling the power or energy used to irradiate the target. As shown, when the electron beam power was increased to about 8.2 kW-hr, a total copper-67 activity of about 17,400 μCi may be obtained. It should be noted that the endpoints of each of the ranges are significant both in relation to the other endpoint, and independently of the other endpoint. It is also understood that there are a number of values disclosed herein, and that each value is also herein disclosed as “about” that particular value in addition to the value itself. For example, if the value “10” is disclosed, then “about 10” is also disclosed. It is also understood that throughout the application, data is provided in a number of different formats, and that this data, represents endpoints and starting points, and ranges for any combination of the data points. For example, if a particular data point “10” and a particular data point “15” are disclosed, it is understood that 10 and 15 are considered disclosed. It is also understood that each unit value between two particular unit values are also disclosed. For example, if 10 and 15 are disclosed, then 11, 12, 13, and 14 are also disclosed. Although several embodiments have been described in language specific to structural features and/or methodological acts, it is to be understood that the claims are not necessarily limited to the specific features or acts described. Rather, the specific features and acts are disclosed as illustrative forms of implementing the claimed subject matter.