patent_number
stringlengths 0
9
| section
stringclasses 4
values | raw_text
stringlengths 0
954k
|
---|---|---|
047131993 | claims | 1. Modular-system depository for dry storage of radioactive waste containers and spent fuel cells comprising a concrete storage block on a concrete base, said concrete storage block containing vertical channels for storing and cooling said radioactive waste and fuel cells, and a cover, said storage block being composed of a plurality of individual concrete blocks each having a plurality of vertical storage and cooling channels extending therethrough, said concrete blocks being stacked beside and on top of one another with said vertical channels in alignment, at least one said container or fuel cell being disposed in each said channel, said concrete blocks having said channels being arranged in essentially equal modular grids and having the same dimensions, a plurality of stacked concrete blocks forming a block segment and a plurality of block segments forming a storage block, said block segments being spaced from said concrete base, the spaces between the bottom of the block segments and the concrete base forming intake air conduits communicating with said vertical storage and cooling channels. 2. Depository of claim 1 which includes a concrete base plate having ribs projecting above the surface thereof to provide an air supply space between the ribs in communication with said vertical storage and cooling channels, said ribs serving to support the said storage block in a position above said base. 3. Depository of claim 1 in which each said storage container or fuel cell is spaced from the inner wall of said vertical channel to provide an annular gap for cooling air. 4. Depository of claim 3 in which said storage container has axial ribs to facilitate cooling. 5. Depository of claim 2 which includes bracing elements to brace the stacked blocks against said base plate. 6. Depository of claim 1 which includes supply and discharge ducts having filters. 7. Depository of claim 1 in which said individual concrete blocks have on their top and bottom stacking surfaces mating centering elements. 8. Depository of claim 1 which includes a concrete ceiling spaced above said storage block to form an intermediate space, which space communicates with a discharge duct. 9. Depository of claim 8 in which said storage containers are tubular and said concrete ceiling contains openings aligned with said vertical channels to permit insertion of said tubular storage containers into said vertical channels. 10. Depository of claim 1 in which each said storage container is suspended within a vertical channel by means of radial arms extending from said container and resting on the top side of the uppermost concrete block. 11. Depository of claim 10 in which the ends of said radial arms rest in recesses in said top side of said uppermost block. 12. Depository of claim 9 in which said openings in said concrete ceiling are enlarged at their upper ends to provide an annular shoulder and the upper ends of said tubular storage containers are similarly enlarged so that they fit within said enlarged openings. 13. Depository of claim 12 which includes a concrete plug within said enlarged portion of said storage container, said plug having the same cross-sectional configuration as said enlarged portion. 14. Depository of claim 13 in which said storage container extends above the top of said plug and carries a cover. 15. Depository of claim 14 in which said storage container is spaced from the wall of said enlarged opening to form an annular space and includes a sealing sleeve disposed between said container cover and the upper edge of said container. 16. Depository of claim 1 in which said blocks are enclosed in cells, the walls, floor and ceiling of which are provided with insulation. 17. Depository of claim 1 in which the outside walls thereof are double shelled. 18. Depository of claim 17 which includes means disposed between said shell walls for monitoring the presence of water and a pump responsive to said monitoring means. 19. Depository of claim 4 in which said axial ribs also serve to space said storage container from the inner wall of said vertical channel. |
summary | ||
047675721 | claims | 1. A method of making a radiation shielding structure for a nuclear installation which comprises the steps of: (a) deriving a radioactive residue having a cobalt 60 equivalent specific activity between 50 Bq/g and 100 Bq/g from a nuclear reactor installation; (b) incorporating said radioactive residue in a composition; and (c) forming said composition into a radiation shielding solid structure. 2. The method defined in claim 1 wherein said residue is derived from concrete parts of said installation. 3. The method defined in claim 2 wherein said residue is derived from metal parts of said installation. 4. The method defined in claim 1 wherein said residue is comminuted and incorporated into a concrete composition as an aggregate. 5. The method defined in claim 1 wherein said residue is smelted in the formation of said composition to form a casting melt. 6. The method defined in claim 5 wherein said casting melt is cast to form a transport and storage vessel constituting the radiation shielding structure. 7. The method defined in claim 6 wherein additional alloying elements are added to said residue in the formation of said melt. 8. A radiation shielding structure made by the method defined in claim 1. 9. The use of a radioactive nuclear plant residue having a cobalt 60 equivalent specific activity between 50 Bq/g and 100 Bq/g as a material for the production of radiation shielding structures. 10. A method for the use of comminuted radioactive concrete, having previously been a building component for a nuclear installation, as a construction material in a new concrete radiation shielding structure, said comminuted concrete having a cobalt 60 equivalent to specific activity between 50 Bq/g and 100 Bq/g. 11. A method for the use of radioactive contaminated metal parts, previously having been a structural component of a nuclear installation, as a part of a new radiation shielding structure in the form of a transport and storage vessel, said radioactive metal parts having a cobalt 60 equivalent specific activity between 50 Bq/g and 100 Bq/g. |
abstract | A reflective-type soft X-ray microscope includes an image-focusing optical system including a concave mirror and a convex mirror, an illumination optical system that has a light source, a filter, and a focusing optical element for transmitting an illumination light beam, and a stage mechanism that carries and moves a sample under observation. In the reflective-type soft X-ray microscope, the concave mirror has at least one opening part for transmitting the illuminating light beam that illuminates the sample, and a reflected image of the sample is focused on a soft X-ray image detector by the image-focusing optical system. |
|
052951707 | description | DETAILED DESCRIPTION OF THE INVENTION Referring to FIG. 1, a nuclear reactor 1 of the present invention features a reactor vessel 2 and steam generators 4.1, 4.2 enclosed within a containment vessel 6. The containment vessel is supported by a concrete foundation 8. Concrete 10 within the containment vessel defines spaces for the reactor vessel and the primary coolant pumps 12 on the lower ends of the steam generators. Sumps 14.1, 14.2 for the ECCS Water are defined by the concrete 10 proximate to the steam generators 4.1, 4.2. Also within the containment vessel is a water storage tank (not shown) for the ECCS and a passive heat exchanger (not shown) within the ECCS water storage tank. The water tank has a capacity of about 600,000 gallons. After a loss of core coolant accident, the water from the water tank is dumped into the containment vessel 6. The maximum post accident water level 16 is depicted by a broken line. Referring now also to FIG. 2, located above the maximum post accident water level 16 is a stainless steel pH tank 18 having a capacity of about 160-200 ft.sup.3, depending upon the size of the reactor. During normal operation of the reactor 1, the pH tank 18 is about 80-85% filled with liquid NaOH 19. Extending from the bottom end of the pH tank 18 are two tubes 22.1, 22.2 connecting the tank to the inlets of two squib valves 20.1, 20.2. The outlets of the squib valves 20.1, 20.2 connect to routing means for directing the NaOH 19 from the pH tank 18 to spaced apart pH sumps 24.1, 24.2 located in the containment. Fluid lines 26.1 and 26.2 both feed into a common line 28. The common line 28 connects to two flow adjustment orifices 30.1, 30.2 that in turn connect to sump injection lines 32.1, 32.2. Lines 32.1, 32.2 are open at their other ends, opposite the flow adjustment orifices 30.1, 30.2, such that any fluid within them may gravitationally drain into pH sumps 24.1, 24.2. The upper end of the pH tank 18 is connected to nitrogen gas line 34. The liquid NaOH 19 in the pH tank 18 is covered with a slight overpressure of N.sub.2 gas 36. In gas communication with the pH tank 18 via line 34 are two vacuum breakers 38.1, 38.2. Four radiation monitors 40 (see FIG. 1) are located at dispersed points within the containment vessel 6. Each of the monitors provides a radiation signal indicative of a radiation condition to a logic circuit 41. When the radiation signals from at least two of the monitors 40 exceed predetermined levels (orders of magnitude above normal levels), the logic circuit 41 provides a trigger signal to both squib valves 20.1, 20.2. The trigger signal activates the explosive squib valves 20.1, 20.2, thereby opening them. The NaOH 19 in the pH tank 18 gravitationally drains through lines 22.1, 22.2, squib valves 20.1, 20.2, lines 26.1, 26.2, common line 28, flow adjustment orifices 30.1, 30.2, and sump injection lines 32.1, 32.2 into pH sumps 24.1, 24.2. The initial outflow of NaOH from the tank creates a partial vacuum, opening the vacuum breakers 38.1, 38.2 to admit air to the tank 18 to aid in draining. The pH sumps 24.1, 24.2 are located in close proximity to the ECCS sumps 14.1, 14.2 such that the NaOH will mix with ECCS water quickly. In a preferred embodiment, the pH sumps are at the bottom of the ECCS sumps, 14.1, 14.2, as depicted in FIG. 1, such that ECCS water circulating from the ECCS sumps through a network of circulation pipes (not shown) to other areas of the containment mix with NaOH in the pH sumps. Radiation monitors 40 are well know in the art of nuclear reactor design, as is the logic circuitry 41 for triggering the squib valves 20.1, 20.2. These instruments and the squib valves may be operated from their own dedicated power supply so that they will not be subject to common cause failure with other reactor systems. The pH sumps 24.1, 24.2 have a combined volume sufficient to hold the contents of the pH tank 18. The flow adjustment orifices 30.1, 31.2 are pre-set such that each pH sump receives the same amount of NaOH. In setting up the system, or at any later time prior to an accident that activates the system, the flow can be adjusted to a proper balance by feeding demineralized water to flow adjustment orifices 30.1, 30.2, measuring the existing flow characteristics, determining the desired balance and flow rate, and changing the flow adjustment orifices accordingly. The demineralized water enters the system through port 42. The line leading from port 42 splits into lines 46.1 and 46.2 that feed into lines 26.1 and 26.2, respectively. The water then enters common line 28 that feeds the flow adjustment orifices 30.1, 30.2. Water valves 48.1, 48.2 in lines 46.1, 46.2 are shut in normal reactor operation and are only opened for flow adjustment or to flush out the lines. The pH tank 18 has a pair of fluid level monitors 44.1, 44.2. The monitors can be heated thermocouples having multiple set points to indicate different fluid levels. The outputs of the monitors are displayed in the control room (not shown). A fill line 50 is also provided for the pH tank 10. The N.sub.2 gas 36 that fills the space in the pH tank 18 above the liquid NaOH 19 is provided as a nonreactive cover for the NaOH. Otherwise, if air containing water vapor were allowed to enter the pH tank 18, the water vapor would degrade the NaOH. Air is prevented from entering the tank by maintaining an overpressure of about 10-20 PSI in gas line 34. The overpressure also ensures that the vacuum breakers 38.1, 38.2 will not open accidentally. N.sub.2 gas line 34 is provided with a relief valve 52, and with a vent 62 that can be connected to a vacuum line (not shown). The vent 62 is normally capped and valved off by valve 60. Pressure sensor 56 connected to line 34 provides a signal indicative of the N.sub.2 gas pressure to a pressure display 58 in the control room. The pressure sensor 56 can be valved off from line 34 by valve 54. N.sub.2 gas is fed from source 70 into line 34 via line 64. Valve 68 connects the source 70 to line 64, and valve 66 connects lines 34 and 64. The N.sub.2 gas source 70 can be a pressurized tank (not shown). Line 64 has relief valve 72 connected to it. Means are provided to check for blockages in lines 22.1, 22.2 with N; gas. Line 64 branches into lines 76.1, 76.2, which can be valved off by valves 74.1, 74.2. Lines 76.1 and 76.2 connect at their other ends to lines 22.1 and 22.2, respectively, just above squib valves 20.1, 20.2. By closing valve 66 and opening valve 74.1, N.sub.2 gas will flow through line 76.1 into line 22.1 and, if that line is clear, bubble up through the NaOH 19 in the pH tank 18 and vent through relief valve 52. Line 22.2 can be checked in a similar fashion by opening valve 74.2 instead of valve 74.1. Also provided is means for sampling the contents of pH tank 18. Line 80, connecting to and extending down from line 76.2 at an elevation below the bottom of the pH tank 18, is provided with a valve 78 to extract fluid from the line. The open end of line 80 is normally capped when not in use. All fluid and gas lines, like the pH tank, should be of a durable, corrosion resistant material. Stainless steel tubes of 3/4"-1" nominal diameter are preferred. One can readily appreciate from the foregoing discussion that the passive means of adjusting the pH of post-accident water is highly redundant for additional reliability. For example, there are two squib valves, two vacuum breakers, and two fluid lines from the pH tank to direct the NaOH to two pH sumps. Active elements in the system are kept to a minimum, reducing the chances of common cause failures. With the benefit of the foregoing, one skilled in the art may be able to make modifications to the invention in whole or in part, in addition to those set forth, without departing from the true scope and spirit of the invention set forth in the claims. |
abstract | A collimating device for controlling a radiation field of an X-ray radiated from an X-ray radiator. The device includes a plurality of first collimating leaves, a plurality of second collimating leaves, a beam generator, a detector, a memory, and a controller. The plurality of second collimating leaves oppose the first collimating leaves. The beam generator is configured to generate a beam. The beam emanates between the first collimating leaves and the second collimating leaves. The detector is configured to detect the beam. The memory is configured to store position information of each leaf of the first and second collimating leaves when the each leaf is determined to intersect the beam based on the detection. The controller is configured to position the each leaf based on the position information so as to control the radiation field. |
|
abstract | A control rod (2) for boiling water reactor comprising four absorber blades (6, 7, 8, 9) which form an orthogonal cross with a cruciform centre (10). The width of the absorber blades coincides with the radial direction of the control rod and the length of the absorber blades coincides with the axial direction of the control rod. Each one of the absorber blades comprises an absorber material distributed in the longitudinal direction, whereby a mean value of the quantity of absorber material per unit of length of the control rod is smaller in the upper part of the control rod than in the lower part thereof. Each one of the absorber blades comprises in its upper part (15) an inner part arranged radially inside an outer part, where the outer part is provided with absorber material whereas the inner part lacks absorber material, whereby said inner part in at least some portion constitutes at least one-fourth of the width of the absorber blade. |
|
claims | 1. An apparatus for forming stress corrosion cracks in a tube specimen having two ends, comprising:a heating unit, provided on an outer surface of the tube specimen for generating a steam pressure in the tube specimen;an end holding unit for closing and sealing both open ends of the tube specimen so that the steam pressure is generated and maintained in the tube specimen, wherein the end holding unit comprises an upper plate and a lower plate for closing both ends of the tube specimen, and a plurality of tension bars disposed around the tube specimen, each of which including a hydraulic or pneumatic cylinder using hydraulic or pneumatic pressure as an operation pressure or an actuator rod using power to adjust a distance between the upper plate and the lower plate;a control unit for controlling the heating unit and the end holding unit; anda cooling unit connected to the lower plate so that the steam in the tube specimen is passed through the cooling unit having at least a predetermined length for phase transition of steam into water to lower temperature and pressure of the steam. 2. The apparatus as set forth in claim 1, wherein the heating unit comprises a direct heating coil having a heating wire which is heated using power. 3. The apparatus as set forth in claim 1, wherein the control unit comprises a steam temperature measuring sensor for measuring a temperature of the steam in the tube specimen, an etchant temperature measuring sensor for measuring a temperature of an etchant in the tube specimen, a pressure measuring sensor for measuring an inner pressure of the tube specimen, and a controller electrically connected to the pressure measuring sensor to receive detection signals and to selectively output control signals to the heating unit based on the received detection signals. 4. An apparatus for forming stress corrosion cracks in a tube specimen having two ends, comprising:a heating unit provided on an outer surface of the tube specimen for generating a steam pressure in the tube specimen;an end holding unit for closing and sealing both open ends of the tube specimen so that the steam pressure is generated and maintained in the tube specimen, wherein the end holding unit comprises an upper plate and a lower plate for closing both ends of the tube specimen, and a plurality of tension bars disposed around the tube specimen, each of which including a hydraulic or pneumatic cylinder using hydraulic or pneumatic pressure as an operation pressure;a control unit for controlling the heating unit and the end holding unit; anda cooling unit connected to the lower plate so that the steam in the tube specimen is passed through the cooling unit having at least a predetermined length for phase transition of steam into water to lower temperature and pressure of the steam. 5. The apparatus as set forth in claim 4, wherein the control unit comprises a steam temperature measuring sensor for measuring a temperature of the steam in the tube specimen, an etchant temperature measuring sensor for measuring a temperature of an etchant in the tube specimen, a pressure measuring sensor for measuring an inner pressure of the tube specimen, and a controller electrically connected to the pressure measuring sensor to receive detection signals and to selectively output control signals to the heating unit based on the received detection signals. |
|
abstract | The invention relates to the field of thermonuclear fusion and can be used in devices for electrically connecting internal elements of the reactor chamber to the vacuum vessel of the nuclear fusion reactor. The present device for electrically connecting elements inside the chamber of a reactor to the vacuum vessel of the nuclear fusion reactor comprises lamellar electrically conductive elements with surface portions oriented in different directions, said elements being stacked between flanges. The device is made as an integral unit, where profiled slots are formed with connecting walls therebetween. The connecting walls constitute the electrically conductive elements and have profiled sections of an increased thickness between the differently oriented surface portions at transition areas to the flanges provided at the end parts of the integral unit. The technical effect of the present invention is an increase in the cyclic strength of the electrically conductive elements at the transition areas between the elements and the flanges and between the differently oriented surface portions (at bends) of the elements. The invention also provides that the electrically conductive elements have similar technical characteristics. |
|
abstract | A fuel assembly comprises a plurality of fuel rods bundled in grid pattern, a part of the fuel rods containing gadolinium as a burnable poison. At least one of the fuel rod having gadolinium contains gadolinium enriched in at least one kind of isotope of odd mass number more than an isotopic abundance of natural gadolinium. In the enriched gadolinium, a ratio of a content of Gd-155 to that of Gd-157 is 0.1 or less. An average concentration (wt %) G0 of enriched gadolinia is, with M denoting the number of month under rated power operation per one cycle of an equilibrium core, P power density of a nuclear reactor (kw/l unit) and W a sum of isotopic composition, is set in the range shown by the following expression. |
|
053575474 | description | SUMMARY OF THE INVENTION Disclosed herein is a vibration dampener for dampening the vibration of a tubular member. The vibration dampener of the present invention comprises a sleeve having a wall for surrounding the tubular member, the wall having a pair of spaced-apart slots therethrough; support means attached to the wall for supporting the tubular member; and biasing means formed from the wall and interposed between the slots for biasing the tubular member into abutment with the support means, the biasing means having a first end portion and a second end portion thereof attached to the wall. More specifically, the invention is a vibration dampener for dampening vibration of a tubular member, such as an instrumentation tube of the type typically found in nuclear reactor pressure vessels. The instrumentation tube, which contains at least one in-core physics measuring device, is received in an outer tubular member, such as a guide thimble tube. The vibration dampener comprises an annular sleeve which is attachable to the inside surface of the guide thimble tube and which is sized to surround the instrumentation tube. Dimples are attached to the interior wall of the sleeve for radially supporting the instrumentation tube. The wall of the sleeve has a spring member, which is formed from the wall, disposed opposite the dimples for biasing the instrumentation tube into abutment with the dimples. Flow-induced vibration of the instrumentation tube will cause it to move out of contact with the dimples to deflect the spring member, which will flex a predetermined amount and exert a reactive force against the instrumentation tube. The reactive force exerted against the instrumentation tube will be sufficient to return it to its original axial position within the guide thimble tube in a manner that reduces the amplitude of vibration of the instrumentation tube. In this manner, vibration of the instrumentation tube is dampened (i.e., amplitude of vibration is reduced) so that in-core physics measurements are accurate and so that the instrumentation tube will not prematurely wear against the inside surface of the guide thimble tube. An object of the present invention is to provide a vibration dampener for dampening flow-induced vibration of a tubular member, such as an instrumentation tube of the type typically found in nuclear reactor pressure vessels. Another object of the present invention is to provide a vibration dampener capable of dampening vibration (i.e., reducing the amplitude of vibration) of the tubular member as the tubular member vibrates within an outer tube, such as a guide thimble tube. A feature of the present invention is the provision of a sleeve to surround the inner tubular member, the sleeve being attachable to the inside surface of the outer tube and having dimples and spring members formed from the wall thereof for dampening the vibration of the inner tubular member. An advantage of the present invention is that flow-induced vibration of the instrumentation tube (i.e., inner tubular member) is dampened as the dimples abut the instrumentation tube and as the spring member flexibly biases the instrumentation tube into abutment with the dimples, so that core physics quantities (e.g., neutron flux) are accurately measured and so that the instrumentation tube does not vibrate against the inside surface of the outer tube and experience premature wear. DESCRIPTION OF THE PREFERRED EMBODIMENT Nuclear reactor pressure vessels contain internal components, such as instrumentation tubes for measuring core physics quantities such as neutron flux. However, during normal operation of the reactor, the liquid coolant circulating in the pressure vessel causes vibration of the internal components, including the instrumentation tubes. Moreover, off-normal operation caused by seismic events and postulated accidents (e.g., loss-of-coolant accident) also causes vibration of the internal components, including the instrumentation tubes. It is desirable to dampen vibration of the instrumentation tube during normal and off-normal operating conditions because excessive vibration may lead to inaccurate measurement of the core physics values and premature wear of the instrumentation tube. Therefore, disclosed herein is a vibration dampener for dampening the vibration of a tubular member, such as an instrumentation tube of the type typically found in nuclear reactor pressure vessels. However, before describing the subject matter of the present invention, it is instructive first to briefly describe the structure and operation of a typical nuclear power reactor. Therefore, referring to FIGS. 1 and 2, there is shown a typical nuclear power reactor, generally referred to as 10, for producing heat by the controlled fission of fissionable nuclear fuel material (not shown). Reactor 10 includes a generally cylindrical vertically-oriented reactor pressure vessel shell 20 open at its top end and having a plurality of inlet nozzles 30 and outlet nozzles 40 attached to the upper portion thereof (only one of each nozzle is shown). A hemispherical closure head 50 is mounted atop vessel shell 20 and is sealingly attached, which may be by bolting, to the open top end of vessel shell 20, so that closure head 50 sealingly caps vessel shell 20. Capping vessel shell 20 in this manner allows for suitable pressurization of the coolant within vessel shell 20 as reactor 10 operates. Still referring to FIGS. 1 and 2, contained in reactor 10 is a nuclear reactor core, generally referred to as 60, having the nuclear fuel disposed in a plurality of fuel assemblies 70. A control rod drive shaft (not shown) engages a plurality of movable control rods (not shown) for controlling the fission process in fuel assemblies 70 in a manner well known in the art of nuclear power production. Disposed inwardly of vessel shell 20 is a horizontal upper support plate 80 that transmits loads from the core and other internal components to the pressure vessel wall and a horizontal upper core plate 90 that supports and locates the top of fuel assemblies 70, which upper core plate 90 is spaced below upper support plate 80. Upper support plate 80 has a bottom surface 100 and upper core plate 90 has a top surface 110 thereon. Moreover, upper support plate 80 and upper core plate 90 each has a multiplicity of coolant flow orifices 120 for flow of liquid moderator coolant (i.e., demineralized water) therethrough, which coolant removes the heat produced by fission of the fissionable fuel material contained in fuel assemblies 70 and which also assists the fission process. Upper support plate 80 also has a plurality of internally threaded apertures 130 for reasons provided hereinbelow. Moreover, upper core plate 90 has a plurality of instrumentation holes 140 therethrough for reasons disclosed presently. Referring to FIGS. 2, 3, 4, 4A, 4B and 4C interposed between upper support plate 80 and upper core plate 90 is a tubular support column 150 for supporting upper support plate 80 and for connecting upper support plate 80 to upper core plate 90. Support column 150 has an inside surface 160 for receiving a tubular instrumentation conduit 165, which is connected to inside surface 160 and coaxially disposed therein. Conduit 165 has an inside surface 167 for slidably receiving an instrumentation tube 170, which instrumentation tube 170 has at least one detector 180 attached therein for measuring core physics quantities (e.g., neutron flux). Support column 150 also includes an upper portion 185 matingly engaging aperture 130 so that support column 150 is laterally supported in aperture 130. Coaxially mounted atop upper portion 185 of support column 150 is a tubular support column extension 190 having an inside surface 200 for slidably receiving instrumentation tube 170 therealong. Support column extension 190 has external threads around an end thereof for threadably engaging the internal threads of aperture 130 so that support column extension 190 is threadably connected to upper support plate 80. Support column 150 also includes a lower portion 210 having a plurality of integrally attached outwardly extending feet 220 depending from lower portion 210 for resting support column 150 on top surface 110 of upper core plate 90. Each of the feet 220 is attached to upper core plate 90 by a fastener 230. Threadably connected to inside surface 160 of lower portion 210 may be a generally tubular instrumentation tube adaptor 240 for supporting conduit 165. Instrumentation tube adaptor 240 has an inside surface 245 for receiving conduit 165, which extends through instrumentation tube adaptor 240 and instrumentation tube hole 140. Referring again to FIGS. 2, 3, 4, 4A, 4B and 4C disposed coaxially below instrumentation tube hole 140 (i.e., beneath upper core plate 90) and spaced apart therefrom is fuel assembly 70 comprising a plurality of vertically-oriented fuel rods 250 arranged in spaced parallel array. Each fuel rod 250 is received through an associated open lattice cell (not shown) formed in a fuel assembly grid 260. In this regard, each lattice cell frictionally engages each fuel rod 250 for securing each fuel rod 250 in grid 260. Also extending through a respective one of the lattice cells and approximately centrally disposed in fuel assembly 70 is an elongate vertically-oriented guide thimble tube 270 having an inside surface 280 for slidably receiving instrumentation tube 170. A plurality of grids 260 are axially spaced-apart along fuel assembly 70 for maintaining fuel rods 250 and guide thimble tube 270 in spaced parallel array. Fuel assembly 70 also includes a top nozzle 290 having an upwardly extending hold-down spring 300 attached to the top portion thereof. Hold-down spring 300 downwardly biases fuel assembly 70, as it engages core plate 90, so that fuel assembly 70 will not experience lift-off from a lower core plate 305 (see FIG. 1) that is spaced below upper core plate 90 and on which lower core plate 305 fuel assembly 70 rests. With reference to FIGS. 2, 3, 4, 4A, 4B and 4C, it will be readily understood that a gap or space exists .between upper core plate 90 and top nozzle 290. This space is large enough to allow the coolant to enter that space and impact instrumentation tube 170, which will have a portion thereof exposed to the coolant. As the coolant impacts instrumentation tube 170, the instrumentation tube 170 will experience lateral vibration. It is desirable to prevent or at least dampen (i.e., decrease the amplitude of) such vibration so that the core physics measurements obtained by detector 180 are accurate and so that instrumentation tube 170 will not experience premature wear due to vibration against the inner surfaces of instrumentation conduit 165 and guide thimble tube 270. Therefore, referring to FIGS. 4, 4A, 4B, 4C, 5, 6, 6A, 7, and 8, there is shown a vibration dampener, generally referred to as 310, for dampening vibration of a tubular member, such as instrumentation tube 170. As disclosed more fully hereinbelow, vibration dampener 310 is attached to inside surface 245 of conduit 165 and also may be attached to inside surface 280 of guide thimble tube 270 for dampening vibration of instrumentation tube 170. In this regard, dampener 310 comprises a generally cylindrical sleeve 320 having an interior surface 330 for surrounding instrumentation tube 170 and an exterior surface 340 for matingly engaging inside surface 167 of conduit 165 or inside surface 280 of guide thimble tube 270. The interior and exterior surfaces 330/340 define an annular wall 350 therebetween. Sleeve 320 also has a first end 343 and a second end 345. Moreover, sleeve 320 is made of a material, such as zircaloy alloy or the like, having a relatively low microscopic absorption cross section for thermal neutrons so that sleeve 320 will not substantially parasitically absorb neutrons that might otherwise participate in the fission process. In this regard, sleeve 320 may be "ZIRCALOY-2" comprising, by weight percent, approximately 1.50% tin, 0.12% iron, 0.09% chromium, 0.05% nickel, and 98.24% zirconium. Referring again to FIGS. 4, 4A, 4B, 4C, 5, 6, 6A, 7, and 8, sleeve 320 may include a pair of parallel elongate cut-outs or slots 360 therethrough. Slots 360 extend longitudinally in wall 350 from near first end 343 to near second end 345 of sleeve 320. Radially disposed opposite slots 360 (i.e., at an angle of approximately 180 degrees with respect to slots 360) and formed from wall 350 of sleeve 320 is support means, which may be a pair of dimples 370, for supporting instrumentation tube 170. Although in the preferred embodiment of the invention, dimples 370 are formed from wall 350, it will be appreciated that dimples 370 need not be formed from wall 350; rather, dimples 370 may be elements integrally attached, such as by welding, to interior surface 330 of wall 350. In either case, dimples 370 inwardly project from interior surface 330 for contacting or abutting instrumentation tube 170, so that instrumentation tube 170 is suitably supported thereby. Still referring to FIGS. 4, 4A, 4B, 4C, 5, 6, 6A, 7, and 8, interposed between slots 360 is biasing means, such as an elongate spring member 380, for biasing instrumentation tube 170 into abutting engagement with dimples 370. It will be understood that spring member 380 is radially disposed at an angle of approximately 180 degrees with respect to dimples 370. That is, spring member 380 is interposed between slots 360, which slots 360 are themselves disposed opposite (i.e., approximately 180 degrees from) dimples 370, as previously described. Spring member 380 has a first end portion 390 integrally attached to wall 350 near first end 343 of wall 350. Spring member 380 also has a second end portion 400 integrally attached to wall 350 near second end 380 of wall 350. Moreover, spring member 380, which may be formed from wall 350, is inwardly-directed and is generally arch-shaped in transverse cross section. It will be understood that spring member 380 need not be formed from wall 350; rather, spring member 380 may be an element that is integrally attached, such as by welding, to interior surface 330 of wall 350. In addition, integrally attached to spring member 380 is a raised nodule 410 for contacting instrumentation tube 170 as instrumentation tube 170 vibrates against spring member 380. Nodule 410, which may be formed from spring member 380, is inwardly-directed and is generally arch-shaped in transverse cross section. Referring yet again to FIGS. 4, 4A, 4B, 4C, 5, 6, 6A, 7, and 8, there may be a plurality of pairs of dimples 370 and a plurality of spring members 380 formed from wall 350. In the preferred embodiment of the invention, there are two pairs of dimples 370 and two spring members 380 that are formed from wall 350 of sleeve 320. That is, the second pair of dimples 370 may be radially disposed at an angle of approximately 90 degrees with respect to a first pair of dimples 370. Moreover, a second spring member 380 may be radially disposed at an angle of approximately 90 degrees with respect to a first spring member 380. It will be appreciated that having two pairs of dimples 370 and two spring members 380 disposed in the manner disclosed immediately hereinabove will allow the four dimples 370 and the two spring members 380 to apply radially symmetrical dampening forces to instrumentation tube 170. Applying radially symmetrical dampening forces to instrumentation tube 170 assists in re-centering instrumentation tube 170 coaxially along interior surface 330 as the vibration of instrumentation tube 170 is dampened and will also prevent instrumentation tube 170 from wearing against inside surfaces 167/280 of conduit 165 and thimble tube 270, respectively. As best seen in FIGS. 9 and 10, there is shown an alternative embodiment of the invention, which is a vibration dampener, generally referred to as 420, for dampening the vibration of a tubular member, such as instrumentation tube 170. Vibration dampener 420 is substantially identical to vibration dampener 310 except that slots 360, spring member 320 and dimples 370 are formed circumferentially in wall 350 rather than being formed longitudinally in wall 350. By way of example only, and not by way of limitation, sleeve 320 may be approximately five inches long and have an outside diameter of approximately 0.58 inches and a wall 350 thickness of approximately 0.04 inches for accommodating an instrumentation tube 170 having an outside diameter of approximately 0.54 inches. Each dimple 370 may have a length of approximately 0.27 inches and inwardly project approximately 0.04 inches from interior surface 330. In addition, each slot 360 may have a length of approximately 2.00 inches and a width of approximately 0.05 inches. Each spring member 380 may have a length of approximately 2.00 inches and inwardly project approximately 0.06 inches from interior surface 330. Moreover, nodule 410 may have a length of approximately 0.24 inches and inwardly project approximately 0.04 inches from spring member 380. Thus, it will be appreciated from the above description that the dimples and spring members reduce the effective inside diameters of conduit 165 and thimble tube 270 for dampening the vibration of instrumentation tube 170. OPERATION During operation of nuclear reactor 10, the liquid moderator coolant enters inlet nozzle 30 and circulates through reactor core 60 and through orifices 120 in upper support plate 90 and upper core plate 100. The coolant that circulates within vessel shell 20 eventually exits vessel shell 20 through exit nozzle 40, whereupon it is piped to a heat exchange device (not shown) for generating steam. The coolant mass flow rate in vessel shell 20 during normal operation may be relatively high, such as approximately 40,000 pounds mass per second. The coolant mass flow rate in vessel shell 20 during off-normal operation (e.g., seismic events and postulated accidents) may cause even higher flow rates. Such relatively high mass flow rates necessarily cause vibration of the reactor internal components, including instrumentation tube 170. This is so because the coolant will flow into the space defined between upper core plate 90 and top nozzle 290 and impact instrumentation tube 170. As the coolant impacts instrumentation tube 170, instrumentation tube 170 will vibrate at a given amplitude of vibration. Unless mitigated, the undesirable vibration referred to hereinabove will radially displace instrumentation tube 170 away from its predetermined longitudinal axis such that in-core physics measurements (e.g., neutron flux measurements) taken by detector 180 may be inaccurate. Such in-core physics measurements will be inaccurate because the precise location of detector 180 may not be known due to the lateral displacement of detector 180, which displacement in turn is caused by the flow-induced vibration of instrumentation tube 170. It is desirable to precisely and accurately determine the location of detector 180 when it resides in core 60 in order to precisely determine the physics value at a predetermined location in core 60. For example, precise determination of the spatial distribution of neutron flux in core 60 allows a reactor operator to precisely determine the spatial distribution of the power level in core 60. As well known in the art of nuclear power production, it is important to determine the spatial distribution of the power level in order to suitably control the fission process and for other safety reasons. Moreover, unless mitigated, the undesirable vibration referred to hereinabove will allow instrumentation tube 170 to vibrate against inside surface 167 of instrumentation tube adaptor 240 and also vibrate against inside surface 280 of guide thimble tube 270. This is undesirable because such unmitigated vibration may cause instrumentation tube 170 to experience premature wear as it vibrates against inside surfaces 167/280. Extreme premature wear of instrumentation tube 170 may increase the likelihood that a portion of instrumentation tube 170 will break-away and become a loose part within core 60, which is undesirable for safety reasons. Hence, the present invention dampens the vibration of instrumentation tube 170 so that instrumentation tube 170 will not experience premature wear as it vibrates in conduit 165 and guide thimble tube 270. Therefore, as instrumentation tube 170 vibrates, it will tend to radially or outwardly translate out of its normal engagement with dimples 370 and thus away from its predetermined longitudinal axis. As instrumentation tube 170 outwardly moves away from its predetermined longitudinal axis, it will further engage and laterally move nodule 410, which belongs to spring member 380. As instrumentation tube 170 moves nodule 410 of spring member 380, spring member 380 will outwardly flex or bend a predetermined radial distance. The amount of flexing or bending of spring member 380 will be predetermined by the spring constant of spring member 380 and by the amplitude of vibration of instrumentation tube 170. As spring member 380 outwardly flexes due to the force exerted by instrumentation tube 170, it will simultaneously exert an inwardly-directed reaction force against instrumentation tube 170 sufficient to retard the outward movement of instrumentation tube 170 and thus decrease or dampen the amplitude of vibration of instrumentation tube 170. That is, as spring member 380 exerts the reaction force against instrumentation tube 170, instrumentation tube 170 will tend to return to its predetermined longitudinal axis within instrumentation tube adaptor 240 and guide thimble tube 270. As instrumentation tube 170 returns to its original axis, it will reengage or return into abutment with dimples 370 which radially support instrumentation tube 170. As instrumentation tube 170 abuts dimples 370, it will be re-centered within instrumentation tube adaptor 240 and guide thimble tube 270. In this manner, dimples 370 and spring member 380 will limit the amplitude of vibration of instrumentation tube 170 to a value that is less than its amplitude of vibration absent dimples 370 and spring member 380. Although the invention is fully illustrated and described herein, it is not intended that the invention as illustrated and described by limited to the details shown, because various modifications may be obtained with respect to the invention without departing from the spirit of the invention or the scope of equivalents thereof. For example, although the preferred embodiment of the invention is disclosed with particular reference to dampening flow induced vibration of nuclear reactor core instrumentation tubes, the invention is suitable for use wherever dampening the vibration of any similar tubular member is desired, whether or not the vibration is flow-induced by operation of a nuclear reactor. Therefore, what is provided is a vibration dampener for dampening the vibration of a tubular member, such as an instrumentation tube of the type typically found in nuclear power reactor pressure vessels. |
abstract | Angular electrostatic filters and methods of filtering that remove energy contaminants from a ribbon shaped ion beam are disclosed. An angular electrostatic filter comprises a top deflection plate and a bottom deflection plate extending from an entrance side to an exit side of the filter. The bottom deflection plate is substantially parallel to the top deflection plate and includes an angle portion. An entrance focus electrode is positioned on the entrance side of the filter and an exit focus electrode is positioned on the exit side of the filter and both serve to focus the ion beam. Edge electrodes are positioned between the top and bottom deflection plates and at sides of the filter to mitigate edge effects. A negative bias is also applied to the top and bottom plates to mitigate space charge by elevating the beam energy. |
|
description | This application is a continuation of and claims priority under 35 USC 120 to U.S. patent application Ser. No. 13/311,486, filed on Dec. 5, 2011 and entitled “Radiation Control And Minimization System And Method Using Collimation/Filtering” which in turn claims the benefit under 35 USC 119(e) to U.S. Provisional Patent Application Ser. No. 61/453,540 filed on Mar. 16, 2011 and entitled “Radiation Control and Minimization System and Method”, the entirety of both of which are incorporated herein by reference. The disclosure relates generally to radiation systems (whether for industrial, security, therapeutic use or imaging) and in particular to systems to minimize the radiation to which a patient, a person, an object or an operator is exposed. Devices and system that generate various forms of radiation/ionizing energy are used for various therapeutic/treatment, diagnostic or imaging purposes. For example, various forms of radiation/ionizing energy may be used to inspect an object (such as in airports scanning systems, different security setups, manufacturing and process control) or inspect a patient (such as in a clinic or a hospital, e.g. Cath lab, where a surgeon/therapist operates an X Ray or CT system.) The medical imaging industry for example is heavily focused on reducing the radiation dose in diagnostic and treatment procedure that include hardware and software modification and operator room procedures. See Miller D L, Balter S, Schueler B A, Wagner L K, Strauss K J, Vano E. “Clinical radiation management for fluoroscopically guided interventional procedures”, Radiology. November 2010; 257(2):321-332. The reporting of radiation dose is one of the QA measurements that are required by Medicare. Furthermore, the Food and Drug Administration in their 2010 “White paper” called for significant reduction of the “unnecessary radiation”. FDA, “White Paper: Initiative to Reduce Unnecessary Radiation Exposure from Medical Imaging. In: Administration CfDaRHUSFaD, ed. 2010. There are two main components that can reduce radiation exposure. The first component is the technical improvements of the x-ray equipment, such as investment in better filtering, collimators, acquisition equipment and image analysis. The other component is the way the operator uses the radiation, which includes the length of exposure, distance from the source to the patient and proper collimation. See Miller D L, Balter S, Schueler B A, Wagner L K, Strauss K J, Vano E. “Clinical radiation management for fluoroscopically guided interventional procedures”, Radiology. November 2010; 257(2):321-332 and Arthur W R, Dhawan J, Norell M S, Hunter A J, Clark A L, “Does cardiologist- or radiographer-operated fluoroscopy and image acquisition influence optimization of patient radiation exposure during routine coronary angiography?”, Br J Radiol. September 2002;75(897):748-753. The radiation education of the operator/physician is critical to reduce the radiation dose and trained physician utilize significantly lower amounts of radiation. A similar focus to reduce radiation exposure exists in the non-medical areas. For example, the nuclear industry has been very sensitive for several decades to radiation exposure and in many other manufacturing fields there are strict guidelines for minimizing exposure. See Http://www.state.il.us/iema/publications/pdf/IEMA%20032%20Everyday%20Uses%20of%20R adiation.pdf. For example, during a fluoroscopy guided, interventional medical procedure, there are periods of time when the operator (usually a physician), even when he/she activates the radiation source which radiates the patient and the staff does not receive the information that is generated by a radiation source. This radiation (and the information in it) are not only wasted but are furthermore needlessly damaging to the patient and the staff/operator of the radiation source. This may be referred to as “Unattended Radiation” (UR) which is undesirable. Thus, in the various different applications in which objects or patients are being inspected, it is desirable to reduce the Unattended Radiation and therefore minimize the exposure to the potentially harmful radiation by the operator and/or patient and it is to this end that the disclosure is directed. The disclosure is particularly applicable to a system used to inspect/treat/diagnose a patient in which the radiation is minimized and it is in this context that the disclosure will be described. It will be appreciated, however, that the system and method for reducing radiation exposure has greater utility since it can be used in any application in which it is desirable to minimize the radiation exposure of an object or a person, such as a patient or operator, that can be harmed by that exposure and those applications may include systems that inspect an object in which the operator may be exposed to unneeded radiation (such as airports scanning systems, different security setups, manufacturing and process controls, etc.) or system to inspect a patient (such as in a clinic or a hospital, e.g. Cath lab, where a surgeon/therapist operates an X Ray or CT system, a diagnostic procedure, a treatment procedure, an imaging procedure, etc.) The radiation minimization can be used with any type of radiation including ionizing radiation sources (x-ray, gamma, alpha and beta) and non-ionizing radiation sources (electromagnetic, US). The radiation minimization may also be used with 3D systems such as CT, MRI, Bi-Plane and others. FIG. 1 illustrates an example of a medical application in which a radiation source is used to inspect a patient in which unattended radiation may occur. In the medical application, a patient 20 may rest on a surface 22 of an apparatus 24. In this example, the apparatus in this example has a radiation source 26 and a detector 28 connected to each other by a C arm 30 wherein the radiation is directed at the patient 20 to image or treat a particular portion of the patient. The apparatus 24 may also include a monitor 32 on which the results of the imaging/treatment of the patient are displayed. The apparatus may also include a radiation activator 34 that allows an operate to activate the emission of radiation from the radiation source. In addition to the patient 20, there may also be an operator 36 (sometimes a physician) and an assistant 38 who are close to the apparatus 24. As a result, the patient, operator and the assistant may also be exposed to radiation and, more particularly, exposed to unattended radiation that is minimized by the radiation reduction and minimization system that is described below. The medical application shown in FIG. 1 is merely representative of the types of system that the radiation reduction and minimization system may be used for since the radiation reduction and minimization system may be used for any system in which it is desirable to be able to reduce/minimize unattended radiation, such as, but not limited to the systems identified above. FIG. 2 illustrates an embodiment of a radiation reduction and minimization apparatus 40 that can be connected to a radiation generation apparatus 24 in order to reduce/minimize unattended radiation of the radiation generation apparatus 24. The apparatus 40 may be implemented as a combination of hardware elements and software elements that perform the functions and operations described below. In other implementations, the apparatus may be implemented entirely in hardware (a specially programmed hardware device or the like). The apparatus 40 may comprise an attention monitoring module/unit 42 that receives inputs from one or more operator attention monitoring systems 41, that may be implemented using a head and/or brain sensing system, an eye or eyes sensing system or a gaze sensing system that are described below, and generates an attention (e.g., gaze focus) demand signal. The attention demand signal indicates that whoever in operating the radiation generating apparatus 24 has his/her attention appropriately focused, such as directed at/towards the monitor. In more detail, the attention monitoring module/unit 42 and controller 46 monitors all the users/operators to determine if and when the information generated by radiation is or may be used (e.g., the users/operators read the monitor information) and attention signal is generated. The attention demand signal is fed into a controller module/unit 46. The operator attention monitoring systems 41 may alternatively include an image analysis and automated identification of a region of interest system. For example, the system can automatically identify the location of a tip of a catheter using well-known image processing techniques (for example identifying the motion of the device that is inside the body, a predetermined geometric shape of the device and/or a specially marked device) and the direction of the radiation towards this location in order to identify that the operator is alert since the catheter should be at the same location as the radiation. This may be accomplished in several ways including a built in software which performs proper image segmentation and object (say tool/catheter) recognition, followed by reference/access to a pre-loaded medical procedure knowledge (data) base, which will provide the coordinate for the focused radiation (region of high interest). This image analysis and automated identification of a region of interest system can be used with the other attention monitoring systems described above or can be used instead of the attention monitoring systems described above. The apparatus 40 further may comprise a radiation activation module/unit 44 that receives inputs from one or more radiation activation devices 43, such as the radiation activator 34 in FIG. 1 or any other device that indicate an intent by the operator/assistant to activate the radiation source, and generates a radiation demand signal. The radiation demand signal indicates that the operator has activated the radiation activation devices (indicating intent by the operator/user to initiate radiation) indicating that radiation should be generated. The radiation activation devices may implemented in a variety of ways including a pedal (as shown in FIG. 1), a mechanical switch; a voice command, an optical designation as well as many others that are all can be used with the radiation minimization apparatus since the radiation minimization apparatus is not limited to any particular radiation activation devices. If the radiation activated device has been activated, the radiation demand signal is also fed into the controller module/unit 46. The controller module/unit 46, based on the radiation demand signal and attention demand signal inputs, activates the radiation generating apparatus in such a way as to reduce/minimize unattended radiation. In particular, the radiation demand signal and the attention demand signal must indicate that the operator's attention is appropriately focused and that the radiation activation device has been activated by the operator. Since both signals must be present in order to activate the radiation generation apparatus, unattended radiation exposure is reduced/minimized. In particular, when the radiation activation device is activated, but the operator's attention is not appropriately focused (based on brain activity monitor and/or detection of the optical focusing by the eye tracking device), it is likely that the operator is not paying attention so no or minimal level (to be determined by the user) radiation is generated by the radiation generation apparatus. Similarly, if the operator's attention is appropriately focused, but the radiation activation device is not activated, the operator likely does not want radiation to be generated so no radiation is generated by the radiation generation apparatus. Thus, the controller module/unit 46 only enables the onset of radiation (using appropriate handshaking and control interface) when both the attention monitoring module and the radiation activation module send an ON signal. The controller module/unit 46 may also control other aspects of the diagnostic/treatment system. In particular, the controller module/unit 46 may control the patient table 22 based on the attention of the operator. In typical system, most of the time a physician would like to have the center of his attention in the middle/center of the screen/monitor and the physician frequently manually repositions the table and the x-ray tube to achieve it in a typical system. Using the system described herein, the physician, when he/she decided that he/she wants to reposition the table, he/she sends command to the system to adjust table/x-ray tube position to their attention (for example based on their gaze location) and the system can automatically adjust the table. The physician command can be executed by either voice or switch. The operator will have an over-ride switch to turn this option on or off. When radiation is to be generated by the radiation generation apparatus 24, the controller module/unit 46 may generate one or more radiation control parameters that are used to control the generation of the radiation by the radiation generation apparatus 24. The one or more radiation control parameters may include a location of the radiation (when it is desirable to narrowly focus the radiation on a particular location), filtering and/or collimating (blocking) the radiation outside of the focus of attention, timing (the time that the radiation will be generated), frequency (the number of times over a predetermined amount of time that a pulsed radiation beam is generated) and intensity (for radiation generating apparatus in which the intensity of the radiation beam may be adjusted). For example, for an xray, kVP as the energy of the beam is used and mA-density for the intensity of the beam. The parameters may also include the amount of collimation/filtering of the radiation to restrict the beam to the point of attention. Other parameters of importance are the spatial and temporal rates of reduction from the center point with high radiation towards the periphery of the image where smaller (or no) level of radiation may be required. In configurations with multiple radiation sources aimed at the same target (patient/object), the radiation parameters may also include an identifier of the radiation source to be used (sometimes at different times). Using these one or more radiation control parameters, the controller module/unit 46 can further minimize unnecessary radiation by ensuring that only the necessary amount of radiation for the particular task is used by controlling elements of the radiation generation apparatus such as the electronic grid, filtering, collimation, etc. The one or more radiation control parameters also can be used to ensure that radiation is only directed at a particular location when a particular location can be identified which reduces extraneous radiation on locations that do not need to be irradiated. In addition, the unattended radiation can be blocked using an electrical grid of the radiation source or by placing a shield that blocks the radiation. Now, several examples of situations in which unattended radiation can occur are described, including: 1) a “if you cannot use it, do not ask for it” situation; 2) a “where you see if where you get it” situation; and 3) “if you really want it, you will get it” situation. “If you cannot use it—do not ask for it” Situation During some phases of human visual processing, there are phases or time segments, such as the saccades (physiological eyes movements which occurs several times every second and last about 80 Millisecond each, or during “Perclose” (times when the eye lids are temporarily closed) where the brain doesn't acquire/process/exploit the visual information “landing” on the retinae (saccade masking) and useful visual information is only extracted during eye fixations phases. In this situation, a radiation minimization apparatus is used that has an operator saccade detector (the attention monitoring device 41 in this situation) synchronized with a radiation activation device). The radiation minimization apparatus turns off the radiation source during such “wasteful” time segments (such as “saccade masking”). One popular way to deliver the radiation is what is called “pulsed fluoroscopy” in which a pulse rate of 30 pulses per second is used. Using the radiation minimization apparatus, the pulses that are fall within the “wasteful” time segments (saccade masking and perclose) will be blocked. In this situation, the attention/eye tracking monitoring devices 41 detects the phase of the operator visual path and, during the “inattentive” phases of the visual cycle, this module sends of signal to the controller module to block the radiation. The attention monitoring devices 41 may be implemented in several different ways. The first implementation may be gaze/eye tracking technology as described above. In another implementation, the attention monitoring devices 41 may be eyeball tracking technology (with three examples shown in FIG. 4A-4C). As shown in FIGS. 4A-4C, the eyeball tracking technology may be head or headband mounted version 400, a goggle mounted version 402 or a remote version 404 in which one or more sensors 406 (such as piezoelectric, magnetic, capacitive, IR, video or laser sensors, for example) are mounted to detect the eye movement of the operator. In specific implementations, the eyeball tracking technology may be an infrared cameras located in the radiation protection goggles, one or more capacity sensors located in the radiation protection goggles, one or more optical cameras located in the radiation protection goggles, laser emitter-receiver combination or Us sensors. In this situation, the radiation activation module/unit 44 has the same elements and operation as described above in FIG. 2. The controller module/unit 46 also has the same elements and operation as described above in FIG. 2. In this situation, the apparatus prevents radiation exposure when the operator is not appropriately focused or looking at/towards the monitor 32. “Where You See It Is Where You Get It” Situation In many online procedures involving visual monitoring, most of the time the fixation zone of the operator is engaged with procedure details (e.g., a device, tool edge, anatomic feature etc.) of dimensions/sizes which are usually a small fraction (e.g., 1 to 5%) of the full imaged area (field of view (FOV)) [16 inch]. The image data surrounding this fixation zone, although useful for contextual information do not require the same refresh rate (frequency of radiation) nor the intensity and resolution needed within the fixation zone. Furthermore, even if provided, the operator doesn't fully perceive nor exploits the information outside this area of the highest visual and mental concentration (the fixation zone). In this situation, in order to reduce the radiation dose, the radiation is optimized by optimizing the radiation parameters (frequency, intensity, temporal and spatial resolutions) for each zone of the FOV on the basis of the utility of the information. An optimization process in the controller module 46 computes the proper parameters for each image segment. For example, in a simplistic embodiment of the process, the fixation zone receives high radiation frequency and high intensity of radiation and all other zones (background image) receive minimal (low) radiation or even no radiation, deploying past history images and avoid refresh altogether. In this situation, an operator fixation zone monitor is synchronized (via controller module) with a radiation activation device. As shown in FIGS. 5A and 5B, in this situation, the fixation sensors 408 are used to determine a fixation zone 410 of the operator on the monitor 32. The fixation sensors 408 operate is the same manner as the eye tracking since the eye tracking is based on the recording of the movement and location of the pupils that gives both gaze direction, eye movement and gaze/attention location. In this situation, the attention monitoring module includes a fixation zone determining module 411 that determine the fixation zone of the operator. In this situation, the attention monitoring devices may use similar attention monitoring devices as described above. In this situation, the radiation activation module/unit 44 has the same elements and operation as described above in FIG. 2. For the controller module/unit 46 and the radiation source 26, several different embodiments are shown in FIGS. 6A-6C. The controller module 46 has a radiation optimization module 414 in each of the embodiments. The radiation optimization module 414 computes in real time (using the gaze tracking signal) and delivers to the radiation source controller, the optimal radiation parameters (pulse rate, intensity (mAm), energy (Kvp) of the radiation beam and resolution needed per each image segment within the entire FOV). This module may be using an optimization process which is using the archived history of fixation zones and their timing as tracked by the eyes as well as the radiated profiles and their timing as delivered for each image zone as shown in more detail in FIG. 7. The module allocates the minimal dosage necessary within each (pixel) image segment subset which is needed in order to deliver the necessary image clarity and validity (timing) to the operator. For example it will initially receive the information regarding the area of maximal attention of the FOV (the gaze tracking signal) from the attention monitoring module 42 as shown in FIG. 6A-6C. This area will be designated by the radiation optimization module 414 to receive significantly more radiation in terms of increased mAm and pulse rate than the rest of FOV in order to provide optimal imaging. This will result in much better temporal, contrast and spatial resolution that in term will improve the operator performance. The radiation profile and radiation parameters are then transferred the radiation source 26. The radiation source 26, for this situation, is designed so that the radiation source can deliver different radiation doses to the different segments of the FOV. This may be carried out by the controller module that generates a collimator control signal to adjust the collimators that adjust the radiation dosage of the radiation beam. The collimator control signal may be continuously adjusted so that, consequently, the radiation dose of the radiation source towards a portions of the patient is adaptively adjusted and controlled. Generally, this can be achieved using either mechanical or electronic collimators, electron beam radiation source or combination of several radiation sources. In one implementation, the radiation source 26 may be a standard radiation source, such as an x-ray tube, with moving mechanical collimator or region of interest (ROI) filter so that the mechanical collimators(or filters) 461 as shown in FIG. 6A can be used dynamically expose the areas of maximal attention 410 and collimate the rest areas of FOV 412. In another implementation, two or more radiation sources 462, such as an x-ray tubes, as shown in FIG. 6A may be used in which the several radiation sources provide the radiation for the area of maximal attention and the others for the rest of FOV with corresponding collimators arrangements. In yet another implementation of the radiation source, the radiation source may have a anode/cathode 462 as shown in FIG. 6B and a moving collimator (or ROI filter) 461 that is used to adjust the radiation directed towards the fixation zone 410 and towards the background zone 412. In yet another implementation, the radiation source may have a collimator (or ROI filter) 461 and a anode with a complex geometry 462. In this implementation, the radiation source are designed the way similar to the Electron beam CT (see for example U.S. Pat. No. 4,352,021) in which the electrons that originate at the cathode are directed by an external magnetic field toward different segments/parts of the anode or to the different anode targets. The anode is designed as a complex array of geometrically oriented targets (for example, a matrix of the targets). The anode also can be mechanically moved in order to change the angle and thus create an additional option for moving the radiation beam. The application/direction of the electron beam to the different parts of the anode result in the change in the direction of the radiation. The direction of the radiation will then correlate with the area of maximal attention. The radiation of the rest FOV will be provided either by different xray tube or different electron beam source in the same x ray tube. In yet another implementation, the radiation source may have matrix of radiation field emitters 462 (or small conventional radiation tubes that are commercially available.) The electron field emission are attractive way to extract free electrons because the electrons are emitted at room temperature and the output current is voltage controllable. Recently the researchers from the UNC optimized the morphology of carbon nanotubes (CNT) films that optimize the electrons current for the xray generators (See U.S. Pat. No. 7,085,351 b2). In this scenario the non uniform radiation can be activated (or the changing of the radiation parameters) using different combination of the radiation field emitters. The x-rays that are generated using CNT are high frequency and high intensity and more programmable. The xray source can be designed as a square matrix of the multiple field emission xray tubes or conventional radiation tubes. In this design the each xray tube is separately programmable and can deliver the xray beam of desired intensity to the specific area. For example one of the xray tubes will deliver the maximum radiation dose to the maximum attention area 410 and the others deliver lower radiation dose to the rest of the field of view 412. The matrix of radiation, such as x-ray, tubes also can be extended to resemble a partial CT scanner. In this case, it is possible to create a 3D or CT type of images and the generation of CT images during fluoroscopy guided interventional procedures is a very desirable feature for the surgeon. However, the continuous CT type of scanning of the whole body subjects the patient to a large amount of radiation. Using the radiation minimization and reduction apparatus above with the attention monitoring, the CT type of scanning of the whole body can be performed in the specific fixation zones 410 and the images are intermittently generated so that the radiation exposure is reduced. “If You Really Want It, You Will Get It” Situation In many situations an operator may be looking, even fixating steadily at an image subzone—yet his/her “mind” drifts off “thinking/engaging” in mental activities which are not directly related to the task at hand. Brain monitoring technology 800 may be used which when deployed will allow for setting off an alert signal whenever the operator switches his/her attention/focus from the current task. In this situation, the operator attention focusing/brain state monitor 800 and the fixation zone monitor 42 are synchronized as shown in FIG. 8. The mental attention monitoring module 800 may be a module, such as the electrodes and the brain state monitor shown in FIG. 8, so that mental attention can be monitored using the ECG electrodes (see for example, U.S. patent application Ser. No. 11/145,612 that lists Bruce Katz and Allon Guez as inventors that is titled “Brain State Recordation System”, the entirety of which is incorporated herein by reference. In this situation, the radiation activation module and controller module have the same elements and operation as described herein. While the foregoing has been with reference to a particular embodiment of the invention, it will be appreciated by those skilled in the art that changes in this embodiment may be made without departing from the principles and spirit of the disclosure, the scope of which is defined by the appended claims. |
|
description | This invention was made with Government support under Contract No. DE-0000583 awarded by the Department of Energy. The Government has certain rights in this invention. The following relates to the nuclear power generation arts, nuclear reactor safety arts, nuclear reactor emergency core cooling (ECC) arts, and related arts. In a loss of coolant accident (LOCA) or other event in which the pressure vessel of a nuclear power plant is depressurized, the nuclear reactor core is to be kept immersed in water so as to provide for removal of decay heat and to prevent exposure of the fuel rods to air which can lead to chemical reactions and release of airborne radioactivity. The system which provides this water injection is referred to as the emergency core cooling (ECC) system. In a typical arrangement, high pressure water injection tanks employing passive nitrogen pressurization operate during the initial reactor depressurization to force pressurized water into the reactor pressure vessel to ensure the reactor core remains immersed in water during the depressurization process. After the reactor is depressurized, a refueling water storage tank (RWST) located with the nuclear reactor at an elevated position inside the radiological containment is drained into the reactor pressure vessel. This flow of water is a passive, gravity-driven process, and with a suitably sized RWST can be continued without any supply of external power or water for a design period of hours or days. In the case of a LOCA, steam or two-phase steam/water mixture is discharged from the reactor pressure vessel into the surrounding radiological containment, and this water condenses and collects in a sump of the radiological containment. A sump pump may be provided to recover this water into the RWST. Closed heat exchange loops may also be provided to form steam cycle heat transfer from the pressure vessel to the RWST or to other heat dissipation systems. These systems allow the reactor to be shut down in the case of a LOCA or other sudden depressurization event with little or no damage to the nuclear reactor core. Additionally, nuclear regulatory rules, e.g. promulgated by the Nuclear Regulatory Commission (NRC) in the United States, typically require that the nuclear power plant incorporate safety systems to protect against the possibility of loss of reactor core cooling to an extent resulting in some melting of the reactor core. In conventional light water reactors employing 235U as the fissile radioisotope, the nuclear fuel is typically in the form of uranium dioxide (UO2) which has a melting point of around 3100K. At this temperature, the nuclear fuel assemblies and the steel structures around the nuclear reactor core melt and form a molten mass of material that is referred to in the art as “corium”. In an ex-vessel retention approach, the nuclear power plant is designed for a contingency in which the corium relocates to the bottom of the reactor vessel, melts through the bottom of the reactor pressure vessel, and collects on the containment floor below the reactor vessel. In the ex-vessel retention approach, the floor below the reactor vessel is lined with high temperature zirconia thermal insulation tiles to minimize interaction with the underlying concrete forming the floor of the sump. In most scenarios, the sump is filled with water, e.g. condensed steam released by a LOCA. The molten corium spreads out over the sump floor, expanding its surface area and rapidly cooling. If the corium melts through the pressure vessel rapidly, then the potential exists for a steam explosion when the corium comes into rapid contact with water in the sump of the radiological containment structure. This steam explosion can be a challenge to the structural design of the containment structure. In an in-vessel retention approach, a flow channel is provided between the reactor pressure vessel and the surrounding insulation panels. This flow channel is designed to allow water flow around the lower vessel when the reactor cavity (i.e. radiological containment sump) is flooded. The goal is to cool the vessel sufficiently to prevent the corium inside the vessel from melting though the vessel shell, thereby mitigating the likelihood of an ex-vessel steam explosion scenario. However, in-vessel retention potentially allows long-term exposure of the molten corium to air which can lead to release of airborne fission products. The survival of the lower vessel is also dependent upon the amount of molten material, its melt temperature, and physical configuration of molten layers on the bottom of the vessel. In view of this, nuclear regulatory rules typically require that a nuclear power plant designed for in-vessel retention additionally be designed to account for an ex-vessel corium relocation contingency, including the possibility of a steam explosion due to rapid melting of the pressure vessel and corium contact with water collected in the vessel cavity. In one disclosed aspect, an apparatus comprises a pressurized water reactor (PWR) including a pressure vessel and a nuclear reactor core comprising fissile material disposed inside the pressure vessel at the bottom of the pressure vessel, and a secondary core containment structure including: a containment basket comprising zirconia insulation containing the bottom of the pressure vessel, the containment basket spaced apart from the bottom of the pressure vessel by a clearance gap, the containment basket having an open top located at an elevation above the top of the nuclear reactor core; and conduits disposed between the containment basket and the bottom of the pressure vessel and having inlets above the top of the containment basket and outlets inside the containment basket. In another disclosed aspect, an apparatus comprises a nuclear reactor including a pressure vessel and a nuclear reactor core comprising fissile material disposed inside the pressure vessel at the bottom of the pressure vessel, and a secondary core containment structure including a containment basket comprising insulation with a maximum stable temperature of at least 2200K cladded by steel. The bottom of the pressure vessel and the nuclear reactor core are disposed inside the containment basket with the containment basket spaced apart from the bottom of the pressure vessel by a clearance gap. In another disclosed aspect, a secondary core containment structure includes a containment basket comprising insulation with a maximum stable temperature of at least 2200K cladded by steel and sized to receive the portion of a pressurized water reactor (PWR) containing a nuclear reactor core comprising fissile material with a clearance gap between the containment basket and the received portion of the PWR. With reference to FIG. 1, a cutaway perspective view is shown of an illustrative small modular reactor (SMR) 10 disposed in a radiological containment structure 12. The radiological containment structure 12 is sometimes referred to in the art more briefly as the containment structure, or as containment, and typically comprises a concrete or steel-reinforced concrete structure, although a steel containment is also contemplated. The containment 12 may be subterranean, or above-ground, or partially underground and partially above-ground. The illustrative SMR 10 is a pressurized water reactor (PWR) 10 including a pressure vessel 14 and a nuclear reactor core 16 disposed inside the pressure vessel 14 at a bottom of the pressure vessel 14. The nuclear reactor core 16 suitably comprises fissile material such as uranium dioxide (UO2) pellets containing uranium enriched in the fissile 235U isotope, e.g. with an enrichment of 5% or less. During normal operation the pressure vessel 14 contains (primary) coolant water (more generally herein, simply “coolant” or “coolant water”) in which the nuclear reactor core 16 is immersed. The illustrative PWR 10 is an integral PWR in which one or more integral steam generators 20 are disposed inside the pressure vessel 14. Alternatively, an external steam generator may be employed. The illustrative SMR 10 also includes an integral pressurizer 22 defined at the top of the pressure vessel 14 which in normal operation contains a steam bubble whose pressure is adjusted by operation of resistive heaters, spargers, or the like so as to adjust the pressure of the primary coolant. Alternatively, an external pressurizer may be employed that is connected with the pressure vessel by suitable piping. During normal operation the nuclear chain reaction in the nuclear reactor core 16 is controlled by mechanical insertion/withdrawal of neutron-absorbing control rods operated by control rod drive mechanisms (CRDMs)—this mechanical reactivity control system is generally indicated in FIG. 1 by reference number 24. The illustrative system 24 employs internal CRDMs; alternatively, external CRDMs may be employed in which the motor stators are located outside of the pressure boundary, e.g. above the top of the pressure vessel. The primary coolant flow circuit in the illustrative SMR 10 is defined by a cylindrical central riser 26—water heated by the reactor core 16 flows upward through the central riser 26 and returns downward in a downcomer annulus defined between the central riser 26 and the pressure vessel 14. In the illustrative integral SMR 10 the steam generators 20 are located in the downcomer annulus, and secondary coolant water flows into and out of the steam generators 20 via suitable vessel penetrations. The radiological containment structure 12 has a sump 30 in which the bottom of the pressure vessel 14 is disposed. During normal operation, the sump 30 is typically empty, although it is contemplated to partially or fully flood the sump 30 during normal operation. In the event of a loss of coolant accident (LOCA) in which a break in the pressure vessel 14 allows coolant to escape into containment 12, the coolant (which is typically released in the form of steam or a two-phase water/steam mixture) condenses and collects in the sump 30 and/or at another collection location inside containment 12. In response to certain events such as a LOCA or a loss of heat-sinking event (e.g. loss of secondary coolant through the steam generator 20), the safety systems perform a rapid depressurization of the pressure vessel 14 with suitable provisions to ensure that the reactor core 16 remains immersed in water during the depressurization. In the illustrative embodiment this is achieved by opening valving 32 to inject pressurized water from an intermediate pressure injection tank (IPIT) 34 located inside containment 12 into the pressure vessel 14 during the depressurization phase of the response. The pressurized water in the IPIT 34 is typically nitrogen pressurized, and optionally contains a soluble boron component or other neutron poison that ensures rapid extinction of the nuclear chain reaction in the reactor core 16. The depressurization is by way of a vent line 36 that conducts primary coolant in the form of steam or two-phase steam/water mixture into a refueling water storage tank (RWST) 40 located inside containment 12, and/or into a condenser or other receptacle or sink. In the illustrative example, the vent line 36 discharges into the RWST 40 via spargers 42. In the case of a LOCA, some steam or two-phase steam/water mixture from the SMR 10 is also output via the LOCA vessel penetration break directly into containment 12 to be collected as condensate in the sump 30 or elsewhere inside containment; whereas, in a loss of heatsinking event the vent line 36 preferably is the sole depressurization path although safety pressure relief valves may also be provided on the pressure vessel 14. After the reactor pressure vessel 14 is depressurized (below a specified low pressure threshold), the valving 32 closes off the IPIT 34 and opens a drain line 44 to drain water from the RWST 40, which is at an elevated position respective to the nuclear reactor core 16, into the pressure vessel 14 by gravity feed. This provides long-term cooling to remove residual decay heat from the reactor core 16, and also ensures that the reactor core 16 remains immersed in water over the long-term cooling. “Long-term” is in this context a design-basis parameter, and may for example be an interval of 48 hours, or 14 days, or so forth. Typically, nuclear regulatory rules require that the water source (RWST 40 in the illustrative example) have sufficient capacity for the long-term ECC process to run for the design period without any water replenishment. In practice, the vent line 36 may remain open during the long-term cooling so as to form a closed-loop steam cycle in which water drained from the RWST 40 into the pressure vessel 14 is converted to steam by decay heat from the core 16 and the steam is then sparged back into the RWST 40. Not shown in FIG. 1 are mechanisms for transferring heat from inside containment 12 to an ultimate heat sink (UHS) located outside containment. These heat transfer mechanisms can take various forms, such as heat exchangers coupling into the RWST 40, auxiliary condensers located outside containment 12 and connected with the pressure vessel 14, or so forth. The ECC response may include other facets, such as flooding the sump 30 via a dedicated flood line (not shown). Additionally or alternatively in a LOCA scenario, steam escaping from the pressure vessel 14 typically condenses in the sump 30 to at least partially flood the sump 30. The described ECC process is merely an illustrative example. More generally, the ECC process serves the functions of providing controlled depressurization of the pressure vessel while keeping the reactor core immersed in coolant water and, after depressurization, providing long-term removal of decay heat from the reactor core while keeping the reactor core immersed in coolant water. The ECC process is preferably designed to operate passively, that is, without requiring any externally supplied power in order to operate, and nuclear regulatory rules typically require various redundancies be built into the ECC systems, in terms of components (e.g. providing two or more RWST units with independent drain lines, two or more IPIT units, and so forth) and/or in terms of independent mechanisms (e.g., the nuclear chain reaction can be extinguished by either one of two independent mechanisms: passive gravity-driven scramming the control rods system 24, and injection via the IPIT 34 of pressurized water containing soluble neutron poison). To provide a further level of safety, nuclear regulatory rules typically require independent reactor safety systems that are designed to accommodate a design basis event in which it is postulated that the ECC system is unable to keep the reactor core immersed in liquid water. In this postulated event, the reactor core 16 is exposed to air, begins to heat rapidly and ultimately melts surrounding steel and the uranium dioxide (UO2) nuclear fuel itself to generate a molten mass known as corium. In a known ex-vessel approach, it is assumed that the molten corium melts through the bottom of the pressure vessel 14 and relocates to the floor of the radiological containment structure 12, e.g. to the bottom of the sump 30 in the case of the illustrative containment 12. Thermal decomposition of containment concrete and interaction with the molten corium can lead to chemical reactions producing volatile radioactive aerosols, hydrogen, and other products that can lead to radiation release and/or explosion. Corium contact with water in the sump 30 can also lead to a steam explosion. In the illustrative example of FIG. 1, the floor of the sump 30 is lined with high temperature zirconia thermal insulation tiles 50 to minimize interaction with the underlying concrete forming the floor of the sump. However, in the safety paradigm disclosed herein the zirconia thermal insulation tiles 50 are provided as a second level “defense-in-depth” mechanism, and are not relied upon as the primary response to a core melting event. With continuing reference to FIG. 1 and with further reference to FIGS. 2-5, it is disclosed herein to provide a secondary core containment structure 100 that includes a containment basket 102 comprising zirconia insulation 104 arranged to contain the bottom of the pressure vessel 14. The containment basket 102 is spaced apart from the bottom of the pressure vessel 14 by a clearance gap 106, and the containment basket 102 has an open top 108 located at an elevation above the top of the nuclear reactor core 16. In some embodiments the containment basket 102 further includes an inner steel liner 110 and an outer steel support structure 112, with the zirconia insulation 104 disposed (i.e. sandwiched) between the inner steel liner 110 and the outer steel support structure 112. In some embodiments, the secondary core containment structure 100 further includes conduits 120 disposed between the containment basket 102 and the bottom of the pressure vessel 14 having inlets 122 located above the top of the containment basket 102 and outlets 124 inside the containment basket, preferably discharging at or near the bottom of the containment basket 102. In some embodiments the secondary core containment structure 100 further includes a cylindrical collar 130 comprising refractory fiber insulation extending upward from the open top 108 of the containment basket 102 and optionally spaced apart from the pressure vessel by a clearance gap, which may be the same as, larger than, or smaller than, the clearance gap 106 of the containment basket 102. In the illustrative embodiment, the outer steel support structure 112 extends upward to also provide outer support for the cylindrical collar 130. Steam vents 132 are provided to vent steam generated in the clearance gap 106. The steam vents 132 may vent directly into the atmosphere inside the containment 12, or may be connected by piping to a steam reclamation or reservoir component, e.g. a sparger discharging into the RWST 40 (not shown). The illustrative secondary core containment structure 100 is bottom-supported by bottom supports 134 of the outer steel support structure 112; alternatively the secondary core containment structure may be supported in a suspended fashion by tie rods or the like whose upper ends are secured to a mid-flange of the pressure vessel or to another suitably strong anchor structure. FIG. 2 illustrates an isolation cutaway perspective view of the secondary core containment structure 100; FIG. 3 illustrates a side sectional view of the containment basket 102 of the secondary core containment structure 100 and the bottom of the pressure vessel 14 disposed inside the containment basket 102; FIG. 4 shows an enlarged isolation cutaway perspective view of the secondary core containment structure 100 focusing on the containment basket 102 and the conduits 120; and FIG. 5 shows an enlarged cutaway perspective view of steam vents 132 of the secondary core containment structure 100. With particular reference to FIGS. 1-4, during an event in which the ECC system is brought into operation, the sump 30 is likely to begin filling with water, sourced from the reactor pressure vessel 14 via steam escaping a LOCA break, and/or from water escaping the RWST 40 via pressure relief valves (not shown), and/or via intended flooding of the sump 30 from the RWST 40. If the water level in the sump 30 rises above the level of the inlets 122, then water will flow via the inlets 122 into the conduits 120 to discharge via outlets 124 into the containment basket 102 so as to flood the clearance gap 106 between the containment basket 102 and the bottom of the pressure vessel 14. Additionally or alternatively, the inlets 122 may be connected with a water source, for example connected via piping to the RWST 40 so that water from the RWST 40 is gravity-fed into the clearance gap 106. However, the total volume of water in the clearance gap 106 is limited by the conformal arrangement of the containment basket 102 respective to the bottom of the pressure vessel 14. For example, in some embodiments the containment basket 102 is conformal with the clearance gap 106 between the containment basket 102 and the bottom of the pressure vessel being no larger than about one meter, and in one arrangement preferably between about 3 inches and about 7 inches. The containment basket 102 is conformal respective to the bottom of the pressure vessel 14, that is, the clearance gap 106 is small, e.g. one meter or less, at all points along the bottom of the pressure vessel 14 where it is surrounded by the containment basket 102. If the clearance gap has a maximum value of d, then the maximum total volume of water contained in the clearance gap 106 is less than or about d·A where A is the total surface area of (the outside of) the bottom of the pressure vessel 14 surrounded by the containment basket 102. Note that the clearance gap may not be constant at all points around the bottom of the pressure vessel; for example, as seen in FIG. 3 the illustrative clearance gap 106 is largest at the curvature of the pressure vessel transitioning from the bottom to the sidewall, and is smallest along the sidewalls. Such a geometry is conformal in that the clearance gap 106 is everywhere less than or equal to some small value d, e.g. everywhere less than or equal to d=1 meter. With reference now to FIGS. 6 and 7, operation of the secondary core containment structure 100 during a core melting event is described. FIG. 6 illustrates a state in which the reactor core 16 has at least partially melted to form a corium mass 16′ comprising various phase(s), mixture(s), alloy(s), or so forth of molten uranium dioxide, zirconium alloys (e.g., molten fuel rod cladding material), steel (e.g. molten spacer grid or other core structural steel material), and so forth. At the state diagrammatically illustrated in FIG. 6, the molten corium 16′ is contained within the pressure vessel 14, and the secondary core containment structure 100 provides ex-vessel cooling but not corium retention functionality. To this end, water in the clearance gap 106 acts as a passive heat removal medium operating by natural circulation. The molten corium 16′ relocates at or near the extreme bottom of the pressure vessel 14; accordingly, heat conducted from the corium 16′ through the metal of the pressure vessel 14 to the water in the clearance gap 106 is primarily directed into the water at or near the bottom of the containment basket 102. This water boils to form steam or a two-phase steam/water mixture that rises upward to escape via the steam vents 132 (see FIGS. 2 and 5). Steam or two-phase steam/water escaping from the vents 132 may discharge directly into the containment 12 where it condenses and collects in the sump 30 or in another condensate reservoir, or alternatively the vents 132 may be connected via piping to spargers in the RWST 40 or to spargers in the sump 30. (In any credible event in which the reactor core 16 melts to form molten corium 16′, a substantial volume of primary coolant can be expected to have discharged from the reactor pressure vessel 14 into containment 12, so that the sump 30 can be expected to contain substantial water.) If the vents 132 are piped to discharge into the source of water feeding the inlets 122 of the conduits 120, then a passive closed-loop cooling system is formed. If the thermal output of the corium 16′ is low enough, then the passive natural circulation cooling provided by the secondary core containment structure 100 is expected to provide sufficient cooling of the bottom of the pressure vessel 14 to prevent the molten corium 16′ from breaching the pressure vessel 14. In this case, in vessel corium retention is achieved, which minimizes challenges to containment. The corium 16′ does not come into contact with steam or concrete, thus preventing potentially problematic corium-concrete chemical reactions and/or steam explosions. However, if the thermal output of the corium is sufficiently high then the passive natural circulation cooling provided by the secondary core containment structure 100 may not be sufficient to prevent a penetration through the bottom of the reactor pressure vessel 14. In this case (at least a portion of) the corium will melt through the bottom of the pressure vessel 14 and thus escape the containment of the pressure vessel 14. With reference to FIG. 7, a corium mass 16″ is illustrated, which has melted through the bottom of the pressure vessel 14. At this stage, the corium retention functionality of the secondary core containment structure 100 is brought to bear. The containment basket 102 provides the core retention. To this end, the zirconia insulation 104 disposed (i.e. sandwiched) between the inner steel liner 110 and the outer steel support structure 112 has a sufficiently high melting point that it does not melt even when it comes into direct contact with the corium 16″. (The inner steel liner 110 does melt, and is absorbed into the corium 16″.) The zirconia insulation 104 has a maximum stable temperature of at least 2200K, and more preferably at least 2500K, and in some embodiments at least 2800K, which is expected to be higher than the maximum temperature of the corium 16″ after melting through the bottom of the pressure vessel 14. In some embodiments, the zirconia insulation 104 comprises zirconia boards made of yttria-stabilized zirconia fibers having a melting point of over 2800K. The zirconia boards are preferably arranged in an overlapping fashion to retain the corium 16″ inside the containment basket 102 and prevent corium from escaping through gaps between the zirconia boards. Alternatively, the zirconia insulation 104 can be formed as a continuous basket shaped element. Because the zirconia insulation 104 is highly thermally insulating, the outer steel support structure 112 is protected from the heat of the corium 16″ by the zirconia insulation 104, and does not melt. Accordingly, the outer steel support structure 112 remains intact even after the corium 16″ melts through the bottom of the pressure vessel 14 and consumes the inner steel liner 110, so that the outer steel support structure 112 provides the mechanical support for retaining the corium 16″ in the containment basket 102, while the zirconia insulation 104 provides the thermal robustness to allow the containment basket 102 to retain the corium 16″. While zirconia is a suitable material for the insulation 104, it will be appreciated that other thermal insulation materials (e.g. alumina) with a maximum stable temperature of at least 2200K are suitably used as the insulation layer 104 of the containment basket 102.One such alternative thermal insulation material is alumina. The corium retention functionality of the secondary core containment structure 100 has substantial advantages over other ex vessel retention approaches that are designed to operate by retaining corium on the floor of the containment structure. The small clearance gap 106 of the secondary core containment structure 100 ensures that the volume of water coming into contact with the corium 16″ is minimal, which substantially reduces the potential for steam explosions. The corium 16″ is also retained at a large distance from the floor of the containment structure 12, which prevents contact with concrete of the containment 12. The passive natural circulation cooling provided by water in the clearance gap 106 is reduced or eliminated due to the corium 16″ filling a portion of the clearance gap 106 and melting the conduits 120 (if they are made of steel or another material with a low melting point compared with the corium temperature). However, thermal cooling via heat conduction through the containment basket 102 into the water flooding the sump 30 may provide some cooling, albeit substantially reduced due to the interposed zirconia insulation 104. Additionally, any cooling mechanisms operating in the pressure vessel 14, e.g. any operational ECC system components, may continue to operate to cool the corium 16″. In some illustrative embodiments, the outer steel support structure 112 comprises a freestanding carbon steel shell that is supported from the concrete at the bottom of the reactor cavity (i.e. sump 30) by bottom supports 134. This structure 112 holds high temperature zirconia insulation boards forming the insulation 104 in the lower portion and refractory fiber matt insulation 130 in the upper portion. The inner surface of the insulation is contained within a thin steel sheet 110 to minimize contamination of the insulation 104 and release of insulation fibers into the rest of containment 12. The lower reactor vessel fits within the secondary core containment structure 100 within limited clearance at the side and bottom (FIG. 4). The clearance gap 106 allows for visual in-service inspection of the outside of the reactor vessel 14 and allows water/steam flow on the outside of the vessel during a core melt that is contained in the pressure vessel 14 (e.g. as shown in FIG. 6). Water inlet pipes or conduits 120 penetrate the secondary core containment structure 100 above the high temperature insulation 104. The inlet piping 120 allows water in the reactor vessel cavity or sump 30 to flow into the secondary core containment structure 100 and directs that flow to the bottom of the reactor vessel 14. From the bottom of the reactor vessel 14, the water will flow upward, cooling the vessel. The lower ends 124 of the pipes 120 are supported from the bottom of the insulation structure allowing the pipe to deform to accommodate thermal expansion. Pipe size is kept small to reduce the heat loss through the secondary core containment structure 100 during normal operation. The pipe section 122 outside of the insulation 104 optionally incorporates an extended perforated section (not shown) to minimize the probability that debris in the reactor cavity will enter and prevent flow through the conduits 120. Alternatively, slots in the insulation and steel support wall, combined with internal flow baffles, can be used to provide inlet flow while minimizing normal heat loss (variant not shown). A set of vents 132 are included near the top of the secondary core containment structure 100 to allow hot water/steam to be vented while cooling the reactor vessel 14 in the event of a core melt event. The vent area is preferably larger than the water inlet flow area to accommodate the presence of low density steam. The total vent area is designed to control heat loss during normal operation. The external flow channel is optionally insulated with multiple reflective layers or with refractory insulation to further reduce heat loss. The secondary core containment structure 100 accommodates the design basis contingency in which normal plant safety systems are unable to maintain reactor coolant inventory inside the reactor vessel 14. If this should occur, the continued heat generation within the reactor fuel due to radioactive decay will result in melting of the fuel cladding and potentially the steel structure supporting the fuel assemblies. The resulting corium melt 16′ (FIG. 6) comprises a pool of molten fissile and structural materials, e.g. uranium dioxide, zirconium oxide and iron, resting on the bottom of the reactor vessel 14. The secondary core containment structure 100 provides defense in depth to minimize release of radioactive materials outside of the containment 12. The first function is to control the flow of water from the reactor vessel cavity 30 to maximize cooling on the outside surface of the lower reactor vessel 14. Water will flow in the coolant pipes 120 and is discharged below the lower vessel head via outlets 122. The hot water and steam generated by cooling the reactor vessel 14 will flow upward around the reactor vessel 14 until it reaches the vents 132 in the upper section of the secondary core containment structure 100. If the reactor vessel 14 is not adequately cooled due to unexpected hot spots inside the reactor vessel or initial lack of water in the reactor vessel cavity, the molten corium 16″ (FIG. 7) will melt through the reactor vessel 14 and come to rest on the high temperature refractory insulation 104 in the containment basket 102 of the secondary core containment structure 100. The corium 16″ becomes distributed over the bottom surface radiating energy from radioactive decay upwards to continue heating the remains of the lower reactor vessel 14 and conducting energy through the adjacent walls of the containment basket 102. If water is available in the reactor vessel cavity, the outer metal shell of the secondary core containment structure 100 will remain cool and water will flow into the secondary core containment structure 100, flash to steam, and thereby remove decay heat. Because the amount of water in the clearance gap 106 between the reactor vessel 14 and the secondary core containment structure 100 is limited, the amount of steam generated during the melt-through is also limited. This reduces pressure loads on the secondary core containment structure 100, the reactor vessel cavity and on the containment 12. Given a long-term water supply to the reactor vessel cavity 30 (e.g., provided by condensate collection gutters, not shown, on the inside of the containment 12), the secondary core containment structure 100 ensures that, with water present, the secondary core containment structure 100 will contain the core debris under a pool of water and isolated from concrete within the containment 12. This eliminates potential concrete/corium interaction that could threaten containment integrity and generate additional hydrogen. As a further benefit, the secondary core containment structure 100 also serves a beneficial function during normal operation of the nuclear reactor. In conventional reactor designs, a multi-layer metal insulation structure is provided around the reactor core outside of the pressure vessel. This conventional component is replaced by the secondary core containment structure 100 which optionally uses less expensive fibrous insulation 130 over most of the lower vessel surface to limit heat loss. The containment basket portion 102 of the secondary core containment structure 100 uses high density, high temperature insulation 104 which also limits normal heat loss and protects the concrete in the reactor vessel cavity 30 from the effects of excessive temperature. The insulation 104 is contained within a metal structure 110, 112 to minimize the release of insulation fibers into other areas of containment 12. The preferred embodiments have been illustrated and described. Obviously, modifications and alterations will occur to others upon reading and understanding the preceding detailed description. It is intended that the invention be construed as including all such modifications and alterations insofar as they come within the scope of the appended claims or the equivalents thereof. |
|
060382775 | description | DESCRIPTION OF THE PREFERRED EMBODIMENTS Other features of this invention will become apparent through the following description of preferred embodiments which are given for illustration of the invention and are not intended to be limiting thereof. Preferred embodiments of the plant operation apparatus according to the present invention will now be described with reference to the drawings. Basic Configuration FIG. 4 is a block diagram showing a basic configuration of a plant operation apparatus according to the present invention, in which one flat display panel (hereinafter referred to as FDP) is incorporated. Although FIG. 4 shows one FDP, it is possible to incorporate a plurality of FDPs. In FIG. 4, the reference number 11 designates a touch operation panel for touch operation including an FDP. It is also possible to place a plurality of FDPs in the touch operation panel. The reference number 12 denotes an operation display control device for generating control signals, based on the operation signals that have been generated by instructions from the operation panel 11 and have been transferred, by which the operation of each train is controlled and for transferring the control signals to a corresponding train. The reference number 13 indicates a selection device comprising a plurality of selection units 13-a, 13-b, 13-c, and 13-d. Two or more selection units 13-a to 13-d are not ON simultaneously. The reference number 14 designates a control device for controlling the operation of the trains. The control device 14 comprises four control units each unit controlling the operation of each of the train A, the train B, the train C, and the train D. Each train is physically separated from the adjacent train by using a fire protecting separator designated by the alternate long and short dash lines. The reference numbers 16-a, 16-b, 16-c, and 16-d designate self-diagnosis modules. The reference numbers 17-a, 17-b, 17-c, 17-d, 18-a, 18-b, 18-c, and 18-d designate isolators as separation devices (hereinafter referred to as ISO). Among the ISOs 17-a, 17-b, 17-c and 17-d and the ISOs 18-a, 18-b, 18-c and 18-d are connected through a wire group 19, namely the wire 19-a, the wire 19-b, the wire 19-c, and the wire 19-d. The wires 19-a, 19-b, 19-c, and 19-d are formed by pre-fabricated cables or optical fiber cables, each covered with a fire protection metal-shield, and isolated electrically to each other. FIG. 5 is a block diagram showing another configuration of the plant operation apparatus according to the present invention. In the plant operation apparatus shown in FIG. 5, two FDPs and two selection devices 13 are incorporated. In FIG. 5, the reference number 11 designate touch operation panels and each touch operation panel 11 comprises the FDP. It is also possible to place a plurality of operation panels in each touch operation panel 11. The reference number 12 denotes an operation display control device for generating control signals to control the operation of each train based on the operation signal generated by and transferred from the operation panel 11, and for transferring the control signals to corresponding train. The reference number 13 denotes two selection devices, as shown in FIG. 5. Each selection device 13 comprises a plurality of selection units 13-a, 13-b, 13-c, and 13-d. Two units or more in the selection units 13-a, 13-b, 13-c, and 13-d are not ON simultaneously. The reference number 14 designates a control device for controlling the operation of the trains. The control device 14 comprises four control units each unit controlling the operation of each of the train A, the train B, the train C, and the train D. Each train is physically separated from the adjacent train by using a fire protecting separator designated by the alternate long and short dash lines. The reference numbers 17-a, 17-b, 17-c, 17-d, 18-a, 18-b, 18-c, and 18-d designate isolators as separation devices (hereinafter referred to as ISO). Among the ISOs 17-a, 17-b, 17-c and 17-d and the ISOs 18-a, 18-b, 18-c and 18-d are connected through a wire group 19 comprising the wire 19-a, the wire 19-b, the wire 19-c, and the wire 19-d. Like the configuration of the operation apparatus shown in FIG. 4, the wires 19-a, 19-b, 19-c, and 19-d are formed by pre-fabricated cables or optical fiber cables, each is covered with a fire protection metal shield, and isolated electrically from each other. In the basic configuration of each of the plant operation apparatus shown in FIG. 4 and FIG. 5, the central control panel including the operation panel 11 has the fire protection separations comprising metal plates and so on. Push buttons incorporated in the central control panel are separated physically and isolated electrically from each other because equipment such as the push buttons are independent to each other. In addition to this, the wires between the devices are covered with fire protection metal armor. Furthermore, the devices are separated physically and isolated electrically with the ISOs and the optical fiber cables, and the like. In the sections located in the downstream viewed from the train control device 14 (or from the train multiplexer (MPX)), control panels are physically separated and electrically isolated from each other for fire protection. Next, a description will be given of the operation of the plant operation apparatus of the present invention. In order to maintain the supervision operation function of the safety protection system when the FDP breaks down, although the plant operation apparatus shown in FIG. 5 is required basically, the basic operation of the plant operation apparatus having the configuration shown in FIG. 4 will be explained for brevity. Valves 11-a, 11-b, 11-c, and 11-d for the trains A, B, C, and D are shown in the operation panel 11 of the touch operation shown in FIG. 4. When an operator touches symbol switches for the valves 11-a, 11-b, 11-c, and 11-d on the operation panel 11, the operation unit (including open/close switches) 20 corresponding to the valves 11-a, 11-b, 11-c, and 11-d is then displayed on the operation panel 11 for the operator. The operator touches the open switch or the close switch for the valves in order to indicate the operation to be executed. Because it must be required to separate the valves and the control device for controlling those valves by using the train separation, the train control device 14 is divided into the control devices 14-a, 14-b, 14-c, and 14-d for the train A, the train B, the train C, and the train D. The selection signals as control signals generated by and transferred from the single operation display control device 12 is transferred to each train. In the configuration described above in which the operation display control device 12 is simply connected to each of the train control devices 14-a, 14-b, 14-c, and 14-d, there is a possibility that two or more train control devices 14-a, 14-b, 14-c, and 14-d operate simultaneously and an error occurs. This configuration can not obtain that the plant operation apparatus satisfies the separation criteria adequately. That is, there is the possibility that two or more train control devices 14-a, 14-b, 14-c, and 14-d will malfunction due to an error of the operation display control device 12. This does not satisfy the separation requirement. In order to satisfy the separation requirement described above, the plant operation apparatus according to the present invention has the basic configuration in which a train control means including software (hereinafter referred to as a S/W train selection means) and a train selection means including hardware (hereinafter referred to as a H/W train selection means) are combined incorporated in each of the operation display screen control device 12 and the train control devices 14-a, 14-b, 14-c, and 14-d forming the train control device 14. Thereby, only the selected train can be controlled correctly and safely without causing any errors. Thus, the plant operation apparatus satisfies the separation requirement adequately without causing any operation errors, utilizing the selection means having diversity based on the S/W train selection switch and the H/W train selection switch. When an operator operates the operation panel 11, the operation panel 11 generates the operation signal and then transfer the generated operation signal to the operation display screen control device 12. The operation display screen control device 12 generates output signals as plant operation instructions to control the operation of the plant based on the received operation signal. At this time, the operation display screen device 12 judges and then selects the target train based on identification symbols corresponding to the selected devices and the train. The operation display screen control device 12 outputs the control signals as output signals to the target train through the A train control device 14-a in the train control device 14, for example. The train control device 14 receives the control signals and controls the operation of the plant including the target train based on the received control signals. When the control signals includes only unique identification symbols in the plant such as valve numbers and the like, it is possible to judge the target train based on the identification number. By this operation, it is basically possible to perform the plant control including only the target train. It is possible to place the operation panel 11, the operation display screen control device 12, and the equipment, placed between the operation display screen control device 12 and the train control device 14, in duplicate or more in order to maintain the function of the plant operation and to keep the safety operation for the plant. Hereinafter, a description will now be given of a case where there is only one set of the operation panel 11, the operation display screen control device 12, and wires among ISOs 18-a, 18-b, 18-c, 18-d, and ISOs 17-a, 17-b, 17-c, 17-d, for brevity. When a failure caused by a mis-operation in the operation display screen control device 12 occurs (namely, when a single failure occurs), there is a possibility that the operation display screen control device 12 generates and outputs the control signal including a failure device identification signal and a wrong train selection signal. For example, when an operator wants to operate the valve 11a belonging to the A train through the operation panel 11, there is a case that the operation display screen control device 12 outputs a wrong instruction or a mis-instruction such as "the valve 11a, A train, open" and "the valve 11-b, B train, open" instead of a correct instruction such as "valve 11a, A train, open". In this case, the single failure caused by the operation display screen control device 12 is influences to a plurality of other trains. It is thereby difficult to maintain the train independence. In order to overcome this, the plant operation device of the present invention has the train selection system in which the S/W train selection control function obtained by using the operation display screen control device and the train control device and the H/W train selection function obtained by using the H/W selection device 13 are combined. A target train to be operated is designated by selecting one of the selection switches 13-a, 13-b, 13-c, and 13-d in the selection device 13. That is, the control signal based on the operation signal transferred from the operation panel 11 is output to the control device for the plant only by establishing the AND logic of the AND circuits 15-a, 15-b, 15-c, and 15-d in each of the train control device 14-a, 14-b, 14-c and 14-d. Each of the train control devices 14-a, 14-b, 14-c, and 14-d for each train outputs the operation control signal to the plant in order to control and operate the plant only by establishing the result of the AND logic operation between an agreement signal and the hardware signals 20-a, 20-b, 20-c, and 20-d transferred from the selection device 13. The AND logic is executed by the AND circuits 15-a, 15-b, 15-c, and 15-d. The agreement signal is generated only that the control signals, generated based on the operation signal, with the train information added by the operation display screen control device 12 agrees with the train information belonging to each train control device. Thereby, the plant operation device of the present invention is capable of having the function of train independence and the safety for a single failure. On the other hand, it is difficult to achieve this train independence and the safety protection only by using the S/W train selection function executed by the operation display screen control device 12 and the train control device 14. Furthermore, it is requested to satisfy the separation criteria for the single failure of the H/W switch in the selection device 13, or for the single failure caused in operations by the operators. Therefore, the selection device 13 must have the function for automatically cutting the connections to other trains selected by a mis-operation or an error selection when one train is selected and the function to connect only the selected train. This function must keep the train separation even if a switch failure or a mis-operation occurs. Based on the basic configuration of the plant operation apparatus having the functions described above, various embodiments according to the plant operation apparatus will be explained. In the following description about the preferred embodiments according to the present invention, the plant operation apparatus having the combination function of the S/W train selection function realized by the operation display screen control device and the train control device and the H/W train selection function realized by the selection device that is capable of exclusively selecting only one train will be explained. That is, in the following first embodiment to the eighth embodiment, the diversified train separation means based on the H/W train selection function will be mainly explained. In the following ninth embodiment to the thirteenth embodiment, the train selection means based on the S/W train selection function will be mainly explained. First Embodiment FIG. 6 is a block diagram showing the plant operation apparatus of the first embodiment according to the present invention. In FIG. 6, the reference number 11 designates an operation panel used for the touch operation by operators including the Flat Display Panel (FDP). It is possible to have a plurality of FDPs in the operation panel 11. The reference number 12 denotes operation display screen control device for generating a control signal to be used for controlling each train based on the operation signal generated by the operation of an operator in the operation panel 11 and then transferred to the operation display screen control device 12. The operation display screen control device 12 transfers the generated control signal to the corresponding train control device 14. The reference number 130 designates a selection device comprising a plurality of selection units 130-a, 130-b, 130-c, and 130-d. The selection device 130 having a hardware (H/W) selection logic for exclusively selecting a single train is formed so that two or more contacts are not ON simultaneously. For example, the selection units 130-a, 130-b, 130-c, and 130-d, corresponding to each train, including the push buttons forming the selection device 130 comprises train selection switches (PB-A, PB-B, . . . ) 21, 28, . . . and contacts 23 to 26 and 30 to 33. The reference number 14 denotes the train control device for controlling the operation of the trains A, B, C, and D comprising the A train control device 14-a, the B train control device 14-b, the C train control device 14-c, the D train control device 14-d. The reference numbers 16-a, 16-b, 16-c, and 16-d denote self diagnosis devices. The reference numbers 15-a, 15-b, 15-c, and 15-d indicate AND circuits for performing AND operations between the control signals transferred from the self diagnosis devices 16-a, 16-b, 16-c, and 16-d and the control signals transferred from the selection units 130-a, 130-b, 130-c, and 130-d in the selection device 130 and for outputting the control signal as the result of the AND operation. The adjacent trains that are adjacent to each other are separated physically by using the fire protection separator designated by using the alternate long and short dash lines. The reference characters 17-a, 17-b, 17-c, and 17-d, and 18-a, 18-b, 18-c, and 18-d designate isolators as separation devices, isolators 17-a, 17-b, 17-c, and 17-d are connected to the isolators 18-a, 18-b, 18-c, and 18-d through wires 19-a, 19-b, 19-c, and 19-d, respectively. The wires 19-a, 19-b, 19-c, and 19-d are coated with prefabricated cables or with optical fibers whose surfaces are coated with a fire protection metal armor to isolate them to each other electrically. Like the operation apparatus having the basic configuration according to the present invention shown in FIGS. 2 and 4, in the central control panel including the operation panel 11 in the plant operation apparatus as the first embodiment according to the present invention shown in FIG. 6, the fire prevention separation with metal plates has been applied and equipments such as each button and the like are separated physically and isolated electrically because they are independent from each other. In addition to this, the wires between these equipment are coated with a fire protection metal shield or by using the metal covered cables. In addition to this, the wires are separated physically with the isolators and isolated electrically by using the optical fiber cables. The fire protection, the physical separation, and the electrical isolation are performed for downstream sections viewed from the train control device 14 (or the train MPX) by dividing the control plate into different control plates. Thus, in the plant operation device according to the first embodiment, the S/W train selection is performed by the operation panel 11 and the operation display screen control device 12 and the train control device 14 and the train selection is further performed by the selection device 130. This combination achieves the train separation and satisfies the single failure criteria. Next, a description will now be given of the operation of the plant operation apparatus of the first embodiment. In the plant operation apparatus of the first embodiment shown in FIG. 6, the operation display screen control device 12 distinguishes which train will be selected and operated based on the operation signal generated by touching the operation panel 11 by the operator and transferred from the operation panel, and then the operation display screen control device 12 outputs the control signal only to the corresponding train control device. In this case, the control signal to be transferred to the corresponding train control device is distinguished exclusively by using the S/W selection function. Next, the operation of the H/W train selection operation is further executed by the selection device 130. In the selection device 130, the selection unit 130-a, 130-b, 130-c, 130-d are incorporated corresponding to the A train, the B train, the C train, and the D train, respectively. In order to prevent a spreading fire, the fire protection separators are placed between the selection devices 130-a, 130-b, 130-c, and 130-d. In the plant operation device shown in FIG. 6, when the operator pushes the selection switch (PB-A) 21 for the train A, a relay (RyA) 22 is electrically excited and the contact for the train A is closed (namely, becomes ON), and other contacts for the B train, the C train, and the D train are opened automatically (namely become OFF). Thereby, the A train control operation only for the train control device (or the multiplexer MPX) selected by the selection device 130 will be performed. Similarly, when the operator pushes the selection switch (PB-B) 28 for the train B, a relay (RyB) 29 is electrically excited and the contact for the train B is closed (namely, becomes ON), and other contacts for the A train, the C train, and the D train are open automatically (namely become OFF). Thereby, the B train control operation only for the train control device (or the multiplexer MPX) selected by the selection device 130 will be performed. As described above, according to the plant operation device of the first embodiment, it is possible to satisfy the single failure criteria in a diversified train selection process obtained by the combination of the S/W train selection function executed by the operation display screen control device 12 and the train control device 14 and the H/W train selection function executed by the selection device 130. Second Embodiment FIG. 7 is a block diagram showing the plant operation apparatus of the second embodiment according to the present invention. In FIG. 7, the reference number 230 designates a selection device as a H/W train selection device comprising a plurality of selection switches of a push button type 231-a, 231-b, 231-c, and 231-d. This selection device 230 has the configuration of a selection logic for exclusively selecting a single train so that two or more contacts of the switches are not ON simultaneously. The selection device 230 comprises train selection devices 231-a, 231-b, 231-c, 231-d, and isolators (ISOs) 232. As shown in FIG. 7, each of the train control devices 14-a, 14-b, 14-c, and 14-d has a logic circuit 234. FIG. 7 shows mainly the detailed configuration of each of the logic circuits in the train control devices 14-a and 14-b. The other train control devices 14-c and 14-d have the same configuration. The isolator 232 isolates electrically from other devices. Other components in the plant operation apparatus of the second embodiment are the same as those of the plant operation apparatus of the first embodiment, therefore the explanation of them is omitted for brevity. The same numbers will be used for those same components. The plant operation apparatus of the second embodiment satisfies the single failure criteria by using a diversified train separation like the plant operation apparatus of the first embodiment. In order to achieve this, the plant operation apparatus of the second embodiment uses a logical circuit 234 (as a S/W function) comprising a microprocessor and momentary switches in the selection device 230 (the H/W train selection function) in addition to the train separation means of a S/W train selection function obtained by the operation display screen control device 12 and the train control device 14. Next, a description will be given of the operation of the plant operation apparatus as the second embodiment. In FIG. 7, when an operator pushes the selection switch 231-a in the selection device 230 for the A train, the selection signal to select the A train is generated. This selection signal is transferred to the train control device 14 (or the multiplexer MPX). like the selection switch 231-a, when the operator pushes one of other selection switches 230-b, 230-c, 230-d in the selection device 230 for the B train, the C train, and the D train, selection signals corresponding to the B train, the C train, and the D train are generated. One of these selection signals is electrically isolated and physically separated from each other and from other devices and transferred to the train control device 14 through the isolators 232. According to the selection signal from the selection device 230, the logical circuit 234 in the train control signal 14 resets the A train selection that has been selected by the preceding operation. Thus, when an operator selects one train, other trains including the previously selected train are reset automatically. The operation when the operator selects other train are same. Therefore the plant operation apparatus can perform correctly the selection control operation only for selected train. In order to keep the fire protection, the separation for each train is performed by using fire protection separators and cables with a fire protection metal shield. As described above, according to the plant operation apparatus of the second embodiment, it is possible to satisfy the single failure criteria by using a diversified train separation, like the plant operation apparatus of the first embodiment. In order to achieve this, the plant operation apparatus of the second embodiment uses the logical circuit 234 comprising the microprocessor (as the S/W function) and the momentary switches as the H/W train selection function incorporated in the selection device 230 in addition to the train separation means of the S/W train selection function obtained by the operation display screen control device 12 and the train control device 14. Third Embodiment FIG. 8 is a block diagram showing the plant operation apparatus of the third embodiment according to the present invention. In FIG. 8, the reference number 330 designates a selection device formed by hardware devices. The selection device 330 comprises an exclusive selection logic for selecting exclusively one train so that two or more contacts are not ON. For example, the selection device 330 comprises the train selection switches 330-a, 330-b, 330-c, and 330-d, and the isolators (ISOs) 332. Each of the train control devices (or multiplexers (MPX)) 14-a, 14-b, 14-c, and 14-d includes the logical circuit 334. Although FIG. 8 shows mainly the train control devices 14-a and 14-b for the A train and the B train, the other train control devices 14-c, and 14-d have the same configuration. The isolator 232 isolates electrically from other devices. Other components in the plant operation apparatus of the third embodiment are the same as those of the plant operation apparatus of the first embodiment, therefore the explanation of them is omitted for brevity. The same numbers will be used for those same components. As described above, because each train selection button belongs to each train in the plant operation apparatus of the second embodiment shown in FIG. 7, it must be required to isolate electrically and separated physically the wires connected to each of the selection devices 231-a, 231-b, 231-c, and 231-d. This causes to increase the wiring area in the plant operation apparatus and wire design time. In order to avoid this, the plant operation apparatus of the third embodiment has the configuration in which isolators (ISOs) 332 as separation devices are formed at the output terminals and the input terminals of the selection device 330 so that each selection system in the selection device 330 belongs to no train. In the illustrated configuration the degree of separation between the FDPs can be increased by separating the power source supplied to each FDP. As described above, according to the plant operation apparatus of the third embodiment, the isolators (ISOs) as the isolation devices are incorporated in the input and output terminals in the selection switches for exclusively selecting one train in the selection device 330 corresponding to each of the trains. It is thereby possible to achieve the configuration that the selection switch system belongs to no train, so that the wire separation can be easily performed in addition to the separation means of the S/W train selection function to separate the trains obtained by the operation display screen control device 12 and the train control device 14. It is therefore possible to obtain the train selection system to satisfy the single failure criteria by using the diversified train separation function. Fourth Embodiment FIG. 9 is a block diagram showing the plant operation apparatus of the fourth embodiment according to the present invention. In FIG. 9, the reference number 430 designates a selection device for selecting the train comprising the train selection switches 451-a, 451-b, 451-c, and 451-d. Other components in the plant operation apparatus of the fourth embodiment are the same as those of the plant operation apparatus of the first embodiment, therefore the explanation of them is omitted for brevity. The same numbers will be used for those same components. In the plant operation apparatus of the fourth embodiment, a diversified train separation means is achieved by selecting the train by using the train selection switches 451-a, 451-b, 451-c, and 451-d as the H/W switches in addition to the train separation means obtained by using the S/W train selection comprising the operation display screen device 12 and the train control device 14. Next, a description will be given of the operation of the plant operation apparatus as the fourth embodiment. When an operator pushes one of the push button type selection switches 451-a, 451-b, 451-c, and 451-d for selecting one train, other trains that are not selected are reset mechanically and automatically. For example, when the operator pushes the selection switch 451-a in order to select the A train, other selection switches 451-b, 451-c, and 451-d corresponding to the B train, the C train, and the D train are reset mechanically in which two or more selection switches are not ON (inactive) simultaneously. Then the selection signal for the selected train is transferred from the selection device 430 to the train control device 14. As described above, according to the plant operation apparatus of the fourth embodiment, only the selection signal for the selected train generated by the push button type selection switch in the selection device 430 is output to the train control device 14. Other selection switched that are not selected are automatically and mechanically reset. It is thereby possible to obtain the train selection system to satisfy the single failure criteria by using the diversified train separation function in addition to the S/W train selection function obtained by the operation display screen control device 12 and the train control device 14. Fifth Embodiment FIG. 10 is a block diagram showing the plant operation apparatus of the fifth embodiment according to the present invention. In FIG. 10, the reference number 513 designates a H/W selection device comprising alternate type push buttons 561-a, 561-b, 561-c, and 561-d and contacts 562-a, 562-b, 562-c, and 562-d as train selection switches. The push buttons (PB-A, PB-B, PB-C, PB-D) 561-a, 561-b, 561-c, and 561-d have the error prevention cover 564-a, 564-b, 564-c, and 564-d, respectively. Other components in the plant operation apparatus of the fifth embodiment are the same as those of the plant operation apparatus of the first embodiment, therefore the explanation of them is omitted for brevity. The same numbers will be used for those same components. The plant operation apparatus of the fifth embodiment has the push buttons 561 for the train selection operation as the H/W selection devices, in addition to the S/W train separation function obtained by the operation display screen control device 12 and the train control device 14. The push buttons 561 have the mis-operation prevention covers 564-a, 564-b, 564-c, and 564-d, and the contacts 562-a, 562-b, 562-c, and 562-d, respectively so that two or more push buttons are not pushed simultaneously. When the operator pushes the push button corresponding to the train to be operated, only the contact of the push button that is pushed by the operator is closed and other contacts become open. Next, a description will be given of the operation of the plant operation apparatus as the fifth embodiment. When the push button corresponding to the target train is pushed by the operator, the contact of the selected push button is closed, and other contacts of unselected push buttons become open, so that the selection signal is transferred only from the push button, that is pushed by the operator, to the train control device 14. In this case, pushing two or more push buttons simultaneously by using the mis-operation prevention covers 564-a, 564-b, 564-c, and 564-d. This realizes the exclusive single train selection means. As described above, because the plant operation apparatus of the fifth embodiment comprises the train selection device having the push buttons with the mis-operation prevention cover, it is possible to obtain the train selection system satisfying the single failure criteria by using the diversified train separation function in addition to the S/W train selection function obtained by the operation display screen control device 12 and the train control device 14. Sixth Embodiment FIG. 11 is a block diagram showing the plant operation apparatus of the sixth embodiment according to the present invention. In FIG. 11, the reference number 613 designates a selection device. This selection device 613 has a four channel module switch 672. The reference number 671 denotes a handle of the four channel module switch 672. Other components in the plant operation apparatus of the sixth embodiment are the same as those of the plant operation apparatus of the first embodiment, therefore the explanation of them is omitted for brevity. The same numbers will be used for those same components. In the plant operation apparatus of the sixth embodiment, because the selection device 613 has the four channel module switch, only one train can be selected exclusively and the selection signal only for the selected train can be generated and transferred form the selection device 613 to the train control device 14. Next, a description will be given of the operation of the plant operation apparatus as the sixth embodiment. In order to select the A train as a target train to be operated, an operator turns the four channel module switch 672 in the selection device 613, for example. In this case, the contact corresponding to the A train is closed according to the position of the handle 671 and the contacts corresponding to other trains such as the B train, the C train, and the D train become open (namely, become OFF). It is thereby possible to select only one train exclusively and to transfer the selection signal for the selected train to the train control device 14. As described above, according to the plant operation apparatus of the sixth embodiment, the combination of the S/W train selection function obtained by the operation display screen control device 12 and the train control device 14 and the exclusive train selection function obtained by the selection device 613 as the hardware switch (as the H/W train selection function). This configuration satisfies the single failure criteria by using the diversified train separation function formed by the S/W train selection function and the H/W train selection function. Seventh Embodiment FIG. 12 is a block diagram showing the plant operation apparatus of the seventh embodiment according to the present invention. In FIG. 12, the reference number 713 designates a selection device comprising a gear type switch 713. The reference number 713 designates a handle, 781-a, 781-b, 781-c, and 781-d denote contacts to which the position of the handle 781 is shifted by an operator when each of the A train, the B train, the C train, and the D train is selected. Other components in the plant operation apparatus of the seventh embodiment are the same as those of the plant operation apparatus of the first embodiment, therefore the explanation of them is omitted for brevity. The same numbers will be used for those same components. In the plant operation apparatus of the seventh embodiment, because the selection device 713 comprises the gear type switch 780, only one train can be selected exclusively and the selection signal for the train selected by the gear type switch 780 can be transferred to the train control device 14. Next, a description will be given of the operation of the plant operation apparatus as the seventh embodiment. The operator shifts the handle of the gear type switch 780 to the position by which one train is selected exclusively. For example, when the position of the handle 781 is shifted to the contact position 782-a, the contact corresponding to the contact position 782-a is closed, so that only the A train is selected. The selection signal to select the A train is transferred to the train control device 14. In this situation, because the selection switch 713 can keep that the other contacts 782-b, 782-c, and 782-d are open. The occurrence of two or more contacts closing (namely, they become ON) can be avoided. As described above, according to the plant operation apparatus of the seventh embodiment, the S/W train selection function obtained by the operation display screen control device 12 and the train control device 14, and the exclusive train selection function obtained by the selection device 713 as the hardware switch (the H/W train selection function) are combined and used commonly. This combinational configuration satisfies the single failure criteria by using the diversified train separation function formed by the S/W train selection function and the H/W train selection function. Eighth Embodiment FIG. 13 is a block diagram showing a plant operation apparatus of the eighth embodiment according to the present invention. In FIG. 13, the reference number 813 designates a selection device comprising a gear type switch 890. The reference numbers 893 and 894 denote touch buttons placed on the operation panel 11. When an operator pushes one of the buttons 893 and 894, the S/W train selection operation is performed. Other components in the plant operation apparatus of the eighth embodiment are the same as those of the plant operation apparatus of the first embodiment, therefore the explanation of them is omitted for brevity. The same numbers will be used for those same components. In the plant operation apparatus of the eighth embodiment, because the selection device 813 comprises the gear type switch 890, only one train can be selected exclusively and the selection signal for the train selected by the gear type switch 890 can be transferred to the train control device 14. Thus, the plant operation apparatus of the eighth embodiment has the S/W train selection function obtained by the operation display screen control device 12 and the train control device 14 and the H/W train selection function obtained by the gear type switch 890 in the selection device 813. The position of the handle 891 is kept at the center position of the switch 890 as the neutral position by using a spring, as shown in the lower section of the selection device 813 in FIG. 13. When an operator selects one train to be operated, the operator shifts the handle 891 of the gear type switch 890, as the H/W train selection, to a position corresponding to the target train to be operated. In addition to this H/W train selection operation, the operator further pushes one of the touch buttons 893 and 894 on the operation panel 11 in order to select the train as the S/W train selection. Next, a description will be given of the operation of the plant operation apparatus as the eighth embodiment. When the operator selects no train, the position of the handle 891 is kept at the center of the gear type switch 890, as shown in the upper section of the selection device 813 in FIG. 13. For example, in order to select the A train, the operator shifts the handle 891 to the contact position 892-a (the top position). In addition to this operation, the operator further pushes one of the touch buttons 893 and 894 in the operation panel 11 that is controlled based on software programs. After this, the predetermined processes and operations are performed. Similarly, when selecting another train such as the B train, the C train, or the D train, the operator shifts the handle 891 to the position 892-b, 892-c, or 892-d corresponding to the train to be selected, and then the above operations and processes are performed. As described above, according to the plant operation apparatus of the eighth embodiment, the combination of the S/W train selection function obtained by the operation display screen control device 12 including the operation panel 11 and the train control device 14 and the exclusive train selection function obtained by the selection device 813 as the hardware switch. This configuration achieves to satisfy the single failure criteria by using the diversified train separation function formed by the S/W train selection function and the H/W train selection function. Ninth Embodiment FIG. 14 is a block diagram showing the plant operation apparatus of the ninth embodiment according to the present invention. In FIG. 14, the reference number 101 designates an operation panel by which an operator selects devices and equipments to be operated, 102 denotes an operation display screen control device, 103 indicates a selection device, 108 designates a large type display device for displaying states of trains, 107 denotes a computer for calculating data items to be displayed on the large type display device 108. The reference character ISO designates an isolator as a separation device. FIG. 14 shows a plurality of ISOs. Other components in the plant operation apparatus of the ninth embodiment are the same as those of the plant operation apparatus of the first embodiment, therefore the explanation of them is omitted for brevity. The same numbers will be used for those same components. In the plant operation apparatus of the ninth embodiment, the large type display device 108 is incorporated. On the large type display device 108, the name of the train selected by the operation panel 101 as the S/W selection device and the train information selected by the selection device 103 as the H/W selection device are displayed simultaneously. Many operators can observe the train information displayed on the large type display device 108. This causes that many operators have the same information regarding the selected train and so on and this avoids operation errors or reduce occurrences of the operation errors caused in the train selection operation by the operators. Next, a description will be given of the operation of the plant operation apparatus as the ninth embodiment. When the operator operates the operation panel 101 to select one train, the selected train information is displayed on the large type display device 108. For example, as shown in FIG. 14, the name and states 108-a, 108-b, 108-c, and 108-d of the devices in the selected train is displayed on the large type display device 108 under the control of the computer 107 for the large type display device 108. Furthermore, the states of the train selected by the selection device 103 as the H/W selection device are displayed on the displays 108-f to 108-i (omitted from FIG. 14 for brevity) in the large type display device 108. In addition, messages such as the operation states, the selection states, the rectangular symbol 108, the character "selection", and the like are displayed on corresponding positions on the large type display device 108. As described above, according to the plant operation apparatus of the ninth embodiment, operation information including the states of the devices in the selected train and the operation states processed by operators can be displayed on the large type display device. It is possible for the operators to have the same information to each other. This reduces occurrences of operation errors in the train selection operation. Tenth Embodiment FIG. 15 is a block diagram showing a plant operation apparatus of the tenth embodiment according to the present invention. In FIG. 15, the reference number 114 designates a train control device including the A train control device 114-a for controlling the operation of the A train, the B train control device 114-b for controlling the operation of the B train, the C train control device 114-c for controlling the operation of the C train, and the D train control device 114-d for controlling the operation of the D train. The reference numbers 115-a, 115-b, 115-c, and 115-d denote AND circuits incorporated in each corresponding train control device, respectively. The reference numbers 116-a, 116-b, 116-c, and 116-d indicate selection train indicating lamps or pilot lamps for indicating the train selection state. The reference numbers 117-a, 117-b, 117-c, and 117-d designate control train pilot lamps indicating the available trains to be selected. The operation of each of the train control devices 114-a, 114-b, 114-c, and 114-d and the AND circuits 115-a, 115-b, 115-c, and 115-d is the same as that of the train control circuit 14 and the AND circuit 15 in the plant operation apparatus of the first embodiment. Other components in the plant operation apparatus of the ninth embodiment are the same as those of the plant operation apparatus of the first embodiment, therefore the explanation of them is omitted for brevity. The same numbers will be used for those same components. In the plant operation apparatus of the tenth embodiment, the train selection pilot lamp 116 corresponding to the selected train lights up. The control train pilot lamps 117 corresponding to the available trains to be selected light up. This reduces occurrences of the operation error and facilitates correct train selection operation. Next, a description will be given of the operation of the plant operation apparatus as the tenth embodiment. In the operation panel 11, when the operator selects the device to be operated in the A train, for example, the operation display screen control device 12 transfers a control signal to the corresponding pilot lamp 116-a. Then the selection train pilot lamp 116-a lights up. When the operator pushes the selection button 13-a in the selection device 13 for the A train, it becomes possible to operate the A train actually. The train control device 114 then transfers a control signal to the control train pilot lamp 117-a. The control train pilot lamp 117-a lights up to indicate that the A train is available to operate. In this situation, it is possible to operate the push buttons 111-e, 111-f, and so on, as the S/W operation means, for the selected A train. As described above, according to the plant operation apparatus of the tenth embodiment, the selection train pilot lamp 116 corresponding to the selected train lights up and the control train pilot lamps 117 light up to show the available train states to be operated. It is thereby possible to reduce the operator load caused when the operators select the train and to easily perform correctly the train selection operation. Eleventh Embodiment FIG. 16 is a block diagram showing the plant operation apparatus of the eleventh embodiment according to the present invention. In FIG. 16, the reference number 235 designates a train control device comprising the train control devices 235-a, 235-b, 235-c, and 235-d corresponding to the A train, the B train, the C train, and the D train, respectively. The reference number 236 designates a logic circuit forming each of the train control devices 235-a, 235-b, 235-c, and 235-d. The reference number 230 denotes a selection device as a H/W selection device comprising train selection switch 231-a, 231-b, 231-c, and 231-d. Other components in the plant operation apparatus of the eleventh embodiment are the same as those of the plant operation apparatus of the second embodiment, therefore the explanation of them is omitted for brevity. The same numbers will be used for those same components. In the plant operation device of the eleventh embodiment, when an operator wants to push one train selection switch in the selection device 230, even if the operator pushes other train selection switch in a mis-operation or a failure operation, the logic circuit 236 in the train control device 235 can prevent wrong or failure output of the train selection signal from the train control device 235. Next, a description will be given of the operation of the plant operation apparatus as the twelfth embodiment. When an operator wants to push the selection switch 231-a in order to select the A train and the operator pushes other selection switch 231-b, 231-c, or 231-d other than the desired selection switch 231-a, the failure operation or the mis-operation occurs. In this situation, the logical circuit 236 incorporated in each of the train control device 235-a to 235-d prevents to output any wrong selection signal from the train control device 235 caused by the mis-operation. Like the operation of the plant operation apparatus of the second embodiment, in the plant operation apparatus of the eleventh embodiment, the selection signal is transferred from the train control device 235 only when an operator pushes momentarily the train selection switch, namely the push button, in the selection device 230 as the H/W selection device and the operator operates the operation panel 11 as the S/W selection device. As described above, according to the plant operation apparatus of the eleventh embodiment, even if a mis-operation occurs, for example, an operator pushes the train selection switch corresponding to the selected train and one or more other train selection switches simultaneously, the logical circuit can prevents output of a selection signal from the train control device 235. Accordingly, the combination of the train selection operation as the S/W train selection by the operation panel 11 and the operation display screen device 12, and the exclusive train selection operation as the H/W train selection operation by the selection device 230 is performed, so that it is possible to satisfy the single failure criteria by using the diversified train separation function. Twelfth Embodiment FIG. 17 is a block diagram showing the plant operation apparatus of the twelfth embodiment according to the present invention. In FIG. 17, the reference number 121 designates an operation display screen device, and 121-a denotes a train identification table. Other components in the plant operation apparatus of the twelfth embodiment are the same as those of the plant operation apparatus of the second embodiment, therefore the explanation of them is omitted for brevity. The same numbers will be used for those same components. For example, in the plant operation apparatus of the first embodiment, when an operator operates the operation panel 11, information such as the name of a target device to be operated, an operation content, a train name in which the target device belongs, and the like is transferred as the operation signal to the operation display screen control device 121. The operation display screen control device 121 receives the operation signal from the operation panel 11 and judges the train control device for the train including the target device to be operated based on the received operation signal. On the other hand, in the plant operation apparatus of the twelfth embodiment, only the name of the target device to be operated and the operation content are transferred from the operation panel 11 to the operation display screen control device 121 as the operation signal. The operation display screen control device 121 receives the operation signal and then obtains the control information belonging to the selected train by using the received operation signal and the train identification table 121-a. In the plant operation apparatus of the twelfth embodiment, the information including only the target device and the operation content is transferred from the operation panel 11 to the operation display screen control device 121 as the operation signal. Then the operation display screen control device 121 obtains the information for the selected train to which the target device belongs by using the train identification table 121-a. Next, a description will be given of the operation of the plant operation apparatus as the twelfth embodiment. When an operator operates the operation panel 11, the operation panel 11 generates and transfers the operation signal including information about only a target device and an operation content. The operation display screen control device 121 receives the operation signal from the operation panel 11 and decides and generates the control information regarding the train in which the target device to be operation is included by using the train identification table 121-a and then transfers the selection signal as the control information to the corresponding to the train control device. In this train identification table 121-a, target device numbers that are unique in a plant correspond to the information for trains to which the target devices belong. Based on this identification table 121-a, the plant display screen control device 121 judges the train control device from which the control signal is transferred to the selected train in which the target device to be operated belongs. It is not necessary to have the function of judging which information corresponds to a train. This causes to reduce the design load for the plant operation apparatus. As described above, according to the plant operation apparatus of the twelfth embodiment, the operation panel 11 generates and transfers the information only including a target device to be operated and an operation content, as the S/W train selection operation, to the operation display screen control device 121. The operation display screen control device 121 can obtain the train information regarding the target device based on the train identification table 121-a. Accordingly, it is possible to design the operation panel which has no function of each information belonging to each train. This reduces the design load for the plant operation apparatus. Thirteenth Embodiment FIG. 18 is a block diagram showing the plant operation apparatus of the thirteenth embodiment according to the present invention. In FIG. 18, the reference number 514 designates a train control device comprising the A train control device 514-a for controlling the operation of the A train, the B train control device 514-b for controlling the operation of the B train, the C train control device 514-c for controlling the operation of the C train, and the D train control device 514-d for controlling the operation of the D train. The reference character 121-a denotes a train identification table stored in each of the train control devices 514-a, 514-b, 514-c, and 514-d. The contents of this train identification table is the same of that of the train identification table in the operation display screen device 121 in the twelfth embodiment shown in FIG. 17. Other components in the plant operation apparatus of the thirteenth embodiment are the same as those of the plant operation apparatus of the first embodiment, therefore the explanation of them is omitted for brevity. The same numbers will be used for those same components. For example, in the plant operation apparatus of the first embodiment, when an operator operates the operation panel 11, information such as the name of a target device to be operated, an operation content, a train name in which the target device belongs, and the like is transferred as the operation signal to the operation display screen control device 12. The operation display screen control device 12 receives the operation signal from the operation panel 11 and judges the train control device for the train including the target device to be operated based on the received operation signal. On the other hand, in the plant operation apparatus of the thirteenth embodiment, only the name of the target device to be operated and the operation content are transferred from the operation panel 11 to the operation display screen control device 12 as the operation signal, and further transferred from the operation display screen control device 12 to the train control device 514 as the output signals including train control device selection information. In the plant operation apparatus of the thirteenth embodiment, the information including only the target device and the operation content is transferred from the operation panel 11 to the operation display screen control device 12 as the operation signal and then transferred from the operation display screen control device 12 to the train control device 514 as the output signals including train control device selection information. Then the train control device 514 obtains various control information for the selected train to which the target device belongs by using the train identification table 121-a stored in each of the train control devices 514-a, 514-b, 514-c, and 514-d. Next, a description will be given of the operation of the plant operation apparatus as the thirteenth embodiment. When an operator operates the operation panel 11. The operation panel 11 generates and transfers the operation signal including information about only a target device to be operated and an operation content. The operation display screen control device 121 receives the operation signal from the operation panel 11 and transfers the output signals including train control device selection information to the train control device 514. The train control device 514 receives the output signals and then decides and generates the control information regarding the train in which the target device to be operation is included by using the train identification table 121-a. Then one of the train control devices 514-a, 514-b, 514-c, and 514-d in the train control device 514 controls the operation of the target device in the corresponding train. In this train identification table 121-a, target device numbers that are unique in a plant correspond to the information for trains to which the target devices belong. Based on this identification table 121-a, the train control device 514 judges the corresponding train in which the target device to be operated belongs. It is not necessary for the operation panel 11 and the operation display screen control device 12 to have the function of judging which information corresponds to a train. This causes to reduce the design load for the plant operation apparatus. As described above, according to the plant operation apparatus of the thirteenth embodiment, the operation panel 11 generates and transfers the information only including a target device to be operated and an operation content, and the operation display screen control device 12 generates and transfers information other than the assigned train information to the train control device 514. The train control device 514 decides and generates the control information regarding the train in which the target device to be operated is included by using the train identification table 121-a, as the S/W train selection operation. Accordingly, it is possible to design the operation panel 11 and the operation display screen control device 12 having no function of each information belonging to each train. This causes to reduce the design load for the plant operation apparatus. As described in detail, the plant operation apparatus of the present invention is designed based on the train selection separation method in which the operation panel as a train selection means to which a design of the separation function is required is formed based on the software (S/W) train selection function and the selection device including a train selection switch as a hardware (H/W) selection means is formed based on the H/W train selection function. It is thereby to integrate or combine operation panels in the plant operation apparatus, so that the operator's operation can be performed easily. On the other hand, although the working amount of operators in the train selection operation is increased, it is possible to reduce occurrences of operation errors caused by the operators, devices, and equipment. Here, a description will be given of the functions of the plant operation apparatus according to the present invention. When we consider the viewpoint of the single failure criteria required in any safety system for atomic power plants, the components forming the plant operation apparatus of the present invention such as (1) FDP (the operation panel), (2) FDP controller (operation display screen control device), (3) train selection switches (selection device), and the like must satisfy the single failure criteria. Here, the influence caused when those components (1) to (3) break down will be explained and it will be explained that the plant operation apparatus of the present invention satisfies the separation function based on the single failure criteria. (1) FDP (operation panel) When a mis-operation caused in devices other than the controller in the touch panel occurs and an incorrect signal other than the operation signal with a normal data format and a -normal transmission protocol is transferred from the operation panel, the FDP controller halts to receive the wrong signal. Thus, it is possible to prevent the influence of the mis-operation caused in the operation panel by the FDP controller because the FDP controller can detect this type failure. As a failure affecting to the FDP controller, there is a mis-operation signal with the normal transmission protocol. In this case, any operator does not find the occurrence of the failure. Specifically speaking, for example, when an operator touches another touch button not to be desired, an incorrect signal including an identification symbol in the display screen and a touch position information is generated and transferred to other devices. In this case, the plant operation apparatus according to the present invention has the configuration so that it can be prevented to push or active two or more train selection switches simultaneously. Therefore the operation for plant equipments can be limited to only the selected train. In addition, the effects caused by an electrical failure and a fire failure can be prevented by incorporating isolators. Each isolator is covered with a fire protection metal shield and isolated electrically from other, at the output section of the FDP controller. (2) FDP controller Because the FDP controller comprises a microcomputer having a central processing unit, it is possible to perform a self diagnosis in the FDP controller for various failures. From the view point of the design of the FDP controller, it is difficult to generate and transfer any incorrect signals. Even if an incorrect signal is generated in the FDP controller, it is possible to detect the generated incorrect signal and to prevent the transmission of this incorrect signal by the same reason (1) described above. In this case, because the plant operation apparatus of the present invention has the configuration so that pushing or activating two or more train selection switches simultaneously can be prevented. Therefore the influences of the failure is limited within one train by the multiplexer (MPX) such as the train control device. In addition, failures caused by an electrical failure and a fire failure can be prevented by incorporating isolators, each covered with a fire protection metal shield and isolated electrically from each other, at the output section of the FDP controller. (3) Train selection switch The plant operation apparatus of the present invention can prevent output of a mis-operation signal because the plant operation apparatus has both the software train selection function and a hardware train selection function, even if an incorrect train selection signal is generated and transferred caused by the failure of the train selection switches. Therefore there is no influences of the failure in the plant operation apparatus according to the present invention. As described above in detail, according to embodiments of the present invention, a plant operation apparatus for satisfying a separation criteria comprises an operation panel including panel switches for common touch operation, for generating operation signal based on the touch operation by operators, and for transferring the operation signal, an operation display screen control device for controlling a display on the operation panel and the touch operation when one of a plurality of trains as equipments placed in a safety protection system being selected, each train being separated independently in order to keep a multiplicity, a diversity, and an independence of supervision operation devices in the safety protection system, the operation display screen control device for selecting one train based on a software selection function according to the operation signal from the operation panel, and for generating a first control signal for the selected train, a selection device comprising momentary type push buttons corresponding to the trains, for resetting other push buttons other than one push button that being pushed by an operator based on a hardware selection function, and for generating and transferring a second control signal corresponding to the selected train, and a train control device for receiving the first control signal and the second control signal transferred from the operation display screen control device and the selection device, for generating a third control signal for the selected train based on both the first control signal and the second control signal, and for transferring the third control signal to the selected train. Furthermore, in the plant operation apparatus, the operation display screen control device, the selection device, and the train control device forms a diversified train selection system satisfying the separation criteria. Thereby, the present invention has the effect to prevent an occurrence of a mis-operation. Furthermore, according to a refinement of the present invention, the plant operation apparatus is formed so that the selection device comprises self diagnosis circuits, each self diagnosis circuit corresponds to each train, and the selection device resets the self diagnosis circuits, based on a software logic function, corresponding to the push buttons for other trains, that are not selected when the operator pushes one of the push buttons in order to select one train. Therefore the present invention has the effect that it is possible to prevent an occurrence of a mis-operation caused by an operator. Moreover, according to a refinement of the present invention, the plant operation apparatus is formed so that the selection device further comprises isolators as separation devices corresponding to each train. Therefore the present invention has the effect that it is possible to form the train selection system independently from the control device and to reduce a wiring design load. Furthermore, according to a refinement of the present invention, the plant operation apparatus has the configuration in which the push buttons incorporated in the selection device are mechanical reset type push buttons, and the mechanical reset type push buttons corresponding to other trains that are not selected are reset mechanically when one train is selected. Therefore the present invention has the effect that it is possible to prevent an occurrence of a mis-operation caused by an operator. In addition, according to a refinement of the present invention, the plant operation apparatus is formed so that the push buttons incorporated in the selection device are alternate type push buttons, and one alternate type push button corresponding to one train to be selected is pushed after the alternate type push buttons corresponding to other trains that are not selected are reset manually. Therefore the present invention has the effect that it is possible to prevent an occurrence of a mis-operation caused by an operator. Furthermore, according to a refinement of the present invention, the plant operation apparatus is formed so that the selection device comprises a module switch having a plurality of channels, and the module switch is formed so that only one channel corresponding to one train is selected. Therefore the present invention has the effect that it is possible to prevent an occurrence of a mis-operation caused by an operator. Furthermore, according to a refinement of the present invention, the plant operation apparatus is formed so that the selection device comprises a mechanical gear type switch, and the mechanical gear type switch is formed so that only one channel corresponding to one train is selected. Therefore the present invention has the effect that it is possible to prevent an occurrence of a mis-operation caused by an operator. Moreover, according to a refinement of the present invention, the plant operation apparatus is formed so that the mechanical gear type switch incorporated in the selection device keeps a neutral position while no train is selected. Therefore the present invention has the effect that it is possible to prevent an occurrence of a mis-operation caused by an operator. In addition, according to a refinement of the present invention, the plant operation apparatus further comprises a large type display device for displaying operation information such as train selection states and the like to a plurality of operators simultaneously and a computer for controlling a display of the operation information on the large type display device, wherein the plurality of operators in charge of the train selection operation see the train selection information simultaneously displayed on the large type display device, in order to prevent occurrence of a mis-operation caused by the plurality of operators. Therefore the present invention has the effect that it is possible to prevent an occurrence of a mis-operation caused by an operator. Furthermore, according to a refinement of the present invention, the plant operation apparatus further comprises train pilot lamps for indicating the train selection state based on the train selection information output from the operation display screen control device, and control train pilot lamps for indicating the train selection state based on the train selection information output from the selection device, wherein it is possible to prevent occurrences of a mis-operation by selecting a target train to be selected while the operator sees the train selection state in which the selection train pilot lamp corresponding to the selected train lights. Therefore the present invention has the effect that it is possible to prevent an occurrence of a mis-operation caused by an operator. Moreover, according to a refinement of the present invention, the plant operation apparatus is formed so that the operation display screen device controls a display of a flow diagram of a plant system displayed on the operation panel so that a selection state of a target plant device to be operated in the selected train is displayed near the target plant device on the flow diagram. Therefore the present invention has the effect that it is possible to prevent an occurrence of a mis-operation caused by an operator. Furthermore, according to a refinement of the present invention, the plant operation apparatus is formed so that the selection device comprises a logical circuit for preventing to generate and to output the selection signal even if a mis-operation, caused when the momentary type push buttons corresponding to the trains that are not selected by the operator are pushed, is happened. Therefore the present invention has the effect that it is possible to prevent an occurrence of a mis-operation caused by an operator. Moreover, according to a refinement of the present invention, the plant operation apparatus is formed so that the operation display screen control device has a train identification table used for obtaining information of the train to be selected based on information such as a target device to be operated and operation contents included in the operation signal transferred from the operation panel, and the operation display screen control device selects the train based on the information in the train identification table. Therefore the present invention has the effect that it is possible to reduce a design load for the operation panel and to increase reliability of the train selection operation. Furthermore, according to a refinement of the present invention, the plant operation apparatus is formed so that the train control device has a train identification table used for obtaining information of the train to be selected based on information such as a target device to be operated and operation contents included in the control signal transferred from the operation display screen control device, and the train control device selects the train based on the information in the train identification table. Therefore the present invention has the effect that it is possible to reduce a design load for the operation panel and the operation display screed control device and to increase reliability of the train selection operation. While the above provides a full and complete disclosure of the preferred embodiments of the present invention, various modifications, alternate constructions and equivalents may be employed without departing from the scope of the invention. Therefore the above description and illustration should not be construed as limiting the scope of the invention, which is defined by the appended claims. |
description | This application is a continuation of Ser. No. 11/607,748, filed Nov. 30, 2006, now U.S. Pat. No. 7,319,735, which in turn is a continuation of Ser. No. 10/496,049, filed Oct. 18, 2004, now U.S. Pat. No. 7,443,949, which is a Section 371 national stage of PCT/US02/33058, filed Oct. 17, 2002, claiming the benefit of U.S. Provisional Application Ser. No. 60/350,213, filed Oct. 19, 2001. This patent specification is in the field of mammography and specifically mammography employing flat panel, digital x-ray receptors rather than x-ray film. X-ray mammography machines typically use an x-ray source mounted at one end of a rotatable c-arm assembly and an image receptor at the other. Between the x-ray source and the image receptor is a device for compressing and immobilizing a breast. Until recently, the image receptor was typically a screen-film (s/f) cassette, which generated an is image related to the detected transmission of x-rays through the breast. These s/f cassettes typically come in standard sizes, e.g., 18 cm×24 cm (small) and 24 cm×30 cm (large), with the large cassette used when the breast is too large to be uniformly compressed by the small cassette. The cassettes are easily attachable and removable from a breast support tray of a conventional mammography system. The device for compressing the breast is often called a paddle, and comes in a variety of sizes to match both the cassette size and the breast size. Such matching is desirable because the use of a small size paddle on a large breast can result in uneven and inadequate breast compression and may not allow full-breast imaging, while using a large paddle on a small breast can impede access to the breast, which is important during the compression cycle in order to optimize the amount of breast tissue brought into the field of view of the image receptor. New mammography systems are now being developed to use digital image receptors as replacements for the screen-film cassettes. These digital image receptors, sometimes called flat panel receptors, or flat panel digital x-ray receptors, are different in many ways from s/f cassettes. They have many advantages, but also tend to be heavier and somewhat thicker. Typically, they are not designed to be removable in normal use, so a system normally will employ only one size image receptor. These characteristics can presents challenges for some imaging procedures and breast sizes, particularly for the mediolateral oblique view (MLO) taken as a part of typical breast x-ray imaging. As with screen-film systems, it is still advantageous to use a compression paddle that matches the breast size. This typically means that the compression paddles will be removable, and there will be a selection of paddle sizes available with the system. A number of x-ray protocols have been used for breast imaging. One common view is the cranio-caudal (CC) view, illustrates in FIG. 5, which images the breast of a standing or sitting patient from above. Another is the mediolateral oblique view (MLO), taken from an oblique or angled view, and also illustrated in FIG. 5. In screen-film mammography systems, the compression paddle typically is centered relative to the proximal edge of the screen-film cassette. In some views, such as the MLO view, and particularly for smaller breasts, this may present some difficulty as the cassette may have to be pressed against the armpit in order to approximately center the breast relative to the proximal edge of the film (the edge closest to and parallel to the chest wall). In such cases, the smaller size cassette can be used. This, plus the relative thinness of the cassette, generally allow for adequate centering. However, when a digital x-ray receptor is used usually only one size is available, and it may be the size comparable to the larger size screen-film cassette. Also, the digital receptor tends to be thicker than a screen-film cassette. Thus, centering the breast can be difficult or impossible in some cases, particularly for the MLO view and patients with smaller breasts, with the result that optimal positioning of the breast may not be possible for some views and patients. To applicants' knowledge, these and other issues regarding compression paddle use with flat panel digital receptors in mammography have not been solved and perhaps have not been even addressed. In a different setting, it has been proposed to move a compression paddle laterally, relative to the proximal edge of the screen-film cassette, but for the different purpose of aligning a cutout in the paddle with a particular portion of the breast. See U.S. Pat. No. 5,199,056. This is believed to require a paddle larger that would normally be used for the breast size so as to maintain even compression when the cutout is off-center relative to the breast. Other earlier proposals are known for features such as collimation that adjusts to film cassette size, source-to-image distance and/or cross-sectional area to be imaged (U.S. Pat. Nos. 3,502,878, 3,863,073, 5.627.869, and 6,149,301), moving a paddle (U.S. Pat. No. 3,971,950), moving a cassette (U.S. Pat. No. 4,989,227), and retracting a cassette holder (U.S. Pat. No. 4,559,641). The cited patents are hereby incorporated by reference in this patent specification. An object of the disclosed system and method is to provide mammography that overcomes known disadvantages of proposals involving the otherwise desirable use of flat panel, digital x-ray receptors. Another object is to employ compression paddles that match both the size and position of the patient's breast relative to the proximal edge of a digital x-ray image receptor so as to improve image quality, patient comfort and the ability of the health professional to position the breast optimally for imaging. Another is to provide automated collimation control that changes x-ray beam collimation in accordance with one or more of the size and position of the compression paddle and of the breast, and the position of a breast platform relative to the receptor, preferably in response to information that is automatically sensed. Another is to provide x-ray exposure control that is responsive to at least one of the size and position of the compression paddle, the position of the breast, and a pre-exposure x-ray measurement, preferably in response to information that is automatically sensed. Another is to provide a scatter-suppression grid that is retracted for image magnification protocols, preferably automatically in response to sensing a breast position for magnification imaging. These and other objects are met in a non-limiting example comprising a mammography system having a flat panel digital x-ray receptor, an x-ray source selectively emitting a collimated x-ray beam toward the receptor, and a compression paddle of a selectable size mounted for selective movement at least along a proximal edge of the x-ray receptor as well as along the x-ray beam. At least for selected breast x-ray protocols, a patient's breast is positioned off-center relative to the proximal edge of the x-ray receptor, and paddle of an appropriate size also is positioned off-center relative the same proximal edge to compress the breast for x-ray imaging. In addition, the system includes one or more of a number of other features. An exposure control can be responsive to information regarding breast thickness along the beam direction to control x-ray exposure for imaging. This information can come from a conventional auto-exposure sensor (AES) resulting from a pre-exposure, low-dose firing of the x-ray source from an output of the digital x-ray receptor during such pre-exposure firing, and/or from sensors for the relative positions of the x-ray source, the x-ray receptor, the compression paddle and/or the breast tray. The system can include a collimation control responsive to information regarding one or more of the size of the paddle, its location along the beam, its location relative to the proximal edge of the receptor, a desired field of view, magnification parameters, and the like. This information can come from appropriate sensors and/or can be input by the health professional carrying out imaging. The system can include a scatter-suppressing grid selectively movable between a position in the path of the imaging beam and a position outside that path (for magnification imaging). Again, information for controlling grid position can come from one or more different sources. And, the system can include a built-in or a separate viewing station receiving x-ray image information from the x-ray receptor and possibly from some or all of the sensors, processing it, and displaying the results as an image and/or in other forms. Referring to FIG. 1, an x-ray source 1 is at one end of a generally C-shaped frame 7 and a flat panel digital x-ray imaging receptor 5 is at the other end. X-ray source 1 includes a collimator schematically illustrated at 40 to confine an x-ray beam 30 emitted from source 1 to a desired footprint at receptor 5, typically no larger than the area of receptor 5 and preferably just enough to image a patient's breast 3 or at least a selected part thereof, as compressed toward receptor 5 by a compression paddle 2 mounted on an arm 6 that in turn mounts to frame 7. A lower platform 11, often called a breast tray, is immediately below the breast, and a scatter-reducing grid 4 is between breast tray 11 and x-ray receptor 5 and is housed in the same enclosure 12 with the receptor. As is known in the art, frame 7 can rotate between horizontal and vertical directions of x-ray beam 30. In use for a CC view, paddle 2 and its supporting arm 6 are moved up, breast 3 is positioned on tray 11 and compressed by bringing paddle 2 down as needed. With suitable collimation by collimators 40 (which typically collimate in two directions, of which only one is illustrated in FIG. 1), beam 30 from source 1 images the breast onto receptor 5 and the resulting electronic image information is transmitted to a viewing station 22 (FIG. 2). The image typically is rectangular. Preferably, the collimation is such that beam 30 illuminates an area of receptor 5 just large enough to show the image of breast 3, or at least a selected part thereof. Importantly, different sizes and shapes of paddles 2 can be mounted to arm 6, and the paddle can be selectively positioned off-center relative to proximal edge 5a of receptor 5 (the left edge in FIG. 1). Referring to FIG. 2, the system can operate in a magnification mode in which the relative positions along x-ray beam 30 of source 1, breast tray 11, and/or receptor 5 are adjusted to provide the desired image magnification. In this example, source 1 and receptor 5 stay in place but tray 11 slides up support 7 to a position spaced up from receptor 5, and the collimation of beam 30 is adjusted as needed. Note that for magnification imaging scatter-reducing grid 4 is withdrawn from the portion of receptor 5 that receives the desired breast image, because the angles of the grid septa typically are not suitable for a magnification view. If these angles can be changed to match the selected magnification, the grid can remain in place. Alternatively and if desired, a different grid that is suitable for the selected magnified view can be introduced in place of grid 4 in FIG. 1. Auto-controls 1a can include (a) an auto-exposure control coupled with an AEC sensor 24 and/or receptor 5 to receive exposure information in a pre-imaging firing of source 1, (b) an auto-collimation control to adjust the collimation of beam 30, (c) an auto-grid control to selectively withdraw grid 4, and (d) an auto-magnification control to adjust parameters for magnification imaging. AEC sensor 24 can be conventional separate sensor that helps determine imaging exposure parameters in a pre-imaging exposure of the immobilized breast at a low x-ray dosage. Alternatively, receptor 5 can be used for that purpose, eliminating the need for a separate AEC sensor, because the output of receptor 5 resulting from a low-dose pre-imaging exposure can provide the information for auto-exposure control. In addition, the output of receptor 5 in response to the pre-imaging exposure can reveal the position of the breast relative to the receptor, and thus provide information for auto-collimation to confine beam 30 to a footprint that matches the breast even when the breast is off-center relative to proximal edge 5a. The auto-collimation control can be an arrangement sensing size and/or the position of one or more of breast 3, paddle 2, and tray 11, using respective sensors and automatically adjusting collimators 40 to confine beam 30 to the required cross-section and position. The auto-grid control can respond to a signal indicating that that magnification imaging will be carried out to withdraw grid 4, for example to the position shown in FIG. 2, using a motor 4a. This signal can come from information provided by respective sensors or it can be input by the health professional using the system. The auto-magnification control can be an arrangement responding the data entered by a health professional through viewing station 22, or in some other way, e.g., based on information from sensors to adjust the system elements involved in magnification. Information for the auto-controls can be provided in various ways. One is from sensors S that keep track of the size and position of paddle 2 along beam 30 and relative to proximal edge 5a of x-ray receptor 5, of the position of breast tray 11 along beam 30, of the position of grid 4, and the setting of collimators 40. Another is inputs from an auto-exposure sensor and/or x-ray receptor 5 resulting from a pre-exposure firing of beam 30 at low dose, with breast 3 in place for imaging. As is known in the art, the output of receptor 5 can be used to detect the position of breast 3 relative to receptor 5, or at least the approximate position of the breast relative to proximal edge 5a. Yet another possible source of information for the auto-controls is inputs from the health professional using the system, through a keyboard or other input devices in viewing station 22 or elsewhere. Information is exchanged between auto-controls 1a, sensors S, and viewing station 22 over appropriate links, shown schematically. Suitable arrangements, including encoders, motors (of which only motor M retracting and restoring grid 4 is expressly illustrated), and other control elements are included in mammography system 10 but, for clarity of the drawings, are not expressly illustrated. FIG. 3 illustrates an example of an arrangement for positioning paddle 2 off-center relative to proximal edge 5a of receptor 5. While such off-center positioning can be used for other views as well, it is most important for views such as the MLO view. As seen in FIG. 3, paddle 2 includes a rib 20 that has a channel slot 20a and is secured to arm 6 with a removable and adjustable lock or detent 21 that passes through channel 20a. In operation, the health professional selects a paddle 2 that is suitable in size and perhaps in shape to the breast to be imaged, removes any existing paddle 2 from arm 6 by pulling out or unscrewing detent 21, and installs the selected paddle 2 by securing it to arm 6 with detent 21 in a position relative to proximal edge 5a that matches the patient's breast's position. Any desired further lateral adjustment can be made by sliding paddle 2 along the direction of the proximal edge 5a, before or during compressing the breast for taking an image. FIGS. 4a, 4b, and 4c illustrate an alternate arrangement for lateral adjustment of paddle 2. Here a paddle 2 of a selected size and possibly shape is removably secured to arm 6, and arm 6 is in turn slidably secured to frame 6 to slide laterally, along the direction of proximal edge 5a of receptor 5. The term “lateral” is used here to designate movement parallel to, or at least generally along, the proximal edge 5a, even when the imaging plane of receptor 5 is oriented for an MLO view or is vertical. For example, FIG. 4 can illustrate a position of paddle 2 for an MLO view of the left breast, FIG. 4b can illustrate a position for a CC view, and FIG. 4c can illustrate a position for an MLO view of the right breast. It should be clear than many other arrangements and variations will be apparent to persons skilled in the technology based on the disclosure in this patent specification and that the above embodiments are only some of examples embodying inventions whose scope is defined by the appended claims. |
|
044473885 | claims | 1. A bolt member for use in normally inaccessible parts of nuclear reactors which makes a normal inspection for cracks in the bolt member impractical, said bolt member having an enlarged head portion and a cylindrical body portion having a threaded lower end onto which a nut member is adapted to be screwed to retain nuclear reactor components in operative relationship, said bolt member having a bolt-crack indicator comprising: 2. A bolt member for use in normally inaccessible parts of nuclear reactors which makes a normal inspection for cracks in the bolt member impractical, said bolt member having an enlarged head portion and a cylindrical body portion having a threaded lower end onto which a nut member is adapted to be screwed to retain nuclear reactor components in operative relationship, said bolt member having a bolt-crack indicator comprising: 3. The bolt member as specified in claim 2, wherein an additional aperture is provided through the enlarged head portion of said bolt member, and said additional aperture connects a lower surface of the enlarged head portion proximate the cylindrical body portion of said bolt member to an upper surface of the enlarged head portion of said bolt member to permit passage of gas therethrough, whereby release of tag gas through the cylindrical body portion of said bolt member has ready access through said additional aperture to the environment outside said bolt member. 4. A bolt member for use in normally inaccessible parts of nuclear reactors which makes a normal inspection for cracks in the bolt member impractical, said bolt member having an enlarged head portion and a cylindrical body portion having a threaded lower end onto which a nut member is adapted to be screwed to retain nuclear reactor components in operative relationship, said bolt member having a bolt-crack indicator comprising: |
039309380 | summary | Background of the Invention 1. Field of the Invention In nuclear reactors of BWR type the control rods are positioned, as a rule, between the fuel assemblies and have cruciform cross-section with their central part located in the free space between four adjacent fuel assemblies and the wings projecting cruciformly from the central part, each of said wings being located between two adjacent assemblies. 2. The Prior Art Primarily for reasons of economy of fuel, it is desirable, however, to be able to position the control rods inside the fuel assembly and to make them in the form of finger control rods and let them run in guide tubes between the fuel rods. In this arrangement, new problems arise concerning the attachment of the control rods to the control drive and their locking of the attachment device against involuntary influence of the attachment device. SUMMARY OF THE INVENTION The present invention relates to a means for attachment and locking of finger control rods in fuel assemblies for nuclear reactors of BWR type. According to the invention, individual control rods or groups thereof are attached, with the help of known fastening devices, at their lower end to a yoke or similar element which can be influenced by the control drive of the control rods. The fastening devices are lockable against involuntary movement by means of locking devices which are arranged in such a manner that they can be operated when the control rods are in their upper position in the core. In a particularly preferred embodiment of the invention, the locking device is applied at the top of the control rods, said top projecting above or being level with the upper part of the core when the control rods are in their topmost position. Because the locking devices are then visible and easily accessible, the work with the rods is considerably facilitated. |
claims | 1. A method for preparing to reload a nuclear reactor with liquid metal coolant, said method comprising the steps of:assembling a reactor having a top with control components and being comprised ofa reactor well,a reactor monoblock housing in said reactor well,a reactor monoblock mounted in said reactor monoblock housing, anda pressure flange removably engaged with said reactor monoblock housing and said reactor monoblock,wherein said reactor monoblock is comprised ofa reactor plug,a plurality of fuel assemblies,a plurality of spent fuel assemblies, anda removable reactor block in an operating configuration,said pressure flange setting said reactor plug in said reactor monoblock housing in said operating configuration;installing a plug transportation device on said reactor plug,wherein said plug transportation device is comprised ofa head,a middle part with projections andan upper end;installing an additional cargo on said upper end of said plug transportation device;installing and fixing a cable reduction box on said reactor monoblock housing,wherein said cable reduction box is comprised ofan inner frame part,an inner cavity,an external part, anda damper between said inner cavity of said cable reduction box and said reactor monoblock housing,said inner frame part being comprised of locking elements, a movement drive for said locking elements, and stops corresponding to said locking elements, said locking elements forming an operating area in said cable reduction box;turning said middle part of said plug transportation device into a position corresponding to said projections being set in said operating area;removing said additional cargo from said upper end of said plug transportation device;installing said external part of said cable reduction box on said reactor monoblock;replacing internal media of said inner cavity of said cable reduction box;installing a guide channel on said cable reduction box,wherein said guide channel is comprised ofa tube with a supporting flange,a plurality of flanges for connection to ventilation, andan upper bearing surface,said guide channel having an inner cavity and a damper between said inner cavity of said guide channel and said cable reduction box;installing a reloading armor on said guide channel,wherein said reloading armor is comprised ofa vertical cylindrical vessel,a pulling hoist, andan automatic grip at an end of said pulling hoist,said reloading armor having an inner cavity and a damper between said inner cavity of said reloading armor and said guide channel;replacing internal media of said inner cavity of said reloading armor and said inner cavity of said guide channel;moving said plug transportation device with said reactor plug into said reloading armor;transporting said reloading armor with said reactor plug into a plug shaft;unloading said reactor plug from said reloading armor within said plug shaft;removing said guide channel from said cable reduction box;re-installing said guide channel on said cable reduction box;installing a removable block transportation device on said reloading armor,wherein said removable block transportation device is comprised of a head,said head of said removable block transportation device being coupled to said automatic grip of said reloading armor, during the step of installing said removable block transportation device on said reloading armor;replacing internal media ofsaid inner cavity of said cable reduction box,said inner cavity of said guide channel, andsaid inner cavity of said reloading armor;lowering said automatic grip so as to install said removable block transportation device on said removable reactor block;moving said removable block transportation device with said removable reactor block into said reloading armor;transporting said reloading armor with said removable reactor block to a block shaft; andunloading said removable reactor block from said reloading armor within said block shaft. 2. The method for preparing to reload a nuclear reactor, according to claim 1, further comprising the step of:dismantling said pressure flange from said reactor monoblock housing in a stopped configuration, after the steps of installing said plug transportation device on said reactor plug and the step of installing said additional cargo on said upper end of said plug transportation device. 3. The method for preparing to reload a nuclear reactor, according to claim 1, further comprising the step of:sealing between said guide channel and said cable reduction box with a sealing member. 4. The method or preparing to reload a nuclear reactor, according to claim 1,wherein the step of replacing internal media of said inner cavity of said cable reduction box is comprised of:checking tightness of said inner cavity of said cable reduction box with overpressure;removing a gas-air mixture from said inner cavity of said cable reduction box into a ventilation with gas medium-control; andfilling said inner cavity of said cable reduction box with inert gas. 5. The method for preparing to reload a nuclear reactor, according to claim 1,wherein the step of installing said reloading armor on said guide channel is comprised of:fixing said upper support surface of said guide channel on a ceiling of said reactor well. 6. The method for preparing to reload a nuclear reactor, according to claim 1,wherein the step of replacing internal media of said inner cavity of said reloading armor and said inner cavity of said guide channel is comprised of:checking tightness of said inner cavity of said reloading armor and said inner cavity of said guide channel with overpressure;removing a gas-air mixture from said inner cavity of said reloading armor and said inner cavity of said guide channel into a ventilation with gas medium control; andfilling said inner cavity of said reloading armor and said inner cavity of said guide channel with inert gas. 7. The method for preparing to reload a nuclear reactor, according to claim 1,wherein the step of moving said plug transportation device with said reactor plug into said reloading armor comprises the steps of:moving said locking elements of said cable reduction box with said movement drive to said stops corresponding to said locking elements so as to move said plug transportation device and said reactor plug to an upper position in said cable reduction box;lowering said automatic grip of said reloading armor to said plug transportation device;coupling of said automatic grip of said reloading armor with said plug transportation device;moving said automatic grip of said reloading armor with said reactor plug into a highest position in said reloading armor;sealing and closing said damper of said reloading armor; andsealing and closing said damper of said cable reduction box. 8. The method or preparing to reload a nuclear reactor, according to claim 7,wherein said guide channel further comprises a stop member at said damper of said guide channel, andwherein the step of lowering said automatic grip of said reloading armor to said plug transportation device further comprises the step of:stopping said automatic grip of said reloading armor against said stop member of said guide channel. 9. The method for preparing to reload a nuclear reactor, according to claim 1,wherein the step of transporting said reloading armor with a said reactor plug to said shaft is carried out by a cargo crane and a lifting beam, andwherein the step of unloading said reactor plug from said reloading armor within said plug shaft is carried out by said cargo crane and said lifting beam. 10. The method for preparing to reload a nuclear reactor, according to claim 1, further comprising the steps of:transporting said reloading armor to storage, after the step of unloading said reactor plug from said reloading armor within said plug shaft. 11. The method for preparing to reload a nuclear reactor, according to claim 1, further comprising the steps of:sealing and closing said damper of said cable reduction box, after the step of moving said removable block transportation device with said removable block into said reloading armor; andsealing and closing said damper of said reloading armor, after the step of moving said removable block transportation device with said removable block into said reloading armor. |
|
claims | 1. A multileaf collimator comprising:a first set of a plurality of pairs of beam blocking leaves arranged adjacent one another, leaves of each pair in the first set being disposed in an opposed relationship and longitudinally movable relative to each other in a first direction; anda second set of a plurality of pairs of beam blocking leaves arranged adjacent one another, leaves of each pair in the second set being disposed in an opposed relationship and longitudinally movable relative to each other in a second direction generally parallel to the first direction; whereinthe first and second sets of pairs of leaves are disposed in different planes and the first set of pairs of leaves comprises a first quantity of pairs of leaves and the second set of pairs of leaves comprises a second quantity of pairs of leaves wherein the first quantity and the second quantity are different. 2. The multileaf collimator of claim 1 wherein the first quantity is greater than the second quantity by one pair. 3. The multileaf collimator of claim 1 wherein each leaf in the first set is offset from a leaf in the second set by about half a leaf width in a direction generally traverse to the first and second directions. 4. The multileaf collimator of claim 1 wherein the leaves in the first and second sets are supported by one or more movable carriages. 5. The multileaf collimator of claim 1 wherein the leaves in the first and second sets substantially focus on a converging virtual point located substantially at a radiation source. 6. A multileaf collimator comprising:a first set of a plurality of pairs of beam blocking leaves arranged adjacent one another, leaves of each pair in the first set being disposed in an opposed relationship and longitudinally movable relative to each other in a first direction; anda second set of a plurality of pairs of beam blocking leaves arranged adjacent one another, leaves of each pair in the second set being disposed in an opposed relationship and longitudinally movable relative to each other in a second direction generally parallel to the first direction; whereinthe leaves of the first set are disposed in a first level providing first projected widths at an isocenter plane, and the leaves of the second set are disposed in a second level providing, at the isocenter plane, second projected widths that are substantially same as the corresponding first projected widths; andthe leaves in the first level are arranged offset from the leaves in the second level in a direction generally traverse to the first and second directions such that one of the first projected widths offsets about half of corresponding one of the second projected widths at the isocenter. 7. The multileaf collimator of claim 6 wherein the leaves in the first and second sets substantially focus on a converging virtual point located substantially at a radiation source. 8. The multileaf collimator of claim 6 wherein the first projected widths at the isocenter plane are substantially identical and the second projected widths at the isocenter plane are substantially identical. 9. The multileaf collimator of claim 6 wherein the first projected widths at the isocenter plane are variable and the second projected widths at the isocenter plane are variable. 10. The multileaf collimator of claim 6 wherein a quantity of the leaves in the first set is different from a quantity of the leaves in the second set. 11. The multileaf collimator of claim 6 wherein a height of the leaves in the first level is different from a height of the leaves in the second level. 12. The multileaf collimator of claim 6 wherein the leaves in the first and second sets are supported by one or more movable carriages. 13. The multileaf collimator of claim 6 wherein the leaves in the first and second sets have a substantially trapezoidal cross section where the parallel sides of the trapezoidal cross-section have different dimensions. 14. The multileaf collimator of claim 6 wherein at least some of the leaves of the first set have an end portion having an upward and/or downward extended portion. |
|
summary | ||
044926685 | abstract | Apparatus and method for preventing the rotation of one or more elongated rods used in nuclear fuel assemblies include an end plug secured to one longitudinal end of such an elongated rod and having an out-of-cavity, non-round structure affixed thereto and configured to mate with a complementary shaped structure in a lower tie plate of a nuclear fuel assembly in such a manner as to prevent the rotation of the rod about its longitudinal axis. In one embodiment, the end plug includes a pair of flats formed on a portion of the end plug and configured to abut against a pair of flats formed on the outer surface of a cylindrical boss or sleeve of the lower tie plate, thereby to prevent the rotation of the rod. In another embodiment, four grooves, disposed 90.degree. apart about the periphery of an end plug of a rod form a spline. The grooves are configured to receive four, radially inwardly protruding, key members disposed 90.degree. apart about the periphery of a sleeve secured to the lower tie plate, thereby to prevent the rotation of the rod. In a further embodiment, a sleeve is secured to an end plug of a rod and includes four elongated slots disposed 90.degree. apart about the periphery of the sleeve and configured in width, depth and spacing to receive and mate with four web portions of the lower tie plate of the nuclear fuel assembly, thereby to secure the rod against rotation about its longitudinal axis. |
048270636 | claims | 1. Nuclear reactor fuel assembly, comprising mutually parallel fuel rods and guide tubes for control rods, two lattice-like spacers having mesh openings formed therein, one of said fuel rods or one of said guide tubes being guided and secured in each of said mesh openings, an additional lattice secured at least to one of said guide tubes between said two spacers as seen in the longitudinal direction of said fuel rods and said guide tubes, said additional lattice having mesh openings formed therein defining sides of said mesh openings and a smooth and flat surface of said additional lattice in said mesh openings, turbulence-promoting vanes protruding beyond said sides of said mesh openings formed in said additional lattice, one of said fuel rods being guided with play or one of said guide tubes being guided through each of said mesh openings formed in said additional lattice, and brackets gripping said additional lattice between at least one of said fuel rods or said guide tubes at the outside of the fuel assembly, the mesh openings of the additional lattice, disposed at the outside of the lattice, being open in direction facing away from the fuel assembly. 2. Fuel assembly according to claim 1, wherein said additional lattice has mutually parallel edges with zig-zag portions, each of said zig-zag portions being compactly disposed in the plane of one of said sides of one of said mesh openings formed in said additional lattice. 3. Fuel assembly according to claim 1, wherein said mutually parallel edges are leading and trailing edges. 4. Fuel assembly according to claim 2, wherein said zig-zag portions of said edges are mutually staggered. 5. Fuel assembly according to claim 3, wherein said zig-zag portions of said edges are mutually staggered. 6. Fuel assembly according to claim 1, wherein said additional lattice is spaced apart from said two first-mentioned spacers by unequal distances. 7. Fuel assembly according to claim 1, wherein said additional lattice has mutually parallel edges on which said turbulence-promoting vanes are disposed, each of said edges having ends and being associated with one of said sides of said additional lattice, each two adjacent turbulence-promoting vanes on one of said mutually parallel edges of said additional lattice being: twisted in mutually opposite directions about the longitudinal direction of said fuel rods and said guide tubes, located on one of said edges of one of said mesh openings of said additional lattice for a fuel rod, and tapered to a point and protruding beyond said side of said mesh opening associated with said edge at one of said ends of said edge. 8. Fuel assembly according to claim 7, wherein said mutually parallel edges are leading and trailing edges. |
summary | ||
050531883 | summary | BACKGROUND OF THE INVENTION The present invention relates to a reactor system of a boiling water type atomic power plant, and in particular to a reactor system suitable for reducing an outflow of reactor coolant in the case of a break accident of a main steam piping and for reducing an amount of structural materials for a main steam piping system and a turbine building. In a reactor system of a prior, typical boiling water type atomic power plant, steam generated in a reactor pressure vessel is supplied from a reactor building to a turbine building through a main steam piping. The main steam piping is disposed in a main steam tunnel between the reactor building and the turbine building, and inside and outside main steam isolation valves are provided near a primary containment vessel. Main steam having entered into the turbine building is supplied through a main steam stop valve and a main steam control valve to a main turbine to drive the same. The main steam stop valve acts to stop a supply of main steam to the main turbine in case of turbine trip, a period of time required for the closure of the stop valve being about 0.1 second such that the valve can be closed several ten times as fast as the main steam isolation valves. The inside and outside main steam isolation valves are opened during normal operation of the plant, but are closed in case of a break accident of the main steam piping to prevent the outflow of reactor coolant within a predetermined period of time. Accordingly, it is desired in case of a break accident of the main steam piping to quickly shut off all of the isolation valves for the reduction of an exposure dose. On the other hand, such quick closure of the isolation valves causes a severe transient phenomenon of a pressure increased in the reactor pressure vessel. More specifically, upon such quick closure of the isolation valves, pressure in a closed space, that is, a space in the reactor pressure vessel is rapidly raised by steam as generated, so that neutron flux or heat flux of the fuel assembly changes to severely affect the reactor system. To cope with the matter, a main steam stop valve of a quick closure type is provided in the turbine building to allow a space in the main steam tunnel to accommodate the generated steam, and a period of time for the closure of the inside and outside main steam isolation valves in case of accidents is limited to about 3 to 4.5 seconds taking into account of the volume of the reactor building and main steam tunnel. Consequently, some amount of reactor coolant in the form of vapor will flow outside of the reactor pressure vessel before the inside and outside main steam isolation valves are completely closed upon a break accident of the main steam piping. An aseismic design condition for a reactor system is prescribed in "U.S. Regulatory guide 1.29 Seismic design classification" (Revision 3, September 1978), in which it is prescribed that an area on the side of a reactor pressure vessel from an outside main steam isolation valve and an area on the side of a main turbine from the outside main steam isolation valve are assorted into different seismic classes in design of a boiling water type atomic power plant. More specifically, a portion of the main steam piping on the side of the reactor pressure vessel from the outside main steam isolation valve must be designed in the highest seismic class: Seismic Category I while the main steam stop valve and a portion of the main steam piping on the side of the main steam turbine from the outside main steam isolation valve must be designed for the large seismic load in the seismic category I class on the basis of an evaluation of exposure dose which is assumed allowing for a period of time (3 to 4.5 seconds) required for the closure of the main steam isolation valves upon a break accident of the main steam piping. The turbine building, which is required to have a shield function, is designed in non-category I class, in which a seismic design load is small. However, since the main steam piping and the main steam stop valve in the turbine building are designed in the seismic category I class, the construction for supporting the main steam piping and the main steam stop valve must also be designed in the seismic category I class (seismic load is much larger than in the case of non-category I class) to have a large strength. As described above, there is room for improving a design of a prior reactor system in safety and economical efficiency. In a reactor system of a prior underground type atomic power plant, reactor building and turbine building are spaced away from each other by a large distance due to the terrain of the plant as compared with an on-ground type reactor system, so that a main steam piping is large in length. In this connection, Japanese Patent Laid-Open Publication No. 59-63596 describes a design for a reactor system, in which a quick closure valve is provided between an outside main steam isolation valve and a main steam stop valve on a main steam piping extending through a main steam tunnel. In this design, steam and water flowing out upon a break accident of the main steam piping are prevented from entering into a reactor building and a turbine building, and supporting structures downstream of the quick closure valve can be designed in the seismic class B (Japanese non seismic category I class) rather than in the seismic class B (Sl) equivalent to the class A (here, P(Si) and A are Japanese category I classes), so that an advantageous layout for pipings and buildings in terms of economical efficiency can be embodied. In case such arrangement is applied to a reactor system of a boiling water type atomic power plant on the ground, in which a main steam piping is small in length to have a small spatial volume, when a quick closure valve is quickly closed in a break accident of the main steam piping, a subsequent transient pressurization becomes too unstable and severe to be roped with an existent construction. Furthermore, it is not advisable in terms of plant thermal efficiency to make the main steam piping long in a boiling water type atomic power plant. SUMMARY OF THE INVENTION It is an object of the invention to solve the above-mentioned problems in the prior art. To this end, the invention provides a reactor system of a boiling water type atomic power plant, which includes a reactor pressure vessel, a primary containment vessel containing the reactor pressure vessel, a main turbine, a main steam piping extending through the primary containment vessel between the rector pressure vessel and the main turbine, and inside and outside main steam isolation valves provided on the main steam piping inside and outside the primary containment vessel, respectively, and is characterized in that a closure valve is provided on the main steam piping at a position near the primary containment vessel and adapted to quickly close upon a break accident of the main steam piping, and a volume of the reactor pressure vessel allotted for accommodating steam is correspondingly enlarged to allow the closure valve to quickly close upon a break accident of the main steam piping. In an aspect of the invention, the closure valve which can quickly close is preferably the inside main steam isolation valve of a quick closure type. In another aspect of the invention, the closure valve which can quickly close is preferably the outside main steam isolation valve of a quick closure type. In a further aspect of the invention, the reactor pressure vessel, of which volume is enlarged is preferably one for a natural circulation reactor. |
summary | ||
summary | ||
abstract | The present invention relates generally to the field of compensation methods for nuclear reactors and, in particular to a method for fail-safe reactivity compensation in solution-type nuclear reactors. In one embodiment, the fail-safe reactivity compensation method of the present invention augments other control methods for a nuclear reactor. In still another embodiment, the fail-safe reactivity compensation method of the present invention permits one to control a nuclear reaction in a nuclear reactor through a method that does not rely on moving components into or out of a reactor core, nor does the method of the present invention rely on the constant repositioning of control rods within a nuclear reactor in order to maintain a critical state. |
|
description | This application is a national phase entry under 35 U.S.C. § 371 of International Patent Application PCT/CN2015/071020, filed Jan. 19, 2015, designating the United States of America and published as International Patent Publication WO 2015/143940 A1 on Oct. 1, 2015, which claims the benefit under Article 8 of the Patent Cooperation Treaty to Chinese Patent Application Serial No. 201410117811.X, filed Mar. 26, 2014. The present disclosure relates to the field of nuclear energy applications, and relates to a method for improving resistance of a fusion reactor inner wall to plasma irradiation by using a laminated structure. The present disclosure is applicable to a plasma-facing surface on an inner wall of an apparatus for conducting a fusion reaction by using hydrogen isotopes. Nuclear fusion energy is abundant and safe with a good application prospect, and thus might become the main energy source for human beings. In a nuclear fusion apparatus, a plasma-facing material surface on the inner wall (hereinafter referred to as a “wall surface”) will be subjected to some ordeals, such as high thermal shock, high doses of neutron irradiation, deuterium and helium plasma irradiation, and the like. Refractory metals such as tungsten, molybdenum, and the like, are commonly used as plasma-facing materials, and tungsten is now a widely accepted and preferred plasma-facing material. However, when tungsten, molybdenum, and the like are irradiated by deuterium or helium plasma for a long period of time, hydrogen, helium, and isotopes thereof will aggregate under their surface layer, leading to a surface-blistering phenomenon. Moreover, during the operation of a nuclear fusion apparatus, there exists a continuous temperature fluctuation, which produces a thermal fatigue effect on the wall surface, i.e., thermal fatigue cracks on the surface. These phenomena may damage the wall surface, affect service conditions of the wall surface material and thus shorten the life of the wall material. Hence, it is an important researching subject in the field of nuclear fusion material to improve the resistance of a wall surface material to the fusion plasma irradiation. Previously, in order to inhibit surface blistering, some methods were proposed that can achieve this object in question by using a gradient-porous structure or a columnar crystal. However, none of them can effectively reduce thermal fatigue cracking damage and the preparation processes used for these methods are relatively complicated. The present disclosure is focused on providing a method for improving resistance of a wall surface material to plasma irradiation by using a laminated structure. Not only can the method effectively reduce aggregation of hydrogen, helium, and isotopes thereof, and the like, beneath a tungsten-based material surface layer, greatly reducing the blistering phenomenon on a surface thereof, but the method can also reduce thermal fatigue cracking damage. A structure for improving resistance of a fusion reactor inner wall to plasma irradiation is characterized in that multiple metal sheets are placed between the plasma and a copper substrate, wherein the multiple metal sheets are laminated in a direction perpendicular to a wall surface, and then combined with the copper substrate. In contrast to the blocky structure of the fusion reactor inner wall in the prior art, the fusion reactor inner wall component according to the present disclosure has an improved laminated structure that not only avoids the blistering phenomenon on the inner wall surface caused by the aggregation of hydrogen, helium, and isotopes thereof, and the like, and reduces thermal fatigue cracking damage, but also significantly increases the irradiation dose. Further, each metal sheet has a thickness between 1 μm and 1 mm. When the metal sheet has a preferable thickness between 1 μm and 20 μm, the irradiation dose of the laminated structure may be significantly increased. Further, the material of the metal sheets is a plasma-facing material, preferably tungsten, tungsten alloy, molybdenum or molybdenum alloy. Further, the gaps between the metal sheets are in a range from 0.01 μm to 1 μm, preferably from 0.5 μm to 1 μm. The thickness of the plasma-facing material composed of the laminated metal sheets may be equivalent to that of the blocky plasma-facing material in the conventional fusion devices. The present disclosure further provides a method for manufacturing the abovementioned structure for improving resistance of a fusion reactor inner wall to the plasma irradiation, comprising: making the plasma-facing material into multiple metal sheets, laminating the multiple metal sheets together in a direction perpendicular to the wall surface, and combining the laminated multiple metal sheets with the copper substrate. Further, the method for combining the laminated metal sheets with the copper substrate may be casting or brazing. The technical solution adopted by the present disclosure is to make a plasma-facing material into a large number of metal sheets, laminate each metal sheet together in a direction perpendicular to the wall surface, and combine the laminated metal sheets with a copper substrate through conventional processes such as casting, brazing, etc., and thereby forming a fusion reactor inner wall component facing plasma. The laminated structure is characterized in that: 1) the plasma-facing material is not made into blocks, but into sheets; 2) the sheets are pressed against each other, the plane of the sheets being in a direction perpendicular to the wall surface; 3) the sheets have a thickness between 1 μm and 1 mm, and when they are made of the same material, the thinner the sheets are, the better they are for improving resistance to damages caused by plasma irradiation; and 4) in the laminated structure according to the present disclosure, the metal sheets are laminated together conventionally and combined with the copper substrate using methods such as casting or brazing, which solves the crack problem that exists when the gradient-porous structure or the columnar crystal structure is subjected to irradiation. Moreover, it was found that when the gaps between the metal sheets are in a range from 0.01 μm to 1 μm, a larger irradiation dose may be endured without a blistering or cracking phenomenon. In the laminated structure according to the present disclosure, there are a lot of gaps perpendicular to and communicated with the wall surface. Hydrogen and helium that enter into the wall material during plasma irradiation would get into these gaps through lateral diffusion and then rapidly diffuse to the wall surface and come back to the plasma via these gaps acting as channels, thereby avoiding the accumulation of hydrogen and helium in the wall material and inhibiting blistering. Meanwhile, since the wall surface is not restricted in a normal direction, thermal cycling stresses formed on the wall surface by continuous temperature fluctuations produced during the operation of a fusion apparatus are perpendicular to two-dimensional plane stresses in the normal direction. Moreover, after the blocky structure is replaced with the laminated structure, the gaps between individual laminated sheets, which are perpendicular to the wall surface, may effectively release the two-dimensional plane stresses and thus effectively reduce thermal fatigue cracking damage on the wall surface. Further, as the laminated sheets are perpendicular to the wall surface, there is no interface in the direction of heat diffusion and thus there is no significant effect on thermal conduction of a wall structure. Although some methods have been proposed that are used to inhibit blistering by means of a gradient-porous structure or a columnar crystal, up to now, the method of the present disclosure has not been proposed for inhibiting the blistering of the wall material caused by plasma irradiation and reducing thermal fatigue cracking damage by using a laminated structure perpendicular to the wall surface. The advantages of the present disclosure lie in that: (1) each sheet of the laminated structure is perpendicular to the wall surface, can simultaneously inhibit blistering and reduce thermal fatigue cracking damage; and (2) as compared with the “gradient-porous structure” and the “columnar crystal,” the production process for the laminated structure is simpler and propitious to a batch production. The laminated structure used by the present disclosure will be further described below with reference to the drawing and examples. In conventional approaches blisters will form beneath the surface layer of the wall material after it is exposed to plasma irradiation of hydrogen isotopes and helium for a long period of time. This is because hydrogen isotopes and helium enter the surface layer of the plasma-facing material during plasma irradiation and aggregate into blisters. Meanwhile, thermal cycling stresses resulting from continuous temperature fluctuations lead to fatigue cracking damage. Aiming at solving both of two problems, the general integral blocky structure of the wall surface is replaced with the laminated structure in the present application, so as to reduce damage in both respects. Provided in the present application is a method for improving resistance of a fusion reactor inner wall surface to plasma irradiation by using a laminated structure, wherein, the laminated structure is composed of a number of wall material sheets laminated together and the sheets are oriented in a direction perpendicular to the inner wall surface. The wall material refers to currently used inner wall plasma-facing materials based on various metals, which mainly are tungsten, tungsten alloy, molybdenum, molybdenum alloy, and the like. The sheets can be manufactured by known methods, such as the hot or cold rolling processes. The sheets are pressed against each other. The sheets, which may be made of the same wall material or of different wall materials, are laminated together. The wall material sheets that are laminated may be connected together with one of the end faces via methods such as casting or welding, thereby composing a plasma-facing surface structure component on the inner wall of the fusion apparatus. This disclosure will be further described by the following examples. The typical form of the laminated structures for the plasma-facing surface is shown in FIG. 1. A laminated structure 2, which contacts plasma 1, is composed of a number of tungsten sheets that are prepared by hot rolling of a tungsten material, with each of the sheets having a thickness of 0.2 mm. The sheets are oriented in a direction perpendicular to the inner wall surface and there is close contact between them. A copper substrate 3 is beneath the laminated structure, which is closely connected with the copper substrate 3 via conventional casting. Irradiation tests were conducted by subjecting the plasma-facing surface of the laminated structure 2 to deuterium and helium plasma beams. When the irradiation dose is up to 5×1025 m−2, there is no blistering or thermal fatigue crack on the plasma-facing surface. The laminated structure 2 of Example 2 is the same as that of Example 1, with the exception that the sheets are molybdenum sheets that are prepared by cold rolling of a molybdenum material. Each of the sheets has a thickness of 1 μm and is oriented in a direction perpendicular to the surface. The copper substrate 3 is beneath the laminated structure composed of molybdenum sheets, which is combined with the copper substrate 3 via conventional brazing. Irradiation tests were conducted by subjecting the plasma-facing surface of the laminated structure to the deuterium and helium plasma beams. When the irradiation dose is up to 4×1025 m−2, there is no blistering or thermal fatigue crack on the plasma-facing surface. The laminated structure of Example 3 is the same as that of Example 1, with the exception that the laminated structure of Example 3 is obtained by alternately laminating two types of sheets that are respectively prepared by hot rolling of niobium tungsten alloy and cerium tungsten alloy materials. The sheets are oriented in a direction perpendicular to the inner wall surface. The niobium tungsten alloy sheets have a thickness of 1 mm and the cerium tungsten alloy sheets have a thickness of 0.5 mm. The copper substrate is beneath the laminated structure composed of niobium tungsten alloy and cerium tungsten alloy sheets, which is combined with the copper substrate via conventional brazing. Irradiation tests were conducted by subjecting the plasma-facing surface of the laminated structure to the deuterium and helium plasma beams. When the irradiation dose is up to 8×1026 m−2, there is no blistering or thermal fatigue crack on the plasma-facing surface. The laminated structure of Example 4 is the same as that of Example 1, with the exception that the laminated structure is obtained by alternately laminating two types of tungsten sheets with a thickness of 0.02 mm and 0.01 mm, respectively. Irradiation tests were conducted by subjecting the plasma-facing surface of the laminated structure to the deuterium and helium plasma beams. When the irradiation dose is up to 1×1027 m−2, there is no blistering or thermal fatigue crack on the plasma-facing surface. The laminated structure of Example 5 is the same as that of Example 4, with the exception that the laminated structure is obtained by alternatively laminating two types of tungsten sheets with a thickness of 0.01 mm and 0.003 mm, respectively. Irradiation tests were conducted by subjecting the plasma-facing surface of the laminated structure to the deuterium and helium plasma beams. When the irradiation dose is up to 5×1027 m−2, there is no blistering or thermal fatigue crack on the plasma-facing surface. From Examples 4 and 5, it can be seen that when the plasma-facing surface of the laminated structure according to the present disclosure is used, in which each of the metal sheets has a thickness between 1 μm and 20 μm, the tolerable irradiation dose is significantly increased and there is no blistering or thermal fatigue crack on the plasma-facing surface. The laminated structure of Example 6 is the same as that of Example 1, with the exception that the gaps between the metal sheets are further limited to be 1.0 μm. Irradiation tests were conducted by subjecting the plasma-facing surface of the laminated structure to the deuterium and helium plasma beams. When the irradiation dose reaches 5.5×1027 m−2, there is no blistering or thermal fatigue crack on the plasma-facing surface. The laminated structure of Example 7 is the same as that of Example 4, with the exception that the gaps between the metal sheets are further limited to be 0.1 μm. Irradiation tests were conducted by subjecting the plasma-facing surface of the laminated structure to the deuterium and helium plasma beams. When the irradiation dose is up to 4.8×1027 m−2, there is no blistering or thermal fatigue crack on the plasma-facing surface. The laminated structure of Example 8 is the same as that of Example 5, with the exception that the gaps between the metal sheets are further limited to be 0.01 μm. Irradiation tests were conducted by subjecting the plasma-facing surface of the laminated structure to the deuterium and helium plasma beams. When the irradiation dose reaches 4.5×1027 m−2, there is no blistering or thermal fatigue crack on the plasma-facing surface. From the irradiation results of Examples 6-8, it can be seen that when the plasma-facing surface of the laminated structure according to the present disclosure is used, with the gap between the metal sheets being in a range from 0.01 μm to 1 μm, there is no blistering or thermal fatigue crack on the surface even if the irradiation dose is up to 4.5×1027 m−2. Although the present disclosure has been described above in detail by using general descriptions and specific embodiments, amendments or improvements may be made based on the present disclosure, which is obvious to those skilled in the art. Thus, amendments or improvements that are made without departing from the spirit of this disclosure fall into the scope of the present disclosure. The present disclosure provides a method for improving resistance of a fusion reactor inner wall to plasma irradiation by using a laminated structure, which is applicable to a plasma-facing surface on an inner wall of an apparatus for conducting a fusion reaction by using hydrogen isotopes. A plasma-facing material is made into multiple metal sheets. The multiple metal sheets are laminated together in a direction perpendicular to the wall surface, and then the laminated multiple metal sheets are combined with a copper substrate. The laminated structure according to the present disclosure may be applied to nuclear fusion devices in the field of nuclear energy, which not only effectively reduces aggregation of hydrogen, helium, and isotopes thereof, and the like, under a surface layer of a tungsten-based material, thus greatly reducing the blistering phenomenon on a surface thereof, but also reduces thermal fatigue cracking damage, bringing about considerable economic and social benefits. |
|
description | As seen in FIG. 3, one preferred embodiment of the present invention comprises a capillary polarimeter system generally indicated by reference number 300. Polarimeter 300 comprises filter 306, reflective surface 308, capillary array 310, and detector 312. A radiation source 302 produces radiation 304 that is directed toward filter 306. Filter 306 absorbs or blocks unwanted radiation, such as infrared, visible, and ultraviolet radiation. Filter 306 may be a plastic or metal film that is capable of absorbing the undesired radiation. After passing through filter 306, radiation 304 falls on reflective surface 308. Reflective surface 308 is preferably a multi-layer mirror for spectral region 50.0 nm greater than xcex greater than 2.5 nm or crystal for spectral region xcex less than 2.5 nm of a type that is well known in the art and is capable of reflecting radiation 304 in the EUV, SXR, and XR wavelengths. In the embodiment shown in FIG. 3, reflective surface 308 is concave having a parabolic, toroidal, or hemispherical cross-section. This shape allows reflective surface 308 to focus and concentrate radiation on a receiving end 316 of capillary array 310. The angle between the axis of the incident radiation and the axis of the reflected radiation is preferably less than 10xc2x0. Reflective surface 308 produces a negligible influence on the polarization of radiation 304 when the angle of reflection is close to normal. As seen in FIG. 3A, capillary array 310 comprises a plurality of hollow glass or quartz capillaries combined to form an array or bundle. It is well known in the art that hollow glass or quartz capillaries with open ends may be used to guide or direct short wavelength radiation. For example, the device disclosed in U.S. Pat. No. 5,192,869 utilizes capillaries to direct and focus beams of radiation. The individual capillaries in capillary array 310 may range from 4 micrometers to 1.5 millimeters. Capillary array 310 also comprises a proximal end or receiving end 316 and a distal end or emitting end 318. Proximal end 316 is positioned to receive radiation 304 reflected from reflective surface 308 and distal end 318 is positioned to emit radiation 304 on to detector 312. Capillary array 310 may be formed in a number shapes to guide or direct radiation in different paths to achieve different results. The embodiment illustrated in FIGS. 3 and 3A utilizes a curved shape that redirects the radiation by ninety degrees. The multiple reflections that occur during the transmission of radiation through these bent capillaries results in an amplification of the differences in the coefficients of transmission of p-type and s-type radiation. However, it is recognized that other embodiments may utilize linear capillary arrays. The individual capillaries in capillary array 310 may have a variety of cross-sections, such as square, circular, and triangular, and they may be coated with a variety of reflective substances. The accuracy of measurements of the degree of polarization depends on the ratio of intensities of meridional and sagittal rays exiting capillary array 310. The meridional rays are propagated in the same way inside capillaries of any cross sectional shape (circular, square, or triangular), if reflections take place along the longitudinal inner surface of capillary. For square or triangular cross sectional shaped capillaries, the attenuation of sagittal rays is much larger than it is for meridional rays. The situation is more complicated for circular capillaries as the sagittal rays always have a larger number of reflections and smaller reflection angles than do meridional rays. However, because of a dependence of the coefficient of reflection of radiation in the EUV spectral region upon the incidence angle, the difference in this coefficient is negligible for incidence angles between 85xc2x0-89xc2x0. The number of reflections of the rays inside the capillary is the primary factor if the capillary is long enough. For example, using the results reported in references, in a circular quartz capillary (inner diameter xcfx86=0.5 mm, radius of curvature r=100 mm, angle of curvature of the capillary from 45xc2x0 to 90xc2x0, xcex≈30.0-60.0 nm) the intensity of meridional rays are several times larger than the intensity of sagittal rays. Therefore, a capillary array with a circular cross section shape can also be used for polarization measurements in the EUV spectral region, but only if r/xcfx86 greater than 500-1000 (for xcfx86 greater than 50 xcexcm). Capillary array 310 may also comprise a tapered cross-section to focus and intensify the transmitted radiation. In this embodiment, the inner diameter of each capillary gradually narrows from proximal end 316 to distal end 318. As radiation is transmitted through a capillary, it is reflected many times and concentrated into a smaller area at distal end 318, thereby increasing the flux density of the radiation. Detector 312 is a detector that is well known in the art that is capable of detecting EUV, SXR, and XR radiation. It may be linked to a computer system (not shown) for recording measurements. In the embodiment shown in FIG. 3, detector 312 is mechanically linked to capillary array 310 so that the capillary array and detector may be rotated around axis 314. When system 300 is operating, capillary array 310 and detector 312 are rotated up to 90 degree around axis 314 to measure radiation 304 in different planes or vibration. The polarization of radiation 304 may then be determined by comparing the intensities of the radiation in different angular orientations. Axis 314 is substantially parallel to the incoming path of radiation 404. Most of the components of system 300 are housed in a vacuum chamber 320. Because of the large coefficients of attenuation of radiation with wavelength greater than 0.3 nm in air, all measurements in this spectral region must be conducted in a vacuum. Vacuum chamber 320 provides this vacuum. FIG. 4 discloses an alternative preferred embodiment of a capillary polarimeter system generally indicated by reference number 400. Polarimeter 400 comprises filter 406, reflective surface 408, capillary array 410, and detector 312. As in the previous embodiment, a radiation source 402 produces radiation 404 that is directed toward filter 406. Filter 406 absorbs or blocks unwanted radiation, such as infrared, visible, and ultraviolet radiation and it may be manufactured from plastic or metal film that is capable of absorbing the undesired radiation. After passing through filter 406, radiation 404 falls on reflective surface 408. In the embodiment shown in FIG. 4, reflective surface 408 is flat having a substantially planar surface that is mounted so that the angle of incidence of radiation 404 is approximately 45 degrees to the planar surface. Reflective surface 408 is adapted to rotate around an axis that is substantially parallel to the axis of radiation 404. As in the previous embodiment, reflective surface 408 may be either a multi-layer mirror or a crystal. Capillary array 410 is mounted such that radiation 404 reflected from reflective surface 408 falls on proximal or receiving end 416 and distal or emitting end 418 emits radiation on to detector 412. In this embodiment, capillary array 410 is not curved like capillary array 310 illustrated in FIG. 3. As seen in FIG. 4A, capillary array 410 has a generally conical shape. The inner diameter of the individual capillaries gradually decreases from proximal end 416 to the distal end 418 of capillary array 410. As in the previous embodiment, the decreasing inner diameter increases the flux density of the transmitted radiation. Most of the components of polarimeter 400 are housed in a vacuum chamber to provide a vacuum for decreasing attenuation due to atmospheric gases. In normal operation, reflective surface 408, capillary array 410, and detector 412 are rotated in unison from zero to 90 degrees when radiation 404 is being produced by source 402. This reflected beam of radiation is monochromized and it is directed to proximal end 416 of capillary array 410. Capillary array 410 intensifies radiation 404 and transmits it to detector 412. The measurement of the polarization of radiation 404 is made by comparing the intensity of the beam on the detector before and after the rotation of the reflective surface 408. The ratio of intensities of p and s polarizations being dependent upon the angular position of reflective surface 408. This device is applicable for measurements in the short wavelength spectral region xcex less than 2.5 nm (if a crystal is used for reflective surface 408) or in the spectral region 50.0 nm greater than xcex greater than 2.5 nm (if a multi-layer mirror is used for reflective surface 408). Although the description above contains many specifications, these should not be construed as limiting the scope of the invention but as merely providing illustrations of some of presently preferred embodiments of this invention. Thus, the scope of the invention should be determined by the appended claims and their legal equivalents rather than by the examples given. |
|
summary | ||
claims | 1. A method to slide a channel on a nuclear fuel bundle using a tool having a plate with a pair of pivoting lever arms attached to the plate, wherein the plate includes a slot and respective post to support each of the lever arms, the method comprising:sliding the channel on the fuel bundle such that the channel slides to an intermediate position on the fuel bundle;applying the tool to the fuel bundle such that a lower surface of the plate abuts an upper edge of the channel;extending a handle of the fuel rod bundle through a slot in the plate;pivoting the lever arms, wherein the lever arms are each mounted on a respective post mounted to the plate and the pivoting of each of the lever arms causes each of the arms to engage the handle;applying a downward force on the lever to cause the lever arm to push upward against handle and for the plate to apply a downward force against the channel, andsliding the channel further down the fuel rod bundle to a final position on the fuel bundle by the application of the downward force against the channel. 2. The method in claim 1 wherein the downward force applied to each of the lever arms pivots a distal end of each of the lever arms which engages the handle. 3. The method in claim 1 wherein the downward force applied to each of the lever arms is applied manually. 4. The method in claim 1 wherein the downward force is applied to an entirety of the upper edge of the channel. 5. The method in claim 1 wherein a distal end of each of the lever arms pivots from a position near the plate up to a position in which the lever arm is at least substantially horizontal. 6. The method in claim 1 wherein the lever arms engage the handle from opposite sides of the handle. 7. A method to slide and seat a channel on a nuclear reactor fuel bundle using a tool including a cap plate, support posts extending above the cap plate and an arm attached to a pivot on each support post, the method comprising:sliding the channel on the nuclear fuel bundle, such that the channel slides to an intermediate position on the fuel bundle;placing a lower surface of the a cap plate on an upper edge of the channel, such that a handle on the fuel bundle extends through a slot in the cap plate;positioning a first end of each arm under a surface of the handle;pushing down on a second end of each arm to cause the cap plate to apply a downward force on the channel and thereby further slide the channel on the nuclear fuel bundle to a final position on the nuclear fuel bundle. 8. The method of claim 7 wherein the downward force is applied uniformly to an upper edge of the channel. 9. The method of claim 7 wherein the lower surface of the cap plate includes a substantially flat portion and a sidewall and the placement of the cap plate includes positioning the substantially flat portion against the upper edge of the channel and positioning the sidewall outward of the upper edge of the channel. 10. The method of claim 9 the flat portion seats on an entirety of the upper edge of the channel. 11. The method as in claim 7 wherein pushing down on the second end, pivots the arm about the pivot and moves the first end upwards. 12. The method of claim 11 wherein the pivot may be a distance above the upper surface of the cap plate, which distance is shorter than a distance of the handle above an upper edge of the channel when the channel is fully seated on the fuel bundle. 13. The method of claim 7 wherein the arms are substantially perpendicular to the slot while the cap plate is on the upper edge of the channel. 14. The method of claim 7 wherein the first end of each of the arms cross when under the handle of the fuel bundle. 15. The method of claim 7 further comprising applying a resilient material border surrounding the slot and said resilient material border engages the handle when the cap plate slides over the handle. 16. The method of claim 7 further comprising applying a resilient material on a lower surface of the cap plate, and the resilient material engages the upper edge of the channel. 17. The method of claim 1 wherein the intermediate position corresponds to a lower edge of the channel being aligned with finger springs on a lower tie plate of the fuel bundle and the step of sliding the channel further down to the final position includes sliding the lower edge over the finger springs. 18. The method of claim 7 wherein the intermediate position corresponds to a lower edge of the channel being aligned with finger springs on a lower tie plate of the fuel bundle and the step of sliding the channel further down to the final position includes sliding the lower edge over the finger springs. |
|
description | This invention was made with government support under contract number DE-AC02-98CH10886, awarded by the U.S. Department of Energy, and Research Program of Russian Academy of Sciences #01.2.00 305488. The U.S. Government has certain rights in the invention. The field of the invention relates to nuclear technology and radiochemistry, and more specifically, to the production of a radionuclide of tin in no-carrier-added (NCA) form for labeling organic compounds and biological materials and for molecular imaging and therapy of various diseases. A method for the production of 117mSn is irradiation of enriched 116Sn by thermal neutrons in a nuclear reaction 116Sn (n, γ) 117mSn (Mausner et al., Improved Specific Activity of Reactor Produced 117mSn with the Szilard-Chalmers Process, J. Appl. Radiat. Isot., 43, 1117-1122 (1992)). The highest specific activity of 117mSn (ratio of activity to total mass of all Sn isotopes) achieved by this method (neutron flux 2.5·1015 n/(cm2s)) did not exceed 2 Ci/g. This was because of the low cross section in the nuclear reaction 116Sn (n, γ) 117mSn. Another method is based on the inelastic neutron scattering reaction using enriched 117Sn as a target (nuclear reaction 117Sn (n, n′, γ) 117mSn) (Toporov et al., High Specific Activity Tin-117m Reactor Production at RIAR, Abstracts of the 9th International Symposium on the Synthesis and Applications of Isotopes and Isotopically Labelled Compounds, July 2006, Edinburgh, UK). It requires neutrons with energy higher than 0.1 MeV. Following dissolution of the tin-117 irradiated with a flux 2·1015 n/(cm2s), and chemical purification, 117mSn of specific activity up to 20 Ci/g can be achieved. These methods result in low specific activity of 117mSn inadequate to scale up to therapeutic doses and too low for radioimmunotherapy (RIT). No carrier added (NCA) isotope is required for these applications. One method that provides 117mSn in NCA form (Mausner et al., Nuclear data for production of 117mSn for biomedical application, J. Radiation Effects, 94, 59-63 (1986)) is irradiation of natural or enriched antimony (Sb) with accelerated protons, dissolution of the irradiated target, and recovery of NCA radioactive tin (radiotin) from the solution. However, the proton current in this method did not exceed 0.15 μA and thus did not result in 117mSn with high specific activity. In one report cited above (Yu. G. Toporov et al., High Specific Activity Tin-117m Reactor Production at RIAR, Abstracts of the 9th International Symposium on the Synthesis and Applications of Isotopes and Isotopically Labelled Compounds, July 2006, Edinburgh, UK, p. 64), a target prepared of stable enriched metallic 117Sn enclosed in a quartz capsule inside an aluminum container was used to produce radiotin. However, this target when neutron irradiated did not result in specific activity of 117mSn higher than 20 Ci/g. In another report (Mausner et al., J. Radiation Effects, 94, 59 (1986) cited above), a target made of thin films of antimony (thickness 1-3 μg/cm2), prepared by evaporating antimony onto a copper backing, was used to produce radiotin. The beam current used with this target did not exceed 0.15 μA, and did not result in 117mSn with high specific activity. In another report (Kurina et al., Device for Producing Radionuclides, Russian Patent No. 2122251 (published Nov. 20, 1998)), a target of irradiated material was inserted into a hermetic shell. However, Sb-target material in this report was not mentioned or considered for use. Additional methods are thus desirable. One embodiment of the invention is a method for producing no-carrier-added radioactive tin (NCA radiotin). A target containing metallic antimony (Sb), natural or enriched antimony, in the form of a monolith metal and encapsulated by a hermetic shell, is irradiated with a beam of accelerated charged particles. The metallic antimony is then dissolved and NCA radiotin is isolated from the solution. The hermetic shell can be a material substantially resistant to interaction with antimony at high temperature. Irradiated antimony is removed from the shell. The antimony can be dissolved in an aqueous solution comprising concentrated acid HX where X═F, Cl, or Br with addition of concentrated nitric acid in amounts typically not less than 1/20th of the volume of HX. If the solution concentration differs from 9 M to 12 M HX and 0.3 M to 0.9 M Sb, the solution can be adjusted to a concentration of 9 M to 12 M HX and 0.3 M to 0.9 M Sb by evaporation, dilution with water, or addition of HX, or, if the HX used is other than HCl, by evaporation to dryness and dissolution of the residue with the HX other than HCl. To extract Sb from the solution, dibutyl ether can be added to the solution, where dibutyl ether is saturated with the same HX acid and is the same concentration as in the initial Sb-solution. The volume ratio of Sb-solution and dibutyl ether ranges from 1:1-1.5. The organic and water phases are mixed and then permitted to settle. The organic phase containing Sb is removed. Sodium citrate can be added into the water phase to achieve a concentration of citrate ions in the resulting solution not less than 0.5 M and not less than five times more than the Sb-concentration in the solution. The excess H+ ions in the solution can be neutralized by adding alkali to achieve a pH ranging from pH 4.5 to pH 6. To further separate NCA radiotin from Sb, the solution can be passed through a chromatographic column filled with hydrated silicon dioxide (SiO2.xH2O), as Sn is preferentially adsorbed by the hydrated silicon dioxide. The remaining amounts of Sb and radioactive tellurium (Te) and indium (In) can be washed from the hydrated silicon dioxide of the column using a citrate solution with pH ranging from pH 4.5 to pH 6.0, and followed by water with citric acid at a pH ranging from pH 4.5 to pH 6.0. NCA radiotin can be desorbed from the hydrated silicon dioxide by inorganic acid at a concentration ranging from 5 M to 7 M. One embodiment is a target for producing no-carrier-added radiotin. An irradiated sample of monolith metallic antimony is placed into hermetically sealed shell. In one embodiment the monolith of metallic antimony ranges in thickness from 2 mm to 30 mm. The hermetically sealed shell can be made of a material resistant to Sb when the shell is in a flow of cooling liquid during irradiation. In another embodiment, a method is used for producing no-carrier-added radioactive tin (NCA radiotin). The method comprises irradiating a target with at least a 10 μA beam of accelerated charged particles and recovering NCA radiotin from the irradiated antimony sample. The target comprises a metallic antimony monolith sample encapsulated by a hermetic shell comprising a material substantially resistant to interaction with antimony. In still another embodiment is a target for producing no-carrier added radioactive tin (NCA radiotin). The target comprises an irradiated block metallic antimony sample, and a shell hermetically encapsulating the sample. The shell has an inlet window and an outlet window for irradiation of the target by a beam of accelerated particles, and the shell is rendered substantially resistant to interaction with antimony. The shell comprises a compound selected from the group consisting of stainless steel, metallic molybdenum, and hard non-porous graphite. Another embodiment includes an NCA radiotin produced by irradiating a target comprising a metallic antimony block sample with at least a 10 μA high intensity beam of accelerated protons. The sample is encapsulated by a hermetic shell comprising a material substantially resistant to interaction with the antimony sample to result in irradiated antimony. The irradiated sample is removed and NCA radiotin is recovered. In another embodiment there is a method for producing a target that comprises (a) providing a metallic antimony powder sample to a shell, (b) heating the shell-encased sample to a temperature sufficient to melt the antimony powder in the absence of at least one of antimony sublimation or reaction with the shell in the absence of oxygen, (c) repeating step (b) after a time sufficient for antimony shrinkage, and (d) hermetically sealing the shell to encase the sample. In still another embodiment there is a method for producing a target, that comprises (a) providing pressed metallic antimony powder sample to a shell, (b) hermetically sealing the shell to encase the sample in the absence of oxygen, and (c) heating the shell-encased sample to a temperature around the melting point of antimony. In still another embodiment, there is a method to separate NCA radiotin from a target comprising an irradiated antimony sample encased in a shell. The shell has an inlet and outlet windows for a radiation source, and the method comprising (a) removing the target from the shell, (b) dissolving the irradiated antimony in an aqueous solution comprising hydrohalogenic acid and nitric acid, (c) extracting the aqueous solution with an organic phase, and (d) purifying NCA radiotin from the extracted aqueous solution phase. These and other embodiments will be apparent from the following detailed description and examples. In one embodiment, an antimony target inserted into a hermetic shell, is irradiated with a beam of charged particles, such as protons. The beam can be a high current beam. After irradiation, the NCA radiotin is isolated from the other atoms and isotopes. In exemplary embodiments, the target can be natural antimony or enriched antimony. The target can be in the form of massive metallic block, having a thickness, for example, up to several cm. The target can be a monolith. In an exemplary embodiment, prior to irradiation, metallic antimony in the form of a massive block is inserted into a hermetic shell made of a material substantially resistant to interaction with antimony at high temperature. Substantially resistant to interaction with antimony means that reactions of the heated (i.e., via irradiation) antimony with the shell material are minimized so that, for example, the shell material or products of reactions of the shell with the heated antimony are not found in the irradiated antimony. Substantially resistant to interaction with antimony, as defined above, is also referred as “antimony resistant” in this application. The antimony sample can be 2 mm thick to 30 mm thick. The hermetic shell can be fabricated of a material substantially resistant to interaction with antimony that is cooled during irradiation by a liquid. In one embodiment, the hermetic shell is made of austenitic high-alloy steel with input and output beam window 50 μm thick to 300 μm thick. In another embodiment, the hermetic shell is made of hot-rolled molybdenum with input and output beam window thickness of 50 μm thick to 300 μm thick, where the outer side of the molybdenum shell is plated with a nickel layer 20 μm thick to 70 μm thick. In another embodiment, the hermetic shell is made of non-porous graphite with input and output beam window thickness of 0.5 mm thick to 1.5 mm thick, where the outer side of the molybdenum shell is plated with a nickel layer thickness of 20 μm thick to 70 μm thick. Besides nickel, other or additional shells of different materials with different thickness (e.g., chromium or austenitic nickel-based superalloys such as Inconel, etc.) can be used to protect graphite or molybdenum shell from cooling exterior media, i.e., water under radiolysis. To obtain the initial antimony monolith in the shell, powder or granulated metallic antimony can be heated at 631° C. to 700° C. in an inert gas atmosphere. In one embodiment, antimony is heated inside the shell. In another embodiment, antimony is heated outside the shell, after which antimony is inserted into the shell. The resulting antimony block can range from 2 mm thick to 30 mm thick. If austenitic high-alloy steel is used for the antimony-resistant shell, the irradiated metallic antimony target can be removed from the shell after irradiation by dissolving in 8 M HCl to 12 M HCl. If hot-rolled molybdenum is used as the antimony-resistant shell, the shell can be plated on the outside by a shielding layer of metallic nickel, and the irradiated metallic antimony can be removed from the shell by first etching the nickel layer in 0.5 M nitric acid to 2 M nitric acid, then dissolving a part of the molybdenum shell in 3 M alkali solution to 8 M alkali solution with addition of 30% H2O2 in a volume ratio of 1:0.5-1.2. If non-porous graphite is used for the antimony-resistant shell material, the shell can be plated on the outside by a shielding layer of metallic nickel, and the irradiated metallic antimony can be removed from the shell by first etching the nickel layer in 0.5 M nitric acid to 2 M nitric acid, then mechanically crushing the graphite shell. Alternatively, the graphite shell can be cut away without the preliminary etching of the nickel layer. After the irradiated antimony is removed from the shell, it can be dissolved in an aqueous solution comprising acid. This acid can be a mixture of hydrohalogenic acid (i.e., HX acid, where X is F, Cl, or Br) and concentrated nitric acid; the volume of concentrated nitric acid can be greater than or equal to 1/20th of the HX acid volume. In an exemplary embodiment, the concentration of HX acid is 9 M to 12 M, and the concentration of Sb is 0.3 M to 0.9 M; the HX acid concentration and the Sb concentration can be adjusted by evaporation, dilution with water, addition of HX, or evaporation to dryness and dissolution of the residue in HX solution. After dissolution, the aqueous solution can be extracted with an organic phase. In one embodiment, dibutyl ether is used as the organic phase. In this embodiment, dibutyl ether is saturated with HX of the same concentration as in the solution of antimony and then added to the antimony solution. The ratio of aqueous volume and dibutyl ether volume can be 1:1-1:1.5. The composition is mixed for 2 to 20 (or 5 or 10) minutes to facilitate antimony extraction, and then allowed to phase separate for 15 to 120 (or 30 or 60) minutes. The organic phase containing antimony is separated from the aqueous phase. To further remove antimony and other unwanted materials, the water phase remaining after extraction can be subject to two to four additional extraction stages. After the extractions, the aqueous phase can then be passed through a column to further purify the NCA radiotin. The aqueous phase can be prepared for the column by adding alkali. For example, sodium citrate can be added to the aqueous phase so that the citrate concentration no less than 0.5 M and no less than five times the concentration of the antimony in the aqueous phase. The pH can be adjusted to the range of 4.5-6.0 by the addition of the alkali, or to a pH of 5.4, 5.5, or 5.6. The column can be a chromatography column filled with hydrated silicon dioxide (SiO2.xH2O). Tin can be adsorbed on the surface of the hydrated silicon dioxide and the column is washed of traces of antimony, Te, and In radioisotopes, with a sodium citrate solution of the same concentration at pH 4.5-6.0, and then with water comprising citric acid (pH 4.5-6.0). NCA radiotin can be desorbed from the hydrated silicon dioxide column by an inorganic acid at a concentration in the range of 5 M to 7 M. The hydrated silicon dioxide column length can be 5 cm-15 cm and the diameter can be 0.5 cm-1.5 cm. The silicon dioxide grain size can be 0.05 mm-0.4 mm. The sorbent washing solution (to remove traces of antimony as well as radioisotopes of Te and In and other unwanted materials) can be 20 ml-70 ml of sodium citrate at a pH 4.5-6.0 or 5.4-5.6. Additional washes can include 30 ml-100 ml of water containing citric acid at a pH 4.5-6.0 or 5.4-5.6. The solutions can be passed through the column at a rate of 0.1 ml/min to 3 ml/min. NCA radiotin is desorbed from the column using 5 ml to 20 ml of an organic or inorganic acid, e.g. 6M HCl. The obtained material can be subjected to one or two additional chromatographic runs to further purify the NCA radiotin, as described above. Purified NCA radiotin may include contaminants as exemplified in the Examples. NCA radiotin may be substantially purified from one or more stable contaminants or one or more of radioactive contaminants. The Examples provide exemplary embodiments of NCA radiotin that is substantially purified from, for example, various In and Te isotopes. After one or more of the aforementioned procedures, the NCA radiotin can be conditioned as desired, e.g., by volume adjustment (via dilution or evaporation). The finished product may also be packaged for storage or shipment. Additionally, the NCA radiotin may be processed for use in labeling organic compounds and biological objects to be applied in medicine for therapy of various diseases. A powder of metallic antimony was placed into two similar stainless austenitic high-alloy steel shells, as shown in FIG. 2, having a thickness of 17 mm. Placement was through the fitting 18 and under a nitrogen atmosphere in order to avoid oxidation during heating. Both filled shells were heated for 15 min. One shell was heated at 720° C.; the second shell was heat at 645° C. Heating the first shell at 720° C. resulted in the destruction of the thin target inlet beam window 14 and outlet beam window 16 (thickness 125 μm) because liquid antimony reacted with iron and other components of steel. The reaction rate increased at temperatures above 700° C. Heating the second shell at 645° C. did not damage the windows. After cooling, this shell was again filled with antimony powder and heated. After cooling, the shell was hermetically sealed with a threaded Swagelok type seal 18. The target containing 60 g antimony was irradiated over 24 hours at the linear accelerator of the Institute for Nuclear Research (Troitsk, Russia). The proton beam current was 25 μA; the proton energy range was 110-74 MeV. The angle of the beam to the window surface was 65°. The effective thickness of the target of antimony monolith in the beam direction was 19 mm. At the end of irradiation, 160 mCi of 117mSn was produced. No evidence of damage was observed. Higher 117mSn activity may be produced using a longer irradiation time. To chemically process the irradiated target, the inlet beam window 14 and outlet beam window 16 foils of the target shell were dissolved with 200 ml concentrated (36 mass %) HCl during 18 hours. No Sb was dissolved. The monolith of metallic Sb was separated from the rest of the shell, washed with HCl, and transferred into a glass container for Sb dissolution. Sb was dissolved with 450 ml concentrated HCl adding HNO3 over several hours (concentration of initial HNO3 was 56 mass %, the total used volume was 65 ml). A slow dissolution rate was maintained to avoid overheating and excess bubble release. The resulting solution was carefully heated to remove traces of HNO3. The volume of the solution obtained was 420 ml to 430 ml in 9M HCl. In the following extraction process, the extraction coefficients fall above the 0.9 M Sb concentration. At a Sb concentration below 0.3 M, the solution volumes were too high and made processing technically difficult. The solution was adjusted to 10 M HCl and its volume was increased to 360 ml to 370 ml. Dibutyl ether (Bu2O) was saturated with 10 M HCl by vigorous stirring over five minutes. Lower HCl concentration led to less extraction, while higher HCl concentrations resulted in co-extraction of Sn. Equal volumes of aqueous and organic phases were taken. Five extraction stages were carried out. Decreasing the number of extraction stages below three resulted in less efficient Sb extraction. Increasing the number of extraction stages above five resulted in increased Sn loss and longer processing procedures, without considerably improved purification. Each mixing was five minutes; each phase separation was 30 minutes. To prepare the solution for column chromatography of hydrated silica gel, sodium citrate (Na3Cit) was added to the water solution after extraction so that the concentration of citric ions was 0.5 M. The solution was then neutralized to pH 5.5 with NaOH. At lower pH values, Sn breakthrough was observed in the chromatography process. At higher pH values, Sb adsorption on the column was observed, resulting in Sb-impurity in the final product (e.g., see, FIG. 5). Purification also included using two subsequent chromatographic purification stages with silica gel (hydrated SiO2) columns. Sn was adsorbed by the column, while Sb and radioisotopes of Te and In were not adsorbed by the column. Two to three chromatographic runs were usually sufficient to achieve product purity, while increasing the number of purification run resulted in Sn losses. The solution flow rate through the column ranged from 0.1 ml/min to 3 ml/min. A slower flow rate resulted in longer processing, while a faster flow rate resulted in Sn breakthrough. The first column was filled with grains of 0.2 mm to 0.4 mm, the second column was filled with grains of 0.06 mm to 0.125 mm. Height and diameter of the first column were 15 cm and 1.5 cm, and of the second were 5 cm and 0.5 cm, respectively. Each column was successively washed with 20 ml 0.5 M sodium citrate and 30 ml water acidified by citric acid. The pH values of both washing solutions were adjusted to pH 5.5. 117mSn was eluted from each column with 10 ml 6 M HCl. The specifics of the isolated 117mSn solution (calibration date was the 21st day after the end of irradiation) were as follows: Radionuclidic purity: >99.8% (113Sn not included). Specific activity of 117mSn: 1400 Ci/g. Impurity of 113Sn: 25%. Other radionuclides (Bq/Bq 117mSn): IsotopesBq/Bq 117mSn118Te1.1 · 10−3119mTe4.1 · 10−4121mTe1.4 · 10−4120mSb<10−5124Sb<4 · 10−6111In<10−5 Concentration of stable Sb: <4 μg/ml. A shell made of non-porous graphite, as shown in FIG. 4, was filled with antimony powder and heated at 660° C. over fifteen minutes under nitrogen atmosphere. After cooling, the shell was again filled and heated at 660° C. over fifteen minutes. After the second cooling, a bar of metallic antimony (numeral 52 in FIG. 4) (thickness 3 mm) was removed from the graphite shell and its external surfaces were plated with 60 μm layer of nickel in order to protect graphite from the action of radiolysis water destroying graphite during irradiation under high intensity proton beam. The bar of antimony was again placed into the shell, the target was closed with a cover and hermetically sealed with high temperature radiation stable glue. The target, containing 19 g Sb, was irradiated over two hours at the linear accelerator of the Institute for Nuclear Research (Troitsk, Russia). The proton current and proton energy range were 70 μA and 66-34 MeV, respectively. The proton beam angle to the target surface was 26°. The effective Sb-target thickness in the beam direction was 7 mm. No damage was detected. Therefore, another irradiation was performed during two days at similar conditions. Approximately 200 mCi 117mSn was produced. Larger amounts may be produced using longer irradiations, if necessary. In the process of recovery, the Ni-layer was first etched off with 1 M nitric acid. The graphite shell (50 in FIG. 4) was crushed with the help of a specially manufactured device. During crushing, thin windows 54, 56 (thickness 0.8 mm) were destroyed, and the graphite shell was easily separated from the bar of irradiated antimony. All 19 grams of antimony were dissolved with 200 ml concentrated HCl with gradual addition of 56 mass % HNO3 ( 1/7 of volume) over several hours. When dissolution was complete, the solution was carefully evaporated to remove traces of HNO3. The volume of the obtained solution was 170 ml. Three extraction stages were performed. Changes from Example 1 include, (a) the volume ratio of the aqueous to organic phases was chosen as 1:1.5, (b) the length of each mixing was increased to ten minutes, and (c) the length of phase separation was increased to 60 minutes. Chromatographic purification was similar to that described in Example 1, except that the size of grains for the first chromatographic column was decreased to 0.12 mm to 0.2 mm. The specifics of the isolated 117mSn solution (calibration date is 21st day after the end of irradiation) were as follows: Radionuclidic purity: >96.5% (113Sn not included) Specific activity of 117mSn: 500 Ci/g Sn Impurity of 113Sn: 9% Other radionuclides (Bq/Bq 117mSn): Isotopes Bq/Bq 117mSn119mTe 2.9 · 10−2121mTe 4.9 · 10−3120mSb <6 · 10−4124Sb <3 · 10−5114mIn <2 · 10−4 Concentration of stable Sb: <35 μg/ml In Example 2 the target was in a graphite shell, in contrast to the target in a stainless austenitic high-alloy steel shell as in Example 1. Also in Example 2, the number of extraction stages was decreased from 5 to 3 as well as altering some extraction conditions (see above). This led to simplified processing, giving a less pure but acceptable final product. A stainless high-alloy steel shell in form of disc (FIG. 2) with 9 mm thickness was filled with antimony powder by the same method as described in Example 1 (heating of the filled shell at 660° C.). The target containing 29 g antimony was irradiated at the accelerator over 24 hours. The proton current and proton energy range were 30 μA and 103-72 MeV, respectively. The proton beam angle was 65° to the target surface, and the effective Sb-thickness in the beam direction was 10 mm. The target was then processed as described in Example 2, except that three stages of both extraction and chromatographic purifications were performed. The duration of phase separation at extraction was 45 minutes. Longer durations of phase separation were demonstrated not to affect the coefficient of antimony extraction or the percentage of radiotin co-extraction. The specifics of the isolated 117mSn solution (calibration date is 8th day after the end of irradiation) were as follows: Radionuclidic purity: >99.9% (113Sn not included) Specific activity of 117mSn: 1260 Ci/g Sn Impurity of 113Sn: 16% Other Radionuclides (Bq/Bq 117mSn): IsotopesBq/Bq 117mSn119mTe<2 · 10−6120mSb<10−6122Sb<10−6111In<7 · 10−8 Concentration of stable Sb: <4 μg/ml. In Example 3 the target was a steel shell, and three stages of chromatography, in contrast to two stages in the previous examples, were used. This resulted in a longer processing time but better purity of the product. A hot-rolled molybdenum shell 30 (FIG. 3) was filled with antimony powder as described in Example 1. The external surfaces of the shell were plated with 20 μm layer of nickel in order to protect it from the action of radiolysis water destroying molybdenum during irradiation with the high intensity proton beam. The target containing 19 g antimony (Sb-thickness 3 mm) was irradiated at the accelerator during 1 hour in order to test target stability. Proton current and proton energy ranges were 52 μA and 70-30 MeV, respectively. The proton beam angle to target surface was 26°, and Sb-thickness in the beam direction was 7 mm. No target damage was detected, thus larger amounts of 117mSb may be produced in such targets using longer irradiation, if necessary. The irradiated target was left immersed in 1 M HNO3 for 10 hr to 12 hr to etch the nickel layer. The thin molybdenum inlet 3 and outlet 4 windows (thickness 80 μm) were dissolved with 100 ml 6 M NaOH during five hours with periodic additions of small amount of 30% H2O2. A 5 M to 7 M concentration of NaOH dissolved the molybdenum target windows. At lower alkali concentrations dissolution was too slow, while at a concentration of 8 M or above there was essentially no increase in dissolution rate. The total volume of hydrogen peroxide used was 120 ml. No Sb dissolution was observed under these conditions. The metallic antimony was then processed as described in Example 2, except that the pH values of the initial solution and both washing solutions were chosen to be within pH 4.7 to pH 5.0 in order to determine the limits of applicability of the chromatographic method used. The wash solution volumes were increased: the volume of sodium citrate was up to 70 ml, and water was up to 100 ml. As a result, the losses of radiotin grew to 10% for each stage of chromatographic separation. FIG. 5 demonstrates the results of radiotin sorption from citric solutions at different pH values; the pH range was from pH 4.5 to pH 6.0. In FIG. 5b, the pH range was from pH 5.4 to pH 5.6. The specifics of the isolated 117mSn solution (calibration date is 15th day after the end of irradiation) were as follows: Radionuclidic purity: >99.7% (113Sn not included) Specific activity of 117mSn: 500 Ci/g Sn Impurity of 113Sn: 7% Other radionuclides (Bq/Bq 117mSn): IsotopesBq/Bq 117mSn119mTe 1.3 · 10−3121mTe <8 · 10−5120mSb 1.1 · 10−3124Sb <2 · 10−5114mIn <2 · 10−4 Concentration of stable Sb: 20 μg/ml. Example 4 demonstrated the possibility of irradiating and processing a Sb-target in a molybdenum shell. Example 4 also demonstrated that altering some processing parameters (e.g., pH of the initial solution in chromatography, see above) led to some product losses. Thus, this invention enabled a high production rate, from massive Sb-target irradiated by high intensity beam, of NCA radiotin having specific activity (500-1000 Ci/g and higher) with a good chemical and radionuclidic purity. This 117mSn product may be used in bone cancer therapy, in therapy of cardiovascular disease, in therapy of other diseases, etc. The method provided purification coefficients from Sb 8·105 to 3·106 and higher if needed. Radionuclidic purity of 117mSn achieved after irradiation was 97-99.8% (113Sn was not taken into account) and higher if needed. Other variations and embodiments will be apparent to one of ordinary skill in the art from the above description and examples. Thus, the foregoing embodiments are not to be construed as limiting the scope of the following claims. While the foregoing description has set forth preferred embodiments of the present invention in particular detail, it must be understood that numerous modifications, substitutions, and changes can be undertaken without departing from the true spirit and scope of the present invention as defined by the ensuing claims. The invention is therefore not limited to specific embodiments as described but is only limited as defined by the following claims. |
|
summary | ||
description | The present invention relates to the field of brachytherapy, which is the field of radiation treatment of cancerous or benign tissue that would occur in the body by placing radiation sources in or near the cancerous tissue. The present invention is directed to permanent application of radiation sources and to methods of permanently affixing sources for permanent application. Ionizing radiation is employed in the management of a wide variety of malignant tumors, providing a mechanism whereby the malignancy can be destroyed while the normal tissues are preserved. With preservation of normal tissues, normal function and normal appearance may also be preserved. Hence, ionizing radiation forms part of the treatment for over half of all patients with cancer. The overall effectiveness of radiation therapy, however, depends upon the balance between effective tumor control and morbidity due to the treatment. It is understood that the differential effects of ionizing radiation on tumors and normal tissues gives rise to a favorable therapeutic ratio for most patients. However, radiation can have destructive immediate and delayed effects on normal tissues. Techniques employed for radiation therapy significantly affect the incidence and severity of these destructive effects. The overall goal of radiation therapy is to maximize the effect of the radiation on tumor tissue while minimizing the effect of the radiation on normal tissue. Because the effect is generally proportional to the dose, this goal is generally accomplished by maximizing the dose to tumor tissue while minimizing the dose to normal tissue. Various techniques have been developed to treat tumors in the body. In general, the use of radiation as a means to reduce or eliminate malignancy has been known for many years. One of the major issues in all of the techniques is the prevention of damage to healthy tissue. Because all types of ionizing radiation affect tissues by means of the same basic physical mechanisms, differences in spatial or temporal distributions are responsible for different effects observed. The method for delivering radiation thus becomes highly significant. The type of radiation treatment of malignant tumors most often performed involves directing a beam of radiation from a point external to the patient's body onto the area of the body in which the tumor is located, for the purpose of shrinking and ultimately destroying the tumor. This technique is known as “teletherapy” or external beam radiation therapy. Such treatment exposes normal healthy tissue to a high dose of radiation in the beam and consequently subjects the normal tissue to potential injury. Conventional external beam radiation treatments rely on multiple fractions of dose in order to ensure that the highest fractions of tumor cells are exposed at the most sensitive parts of the cell life cycle. In contrast to external beam radiation therapy, brachytherapy is a method of radiation treatment of cancerous tissue in which the radiation source is placed in or near the cancerous tissue. Because of the proximity of the radiation source to the target tumor or cancerous tissue, brachytherapy treatment permits administration of a higher radiation dose to the tumor with better sparing of surrounding normal healthy tissues. Because a delivered dose from a radiation source decreases proportionately to the square of the distance from that source, brachytherapy permits the delivery of very high radiation doses to those areas of a tumor in close proximity to the source, with relative sparing of more distant tissues. With careful placement, so that the radiation source is in proximity to the tumor or target tissue and distant from normal tissue, effective therapy against the tumor may be combined with minimal collateral damage to normal tissues. Brachytherapy came into use as a treatment tool for cancer soon after the discovery of radium by Marie Curie in 1898. Goldberg and London used it for the treatment of facial basal cell carcinomas in 1903 with surface applicators. Brachytherapy can be applied to cancer either by permanent implantation or by temporary application of removable sources. Permanent implantation results in the radioactive source, or sources, being left in the body in perpetuity, delivering their radiation dose until the radioactive material in the source has completely decayed away. Temporary application results in the radioactive source, or sources, being left only temporarily in or near the body, delivering their radiation only until the radioactive source or sources are removed. A variety of radionuclides and methods for permanent or temporary implantation have been developed. A variety of radioisotopes, including 125Iodine, 103Palladium, 198Gold, 131Cesium, 137Cesium, 60Cobalt, 169Ytterbium and 192Iridium, have been used in the treatment of cancers involving such tissues as the breast, the prostate, the brain, lung, the head and neck, the female reproductive tract (including cervix, vagina, endometrium), rectum, esophagus, bronchus, bile duct, skin, pancreas, the musculoskeletal system and related soft tissue sarcomas, and the eye. Commonly, radioactive sealed sources, sometimes referred to as “seeds”, employed in brachytherapy implants are intended for permanent implantation. A description of certain types of brachytherapy sources can be found in B H Heintz et al., “Comparison of I-125 sources used for permanent interstitial implants,” Medical Physics, Vol. 28, No. 4, p. 673 (April 2001), the contents of which are hereby incorporated by reference. Certain devices known in the prior art are intended for insertion directly into the tissues without employing a needle or other similar delivery device. An example of such a device may be found in the disclosure of U.S. Pat. No. 4,815,449. This patent provides, in certain embodiments, an implant of sufficient rigidity to be driven into a tumor without deflection, so that the implant may be used independently of a positioning or delivery device. Alternatively, brachytherapy sources may be positioned in the tissues to be treated by insertion through a delivery device, for instance, a needle. Using a delivery device may allow more precise positioning of brachytherapy sources in areas requiring treatment. Brachytherapy sources from various manufacturers may be made to the same set of specifications so that they are compatible with those delivery systems in common use. In those delivery systems, the brachytherapy sources may be preloaded into needles or other delivery devices. The position of a plurality of brachytherapy sources within the delivery device may be maintained by placing loose spacers between the brachytherapy sources to establish and maintain a desired positioning. Once the brachytherapy sources are positioned in the delivery device, insertion into the tissues takes place. To insert the brachytherapy sources, the needle containing them must first be inserted to a preselected depth into the appropriate position in the patient's tissues. An injection mechanism such as a mandrel may then be inserted into the needle with its distal end in contact with the brachytherapy sources. The needle, thereafter, may be withdrawn over the mandrel, leaving the brachytherapy sources and loose spacers resident in the preselected tissue area. Once positioned within the tissues using this method, the brachytherapy sources and loose spacers are free to move from their original position, as there are no constraints on the position or orientation of the brachytherapy sources. This can lead to the undesirable consequence that dose distribution within the tissue may be changed. For instance, movement of the brachytherapy sources after deployment can change the area being irradiated and can change the dose being delivered both to the preselected tumor regions and to the surrounding normal tissues. There remains, therefore, a need for a system that can retain the brachytherapy sources in position relative to one another prior to delivery, and which can retain the position of the brachytherapy seeds in relation to the tumor after the seeds are delivered into the tissues. Numerous approaches to solve this problem have been developed. In order to maintain the radioactive sources and spacers in their appropriate relative positions, devices have been designed to join these sources and spacers together. Examples of such devices are described in U.S. Pat. No. 6,709,381 to Munro, U.S. Pat. No. 6,820,318 to Terwilliger et al. and U.S. Pat. No. 6,010,446 to Grimm, which are all incorporated herein by reference. These devices preserve the relative linear positioning of the multiple sources but provide only limited resistance to longitudinal movement. A number of approaches have been utilized to prevent further displacement of the sources. Examples include U.S. Pat. No. 8,114,007 to Lamoureux et al. and U.S. Pat. No. 8,366,598 to Lamoureux et al., which are incorporated herein by reference, which describe a source or sources molded within a polymeric material to encapsulate the radioactive sources and includes a plurality of protrusions on the outer surface of the encapsulating polymeric material to resist migration and rotation. Another example is U.S. Pat. No. 4,936,823 to Colvin et al., which is incorporated herein by reference, which describes resilient arms which can be manipulated to anchor a body containing a radioactive source within a body canal. Further, U.S. Pat. No. 6,264,599 to Slater et al., which is incorporated herein by reference, describes a method similar to Colvin '823 except that Slater '599 provides for automatically positively engaging the resilient arms into the tissue. All of these methods require substantial tissue surrounding the sources to prevent lateral movement and to provide resistance to the deployment of the resilient arms or the protrusions of the polymeric extrusions. Although these methods are, in many cases, sufficient when placing the brachytherapy source into massive tumor or tumor tissue itself surrounded by healthy tissue, there exist cases where treatment is desired after surgical removal/resection of the tumor. Gross surgical removal of tumor tissue can leave behind traces of tumor, precancerous, or other diseased tissue which can foster recurrence or metastasis of the tumor. Accordingly, the site of removal of a tumor is often treated postoperatively in an attempt to destroy any such diseased tissue left behind by the surgery. Conventional techniques for treating the site of surgical removal of a tumor include post-operative administration of radiation, chemotherapy, and/or heat. Although external beam therapy and short-range therapy are two commonly practiced techniques for administration of post-operative radiation, external beam is less desirable. In external beam therapy, also known as teletherapy, an external radiation beam is directed at the treatment site. In teletherapy, the radiation beam must be carefully positioned with respect to the treatment site to minimize the radiation exposure of the surrounding healthy tissue. Even with a high degree of precision, however, healthy tissue in the vicinity of the treatment site may receive significant doses of radiation. This side effect can be compounded when treatment requires repeated administrations, each requiring careful positioning of the radiation beam. In short-range brachytherapy, radioactive sources are placed at or near the treatment site, i.e. the region adjacent to the surgical resection, to provide site-specific delivery of radiation therapy, potentially reducing undesirable side effects associated with teletherapy, such as irradiation of healthy tissue. One common brachytherapy technique uses catheters to deliver temporary radiation to the treatment site. In this technique, numerous catheters may be simultaneously inserted into or around the treatment site, sewn into place, loaded with solid isotopic pellets for a prescribed time, and then removed. The process of placing a number of catheters simultaneously within the appropriate region is cumbersome and time intensive. Additionally, invasive insertion and external exposure of the catheters presents an increased risk of infection to the patient and can result in significant discomfort for the patient during treatment. Finally, any subsequent treatment, for example, treatment following tumor recurrence, requires that the entire process be repeated from the beginning. For these reasons, temporary brachytherapy is not a desirable treatment method. A common brachytherapy technique employs radioactive implants to deliver permanent radiation therapy. In this technique, numerous radioactive sources are implanted directly into or around the treatment site. However, as the tumor, in these cases, has already been surgically removed and the desired treatment is to the limited amount of tissue adjacent to the surgical resection, there is insufficient tissue in the region of the target to employ the methods described above, namely relying on the pressure of the surrounding tissue to render the irregular surface to be immobile, as described by Munro '381, Terwilliger '318, Grimm '446, Lamoureux '007, or Lamoureux '598, or to provide tissue around the source in all directions to provide means for resilient arms to engage, as described by Colvin '823 or Slater '599. In limited cases, a device for providing radiation treatment to a treatment site that can be implanted at the time of tumor removal and which delivers a relatively uniform dose of radiation throughout the surrounding tissue as described by U.S. Pat. No. 6,527,693 to Munro et al., which is incorporated herein by reference. However, in many cases, such as the lung, the residual tissue remaining after resection and requiring treatment is irregularly shaped and cannot be treated using the method described by Munro '693. Methods to affect this type of treatment have been described. Reference is made to W. Lee et al., “Limited resection for non-small cell lung cancer: observed local control with implantation of 125I brachytherapy seeds,” Annals of Thoracic Surgery 75(1), January 2003, pp. 237-242, which is incorporated herein by reference, in which is described a brachytherapy technique that uses strands of ten 125Iodine seeds, embedded in polyglactin 910 suture with 1 cm spacing which were affixed by suture along the resection margin or 0.5 cm on either side of the margin. Reference is also made to A. Chen et al., “Intraoperative 125I brachytherapy for high-risk stage I non-small cell lung carcinoma,” Int. J. Radiation Oncology Biol. Phys., Vol. 44, No. 5, 1999, pp. 1057-1063, which is incorporated herein by reference, in which is described an alternative method utilizing vicryl surgical mesh imbedded with stranded 125Iodine radioactive seeds placed over the tumor bed and surgical resection line and sutured in place. Both of these methods require manual suturing of the strands or mesh in place. The difficulty of precisely delivering the brachytherapy sources intraoperatively to achieve the proper dose distribution and minimizing the radiation dose to the clinicians performing the procedure make these techniques less desirable. Alternative methods of attaching brachytherapy sources to tissue is described by Munro et al. in U.S. Pat. No. 10,286,227 using a barbed protrusion fabricated from shape memory alloy. This provides a method of attachment without firmly fixing the position of the source, thereby allowing source movement causing changes to the dose distribution. An improved method for delivering a brachytherapy source has been described in U.S. Pat. Nos. 7,604,586, 7,972,260, 8,267,849, and 9,763,660, all to Wazer et al., which are incorporated herein by reference, in which the radioactive sources are incorporated directly into a subset of the surgical staples used in the procedure. In this way, the sources are secured in position directly adjacent to the surgical resection and are immobile. This method facilitates the precise placement of brachytherapy sources relative to the surgical margin, assures the seeds remain fixed in their precise position for the duration of the treatment, overcomes the technical difficulties of manipulating the seeds through the narrow surgical incision, and reduces the radiation dose to the clinicians. However, this method also has a number of drawbacks. In particular, the concept of delivering the radioactive sources temporally and spatially adjacent to the surgical resection is of limited value. In practice, most procedures remove the suspected tumor tissue (and therefore remove the surgical stapling/resection device) and await pathological analysis before deciding to perform brachytherapy. Physicians do not want to introduce brachytherapy sources into the patient until it has been determined that the tissue is malignant. Therefore, the advantage of having the brachytherapy source delivery device physically aligned with the surgical stapling/resection device is lost. The attachment of a brachytherapy source delivery device to the surgical resection device/stapler also has several other disadvantages. It provides a more cumbersome device for the surgeon to manipulate and may introduce difficulties introducing the assembly through standard thoroscopic ports. It can also interfere with surrounding tissue, leaving less margin around the suspect tumor from which to excise. There is also risk that the brachytherapy source delivery device could dislodge from the surgical resection device/stapler, thereby complicating the procedure. The use of staple-like brachytherapy sources requires access to both sides of the tissue through which the source will be deployed. The staple-like brachytherapy sources are pushed through the tissue from one side and an anvil-like element is positioned on the opposite side to affect the bending and securing of the source. The amount of tissue between the two elements must be within a very narrow limited range in order for the staple-like brachytherapy sources to be properly bent and secured. If the tissue is too thick, or the anvil-like element does not assume the proper spacing, the staple-like brachytherapy sources can be incorrectly deformed and not secured, leaving them loose to move about the patient. This can also be a concern if there are areas where no tissue exists between the two elements of the brachytherapy delivery device. This will leave sources free-floating within the patient. Accordingly, there remains a need for a system that can easily deploy and retain the brachytherapy sources in the desired treatment position adjacent to a surgical resection that alleviates the problems associated with the above-delineated systems. Described herein is a brachytherapy source delivery device including a first tissue-piercing leg having proximal and distal ends, a second tissue-piercing leg having proximal and distal ends, wherein the proximal ends of the first and second tissue-piercing legs are joined at a span section in a first angular orientation with respect to each other, and a carrier element formed at, or attached to, the span section, the carrier element configured to support a radioactive brachytherapy source. The distal ends of the first and second legs can be curved inward toward each other to pierce a tissue when engaged toward each other into a closed position. The first and second tissue-piercing legs can be formed of a wire having a circular cross-sectional shape. The first and second tissue-piercing legs can be formed of a wire having a non-circular cross-sectional shape. The carrier element can be formed as part of a unitary structure with the first and second tissue-piercing legs. The carrier element can be a tube having a circular or non-circular cross-sectional shape. The carrier element can extend along a full length of the radioactive brachytherapy source. The carrier element can have an opening at a top portion of the carrier element. The brachytherapy source delivery device can further include a radiation shield disposed on the carrier element. The carrier element is tangentially attached to the span section. In the brachytherapy source delivery device, each of the first and second tissue-piercing legs can have a length that is greater than a length of the span section. The length of each of the first and second tissue-piercing legs can be at least two times the length of the span section. The span section can define a first curved exterior surface having an angle of approximately 90 degrees, a distal end of the first leg can form a second curved exterior surface having a second angle of approximately 90 degrees, and a distal end of the second leg can form a third curved exterior surface having a third angle of approximately 90 degrees. The legs can be brought into the closed position from an open position in a single, continuous motion. A brachytherapy source delivery device can include a one piece wire member that extends in a substantial single plane, said wire member including a pair of longitudinally extending legs that each have a tissue piercing distal end, and an interconnecting span section that connects proximal ends of the respective pair of longitudinally extending legs, and a carrier element that attached to the span section and that is configured to receive a radioactive brachytherapy source. The tissue piercing distal ends of the respective longitudinally extending legs can each be formed as a barb, and the respective barbs can extend in a direction toward each other. The carrier element can be a tubular member into which the radioactive brachytherapy source is received. The tubular member can extend longitudinally along a longitudinal axis that is disposed at an angle to the single plane of the wire member. The span section can be arcuate and configured to continuously connect with the respective longitudinally extending legs that are of equal length so as to form a symmetric wire member. The longitudinal axis of the tubular member can be disposed at substantially at a right angle to the single plane of the wire member. The longitudinal axis of the tubular member can be disposed within the single plane of the wire member. The tubular member can extend longitudinally along a longitudinal axis, and the longitudinal axis of the tubular member can either be at an acute or right angle to the single plane of the wire member. A method includes providing a brachytherapy source delivery device including first and second tissue-piercing legs each having proximal and distal ends, wherein the proximal ends of the first and second tissue-piercing legs are joined at a span section in a first angular orientation with respect to each other, and a carrier element formed at, or attached to, the span section, the carrier element configured to support a radioactive brachytherapy source, and joining the first and second tissue-piercing legs together to pierce a tissue site in a single motion bringing the brachytherapy source delivery device from an open position to a closed position. The method can also include attaching a brachytherapy source to the carrier element prior to joining the first and second legs together The present invention provides a means for achieving greater ability to attach and firmly affix a brachytherapy source to a desired tissue site. The desired tissue site refers to the tissue that is intended to receive a brachytherapy treatment by a brachytherapy radiation source. The device is a formed wire used to support a radioactive brachytherapy source and to be deployed by pressing the legs of the device toward each other, piercing the tissue between them and securing the device to the tissue in a single, continuous motion which may be referred to herein as a “single step”. In an example embodiment shown in FIGS. 1A-1E, the device 100 is a wire formed to include first and second tissue-piercing legs 101a, 101b with a carrier tube 102 attached to the formed wire. The first and second tissue-piercing legs 101a, 101b may be more generally referred to as a “wire form” or “formed wire” herein. In use, the carrier tube 102, which may be referred to as a “carrier element” is configured to hold a radioactive brachytherapy source (i.e., source 103 shown in FIGS. 2A-2C for example). The legs 101a, 101b are formed of a wire having a circular cross-sectional shape in this embodiment. Other non-circular cross-sectional shapes (such as rectangular, square, or hexagonal) can be implemented within ordinary skill. Refer, for example, to FIGS. 13a-15 for various cross-sectional shapes. FIG. 1A is a perspective view of the device 100 including tissue-piercing legs 101a, 101b and carrier element 102. As shown in FIG. 1A, the brachytherapy source delivery device 100 includes a first tissue-piercing leg 101a having proximal and distal ends, and a second tissue-piercing leg 101b likewise having proximal and distal ends. An arm 110 extends from the distal end of the second tissue-piercing leg 101b and an arm 112 extends from the distal end of the first tissue-piercing leg 101a. Each arm 110, 112 has a respective barb 110a, 112a extending therefrom and the barbs 110a, 112a are in an orientation such that the ends of the barbs 110a, 112a are facing each other. The carrier tube 102 is attached to the proximal ends of the first and second tissue-piercing legs 101a, 101b. The carrier tube 102 can be welded to the wire form. Other attachment mans can be implemented, such as adhesives (e.g., cyanoacrylate, glue, or epoxy), especially with polymers, welded polymers, or biocompatible soldering techniques. FIG. 1B is a front view of the device 100. As shown in FIG. 1B, the proximal ends of the first and second legs 101a, 101b are joined at a span section 121 in a first angular orientation with respect to each other. The span section can be arcuate and configured to continuously connect with the respective longitudinally extending legs that are of equal length so as to form a symmetric wire member. The span section 121 is generally denoted by the dotted-line section 121 indicating the curved portion where the proximal ends of the legs 101a, 101b are joined. This angular orientation is shown by angle θ1 which may be approximately 15-90 degrees. In an alternate embodiment of the invention this angle may be on the order of 15-120 degrees. This angle needs to be sufficient to “open” the barbs to allow them to extend beyond a resting open position (as shown in FIG. 1B) and go around the desired tissue site, yet not too large so that the force in deploying the brachytherapy source delivery device 100 is able to overcome the force provided by the angular orientation of the first and second tissue-piercing legs 101a, 101b. Each tissue-piercing leg 101a, 101b has a respective arm 112, 110 extending from a distal end of the leg 101a, 101b, which may be joined at a respective curved section 124, 122. The curved section 122 may have an angle θ2 of approximately 60-90 degrees. Likewise, the curved section 124 may have an angle θ3 of approximately 60-90 degrees. The angle is preferably approximately 90-degrees to facilitate the piercing of the tissue to which the formed wire is to be applied. An angle less than 90-degrees would also work but likely not as effectively at piercing tissue. In some embodiments θ1, θ2, and θ3 can all have the same value of approximately 90-degrees. Although the arms 110, 112 are shown as being substantially straight, there may be a bend along this segment to further assist in securing the device 100 to an underlying tissue site. Likewise, the ends of the barbs 110a, 112a may have varying shapes, for example as shown in FIGS. 17A-17D herein. The carrier tube 102 is attached tangentially with respect to the span section 121 of the joined tissue-piercing legs 101a, 101b. By tangentially, it is intended to mean herein that the carrier tube 102 is an approximately straight line or plane that touches a curve or curved surface (the span section) at a point. Note that by tangentially securing the carrier tube to the tissue-piercing legs, this can also reduce or even eliminate attenuation that could result if the carrier tube were placed along the length of a metal leg. A metallic wire that is, for example, placed along the entire length of the radioactive source attenuates the radiation from the entire length of the source. Thus, a tangentially connected source, such as that disclosed according to the present disclosure, does not have the attenuation along the entire length of the source, given that there is only contact at most at one point along the curve. The length of each of the legs 101a, 101b is longer than a length of the span section 121 such that a sufficient force applied to the legs causes the tissue to be pierced while providing the sufficient pivot by the span section. For example, the length of the legs 101a, 101b can be at least two times the length of the span section, as shown in FIG. 1B. Other ratios and variations in the length of the legs with respect to the span section will be apparent in light of the present disclosure. FIG. 1C is a side view of the brachytherapy source delivery device 100, showing the carrier element 102 tangentially attached to the tissue-piercing legs 101a, 101b. Note that there is an offset in the distal ends of the legs 101a, 101b so that they are next to each other (i.e., side-by-side) as shown in FIG. 1C. However, in some embodiments, it will be appreciated that there can be no offset such that the legs 101a, 101b are along a same plane. This depends upon the desired tissue-piercing effect, whereas an offset is provided when desired to have the barbs of the legs next to each other (side-by-side) when in the closed position, and no offset is provided so that the barbs face each other (along a same plane) when in the closed position. FIG. 1D is a cross-sectional view as taken through line 1D-1D of FIG. 1B. As shown, there is an offset in that the legs 101a, 101b are at a slight angle with respect to the perpendicular axis of the carrier element 102. Thus, when pierced through a tissue, the barbs at the distal ends of the legs 101a, 101b will be side-by-side, for example as shown in FIG. 3C. The carrier element 102 is shown in semi-circular cross-sectional shape in this view. FIG. 1E is a cross-sectional view as taken through line 1E-1E of FIG. 1C. The carrier element 102 is shown tangentially attached to the proximal ends of the legs 101a, 101b. FIG. 1F is a front view of the device of FIG. 1A. FIG. 1G is a top view of the device of FIG. 1A. FIG. 1H is a bottom view of the device of FIG. 1A. Reference is now made to FIGS. 2A-2C, showing the source at various positions with respect to the carrier element. In use, a brachytherapy source 103 would be installed within the carrier tube 102 prior to deployment of the device 100. The source 103 is shown exterior of the carrier tube 102 in FIG. 2A, prior to installment of the source within the tube. FIG. 2B illustrates the source 103 partially installed within the carrier element 102, as being slid or otherwise placed within the tube. FIG. 2C illustrates the source 103 fully installed within the carrier element 102. As shown in FIG. 2C, the carrier element 102 extends along a full length of the radioactive brachytherapy source 103. As shown, the carrier element 102 could remain open on both ends. The source 103 can be secured within the carrier element with an adhesive (such as cyanoacrylate). The source can be secured by deforming the carrier element 102 (e.g., forming a dent in the tube prior to inserting the source provides sufficient friction) or a dent after inserting likewise provides the requisite friction. Both ends of the carrier element 102 can be crimped to secure the source in place. The carrier element could be partially or completely sealed to leave the opening smaller than the source preventing its release. As shown in FIGS. 3A-3C, at deployment, the legs of the wire form are placed over a segment of tissue and the legs are pressed together, causing the ends to pierce the tissue (not shown) and to secure the device 100 in place. FIG. 3A is a perspective view of the device 100 shown in the closed position. Although the desired tissue site is not shown, in the closed position the barbs pierce the underlying tissue. The barbs are shown side-by-side in the closed orientation; however, it will be appreciated that the barbs can face each other in the closed orientation. FIG. 3B is a front view of the device 100 shown in the closed position with the legs 101a, 101b piercing a desired tissue site. FIG. 3C is a side view of the device 100 shown in the closed position with the legs 101a, 101b piercing a desired tissue site. In some embodiments, as shown in FIGS. 4A-4C, a thin radiation shield 104 can be attached to a section of the outer surface of the carrier tube 102 to reduce the radiation exposure in unwanted directions. FIG. 4A shows a perspective view of the carrier tube 102 with radiation shield 104. FIG. 4B is a front view of the carrier tube 102 and radiation shield 104 tangentially attached to the proximal ends of the legs 101a, 101b. FIG. 4C is a side view of the carrier tube 102 and radiation shield 104 tangentially attached to the proximal ends of the legs 101a, 101b. The radiation shield 104 can be any high-density biocompatible metal, such as gold, platinum, iridium, silver, or tungsten. The radiation shield 104 could also be a high-density metal such as lead if encapsulated in a biocompatible covering or coating. In another embodiment, the carrier tube does not need to encompass the entire length of the brachytherapy source. As shown in FIGS. 5A-5C, a shorter tube 105 could be used to carry the brachytherapy source 103. FIG. 5A is a perspective view of the shorter tube 105 supporting the source 103. FIG. 5B is a front view of the shorter tube 105 supporting the source 103, with the tube 105 tangentially attached to the proximal ends of the legs 101a, 101b via attachment member 120. FIG. 5C is a side view of the shorter tube 105 supporting the source 103, with the tube 105 tangentially attached to the proximal ends of the legs 101a, 101b. In another embodiment, the carrier element can be another non-circular shape that encircles the entire brachytherapy source can be used as shown in FIGS. 6A-6C. FIG. 6A is a perspective view of the device 100 with a non-circular shaped element 106 encircling brachytherapy radiation source 103. FIG. 6B is a front view of the element 106 encircling the source 103 at the proximal ends of the legs 101a, 101b. As shown, the element 106 has an approximate cross-sectional D-shape, with the flattened portion of the tube 106 tangentially attached to the span section connected at the proximal ends of the legs 101a, 101b. FIG. 6C is a side view of the tube 106 encircling the source 103 at proximal ends of the legs 101a, 101b. In another embodiment, the carrier element does not need to encircle the entire brachytherapy source. “Open” carrier elements that encircle at least more than 180 degrees of the circumference of the brachytherapy source can be used as shown in FIGS. 7A-7C. FIG. 7A is a perspective view of the device 100 with an open carrier element 107 that supports the brachytherapy radiation source 103 at the joined proximal ends of the legs 101a, 101b. FIG. 7B is a front view of the device 100 with the open carrier element 107 that supports the brachytherapy radiation source 103. The carrier element 107 includes an opening 107a. The opening 170a provides flexibility for the insertion of the source 103 into the carrier element 107. The carrier element 107 is tangentially attached to the span section connected at the proximal ends of the legs 101a, 101b. FIG. 7C is a side view of the carrier element 107 attached to the span section connected at the proximal ends of the legs 101a, 101b. In some embodiments, the carrier element may be omitted, and the source may be attached directly to the joined proximal ends of the legs. The brachytherapy source 103 can be attached directly to the wire form as shown in FIGS. 8A-8C. FIG. 8A is a perspective view of the device having a brachytherapy source 103 attached directly to the span section of the legs 101a, 101b. The source 103 can be attached tangentially to the joined proximal ends of the legs 101a, 101b as shown in FIG. 8B, illustrating a front view of the device with the source 103 directly attached to the tissue-piercing legs 101a, 101b. FIG. 8C illustrates a side view of the device with the source directly attached to the tissue-piercing legs. The source 103 is shown attached to the wire form, however it will be appreciated that the source is separable from the attachment device (e.g., attachment member 120 shown in FIG. 1B). The source can be joined to the attachment device (or another part of the brachytherapy source delivery device such as the carrier tube) in the clinic or other medical environment performing the brachytherapy treatment at the time of the device deployment. Clinics or other facilities can maintain an inventory of attachment devices and sources, which can be used for other procedures. This provides an advantage over permanently affixed sources, which require the requisite number of devices to be specifically ordered for a procedure. After a relatively short period of time, these sources decay and cannot be used. Thus, attachment at time of treatment has significant advantages. The source can be attached via welding or other adhesive or can be formed by stamping and/or bending a strip of material, by machining or by casting and/or molding. In the aforementioned embodiments, the axis of the brachytherapy source has been shown to be approximately perpendicular to the plane of the wire form. However, in these aforementioned or other embodiments, the brachytherapy source can be positioned parallel to the plane of the wire form, or at any angle between perpendicular and parallel, as shown on FIGS. 9A-9C. As shown in FIGS. 9A-9C, the axis of the brachytherapy source is approximately parallel to the plane of the wire form. FIG. 9A is a perspective view of the carrier element 105 and brachytherapy source 103 approximately parallel to the plane of the wire form. FIG. 9B is a front view of the carrier element 105 and brachytherapy source 103. FIG. 9C is a side view of the carrier element 105 and brachytherapy source 103 approximately parallel to the plane of the wire form. Although shortened tube 105 is shown, any of the carrier elements disclosed herein may be implemented at the approximately parallel configuration. In the aforementioned embodiments, the brachytherapy source has been shown to be centered on the plane of the wire form. However, in other embodiments, wire form can be positioned centered on the plane of the brachytherapy source wire form, at the extreme end of the brachytherapy source or at any position between, as shown on FIGS. 10A-10C. In this embodiment, the carrier element 105 and source 103 are shown positioned at an angle of approximately 45-degrees with respect to the plane of the wire form. Any other value for the angle can be implemented, as will be appreciated. FIG. 10A is a perspective view of an axis of the carrier element 105 and source 103 at a predetermined angle with respect to the plane of the wire form. FIG. 10B is a front view of an axis of the carrier element 105 and source 103 positioned at a predetermined angle with respect to the plane of the wire form. FIG. 10C is a side view of the axis of the carrier element 105 and source 103 positioned at a predetermined angle with respect to the plane of the wire form. In some embodiments, it may be desirable for the source to be positioned so that it is not central within the carrier element 105, however rather extends from the carrier element 105 so that the majority of the source 103 is on one side of the element 105. FIG. 11A is a perspective view of the device 100 with a carrier element 105 supporting a source 103 tangentially with respect to the proximal ends of the legs 101a, 101b. FIG. 11B is a front view of the device with the carrier element supporting a source 103 on its end, and tangentially with respect to the proximal end of the legs 101a, 101b. FIG. 11C is a side view of the device with the carrier element 105 supporting a source 103 on its end, and tangentially with respect to the proximal end of the legs 101a, 101b. In some embodiments, it may be desirable for the source to be positioned so that a certain predetermined amount of the source is extending from one side of the carrier element 105, and another amount of the source is extending out from the other side of the carrier element 105, as shown in FIGS. 12A-12C. FIG. 12A shows a perspective view of the carrier element 105 supporting the source 103 at a point proximate the end of the source, however not at the extreme end of the source, so as to allow for an amount of the source to extend from each side of the carrier element, whilst not being in the direct center of the carrier element. FIG. 12B shows a front view of the carrier element 105 supporting the source 103 at the end of the source. FIG. 12C shows a side view of the carrier element 105 supporting the source 103 at the end of the source. In the aforementioned embodiments, the wire form has been shown to have a generally round cross-sectional shape. However, in other embodiments, the wire form can have rectangular, or other shape, cross sections. Also, the legs of the wire form do not necessarily need to be positioned straight from the point of connection with the carrier. Other shapes can be used, as shown in FIGS. 13A-13C. FIG. 13A shows a perspective view of a device 200 having a generally rectangular cross-sectional shape. The device 200 includes first and second tissue-piercing legs 201a. 201b which are joined together at a proximal end, and have barbs formed at a distal end of each tissue-piercing leg. The proximal ends of the legs 201a, 201b are joined together at a span section 215. The span section 215 has a first ear 211a and a second ear 211b extending therefrom, which together support the brachytherapy radioactive source 103. FIG. 13B is a front view of the device showing the first and second tissue-piercing legs 201a, 201b, having ears 211a, 211b extending from the proximal ends of the legs 201a, 20b where they are joined together. FIG. 13C is a side view of the device showing the first tissue-piercing leg 201a with ear 211a extending from the distal end of the leg 201a, which supports the source 103. In the aforementioned embodiments, the carrier has been attached to the wire form. However, in other embodiments, it is possible to have the carrier be part of the wire form, either by machining or bending, as shown on FIGS. 13A-14. FIG. 14 illustrates a perspective view of the rectangular cross-sectional device 200 with the source 103 prior to being supported by the ears 211a, 211b of the device 200. The ears 211a, 211b may be considered the “carrier element” according to the present disclosure and are formed as an integral piece with the tissue-piercing legs 210a, 201b to provide a unitary structure (without the source, or with the source when attached). FIG. 15A illustrates a perspective view of another embodiment where the carrier element is formed integral as part of the wire form, either by machining or bending, according to a rectangular cross-sectional shape of the wire form. The brachytherapy source delivery device 300 includes a first tissue-piercing leg 301a and a second tissue piercing leg 301b joined together at a span section 321. A carrier element 331 is formed in the span section 321 and has an opening 311. The source (e.g., source 103 shown herein) can be supported by the carrier element 331. In this embodiment, the legs 301a, 301b extend in an approximately straight orientation from the span section 321, and the legs 301a, 301b have barbs 325a, 325b, respectively extending therefrom. FIG. 15B illustrates a front view of the device 300. FIG. 15C illustrates a side view of the device 300. FIG. 15D illustrates a top view of the device 300. FIG. 15E illustrates a bottom view of the device 300. Although a rectangular cross-sectional shape for the wire form is shown in FIG. 15 it will be appreciated that a likewise structure can be implemented having another cross-sectional shape, such as circular or square, or other non-circular shape. In the aforementioned embodiments, the carrier has been positioned on the “outside” of the wire form. Meaning, the wire form has an exterior surface that has supported the source and an interior surface toward which the barbs are oriented. However, in other embodiments, it is possible to have the carrier be positioned “inside” the wire form and in same general orientation inward toward the barbs, as shown in FIGS. 16A-16B. FIG. 16A is a perspective view of a device 500 having first and second tissue-piercing legs 501a, 501b in a generally circular cross-sectional wire form shape, with the proximal ends of the legs joined together at a span section 505. The span section supports the carrier element 102 on an interior surface thereof as shown. The source 103 is supported by the carrier element 102. FIG. 16B is a side view of the device 500 having first and second tissue-piercing legs 501a, 501b joined together at a span section 505 at proximal ends of the legs 501a, 501b. The device 500 includes the upper span section 505 having a first convex curved surface 505a, which is joined to two concave curved surfaces 505b, 505c. The concave curved surface 505c is joined to another convex curved surface 510b, which is connected to the leg portion 501b, secured to another concave curved surface 522, and to a barb 521. The concave curved surface 505b is joined to another convex curved surface 510a, which is connected to the leg portion 510a, secured to another concave curved surface 524, and to a barb 511. FIG. 16C is a front view of the device 500 without the course attached. FIG. 16D is a top view of the device 500. FIG. 16E is a bottom view of the device 500. FIG. 16F is a side view of the device 500. In the aforementioned embodiments, the ends of the wire form that pierce the tissue have been shown to have a wedge-shaped end for the distal end of the legs where the barbs are formed. However, it is possible for the tissue-piercing end to be conical, barbed, or, because of the small size of the wire, even flat, as shown in FIGS. 17A-17D. FIG. 17A illustrates a tissue-piercing end 601 that has a wedged surface as a shape. FIG. 17B illustrates a tissue-piercing end 602 that is conical in shape. FIG. 17C illustrates a tissue-piercing end 603 that is barbed in shape at the distal end. FIG. 17D illustrates a tissue-piercing end 604 that is flat at the distal end. FIG. 18 illustrates a stack 180 of the devices according to an embodiment, with the source perpendicular to the plane of the wire, for example as shown in FIG. 1A. The stack 180 comprises a plurality of devices that are arranged together as they would appear in a delivery applicator. FIG. 19 illustrates a stack 190 of the devices according to an embodiment, with the source perpendicular to the plane of the wire, for example as shown in FIG. 3A in the closed position. The stack 190 illustrates how the devices would appear when positioned within a patient. FIG. 20 illustrates a stack of the devices according to an embodiment, with the source parallel to the plane of the wire, for example as shown in FIG. 9A. FIG. 20 illustrates the stack 2000 as it would appear in a delivery applicator. FIG. 21 illustrates a stack of the devices according to an embodiment with the source parallel to the plane of the wire, for example as shown in FIG. 9A. FIG. 21 illustrates the stack 2100 as it would appear when positioned in the patient. FIG. 22A illustrates a perspective view of a brachytherapy source delivery device 2200 having tissue-piercing legs 2201a, 2201b with a brachytherapy source incorporated into the legs. Refer to FIG. 22F showing the sources incorporated into the legs. In this embodiment, there are six individual sources incorporated into the legs, with three sources in each leg, however any number of sources may be implemented. FIG. 22B illustrates a front view of the device 2200 of FIG. 22A. The device 2200 includes first and second tissue-piercing legs 2201a, 2201b. The length of the leg 2201b can be a distance D22 of approximately 4.8 centimeters (cm), and generally has the length of 2.4-4.8 cm; however any length can be implemented depending upon the particular application and/or patient involved. The angle θ22 is approximately 60-degrees, and can be in the range of 60-120 degrees. FIG. 22C illustrates a side view of the device 2200 of FIG. 22A. FIG. 22D illustrates a side view of the device 2200 of FIG. 22A. FIG. 22E illustrates a bottom view of the device 2200 of FIG. 22A. FIG. 22F illustrates a cross-sectional view as taken along line 22F-22F of FIG. 22D. As shown, there are six radioactive sources 2210, 2212, 2214, 2216, 2218, and 2219, with three radioactive sources 2210, 2212 and 2214 in the tissue-piercing leg 2210b, and sources 2216, 2218, and 2219 in the tissue-piercing leg 2210a. It will be appreciated that the position and placement of the sources within the legs can be variable depending upon the particular application and/or patient and/or tissue site FIG. 23A illustrates a perspective view of a brachytherapy source delivery device 2300 having tissue-piercing legs 2301a, 2301b with a brachytherapy source (not shown in FIG. 23A) incorporated into the legs. The legs can have a length D23 that is approximately 2.4 cm, however this can be approximately 2.4-4.8 cm. The angle θ23 can be approximately 120-degrees, or can be in the range of 60-120 degrees. FIG. 23B illustrates a front view of the device 2300 of FIG. 23A. FIG. 23C illustrates a side view of the device 2300 of FIG. 23A. FIG. 23D illustrates a top view of the device 2300 of FIG. 23A. FIG. 23E illustrates a bottom view of the device 2300 of FIG. 23A. Various embodiments discussed herein may be combined with each other in appropriate combinations in connection with the system described herein. Other embodiments of the invention will be apparent to those skilled in the art from a consideration of the specification or practice of the invention disclosed herein. It is intended that the specification and examples be considered as exemplary only, with the true scope and spirit of the invention being indicated by the following claims. |
|
claims | 1. A method of decontaminating areas near radioisotope-contaminated surfaces, comprising: using non-thermal laser peeling in which a substance as irradiated with pulsed laser the pulse duration of which is extremely short, ranging from several hundred femtoseconds to less than several picoseconds, is evaporated or removed from the irradiated region at a sufficiently faster speed than the energy dissipation of the laser, and the energy dissipation of laser-induced, laser-accelerated or laser-energized electrons and other particles, and the thermal effects of these energy dissipations are transmitted from the irradiated region to nearby areas, whereby the substance is peeled without suffering re-melting, re-diffusing and re-contaminating. 2. The method according to claim 1, wherein to ensure that the removed or evaporated radioisotope-containing substance will not return to the irradiated region, a fluid comprising a gas, a liquid, a spray of liquid suspension, an atomized jet gas, or a liquid-gas mixture, or fine solid particles, or a mixture of the fine solid particles and the fluid is blown simultaneously or non-simultaneously or in temporal association or in asynchronous association with the pulsed laser, whereupon the fusion between the laser and the non-laser substance causes the irradiated substance to be ground mechanically, or simply moved, or involved in a complex manner, and the irradiated substance is separately recovered through an exhaust pipe provided near the laser irradiated region, whereby the irradiated substance is removed from the irradiated region. 3. The method according to claim 1, wherein perfect decontamination is possible by combining a chemical reaction and/or a mechanical means with the non-thermal laser peeling or by applying the non-thermal laser peeling from the beginning to the end. 4. The method according to claim 1, wherein to secure a region in a water environment in a nuclear reactor pressure vessel, a container tank or the like that is gas pressurized to discharge the water so that it will not interfere with laser irradiation, the method has a semi-hermetically closed, incomplete water seal that is half-open with a siphon provided downward, has such a structure that the inner surface of each of the vessels is optionally utilized as a face to be contacted by the water seal, has a mechanical structure that withstands water pressure in a radial direction, and employs an extendable telescopic bellows-like tube or any other extendable structure that enables tilting over a wide range in an axial direction that is generally perpendicular to the surface to be irradiated with the laser. 5. The method according to claim 2, wherein perfect decontamination is possible by combining a chemical reaction and/or a mechanical means with the non-thermal laser peeling or by applying the non-thermal laser peeling from the beginning to the end. 6. The method according to claim 2, wherein to secure a region in a water environment in a nuclear reactor pressure vessel, a container tank or the like that is gas pressurized to discharge the water so that it will not interfere with laser irradiation, the method has a semi-hermetically closed, incomplete water seal that is half-open with a siphon provided downward, has such a structure that the inner surface of each of the vessels is optionally utilized as a face to be contacted by the water seal, has a mechanical structure that withstands water pressure in a radial direction, and employs an extendable telescopic bellows-like tube or any other extendable structure that enables tilting over a wide range in an axial direction that is generally perpendicular to the surface to be irradiated with the laser. 7. The method according to claim 3, wherein to secure a region in a water environment in a nuclear reactor pressure vessel, a container tank or the like that is gas pressurized to discharge the water so that it will not interfere with laser irradiation, the method has a semi-hermetically closed, incomplete water seal that is half-open with a siphon provided downward, has such a structure that the inner surface of each of the vessels is optionally utilized as a face to be contacted by the water seal, has a mechanical structure that withstands water pressure in a radial direction, and employs an extendable telescopic bellows-like tube or any other extendable structure that enables tilting over a wide range in an axial direction that is generally perpendicular to the surface to be irradiated with the laser. 8. The method according to claim 5, wherein to secure a region in a water environment in a nuclear reactor pressure vessel, a container tank or the like that is gas pressurized to discharge the water so that it will not interfere with laser irradiation, the method has a semi-hermetically closed, incomplete water seal that is half-open with a siphon provided downward, has such a structure that the inner surface of each of the vessels is optionally utilized as a face to be contacted by the water seal, has a mechanical structure that withstands water pressure in a radial direction, and employs an extendable telescopic bellows-like tube or any other extendable structure that enables tilting over a wide range in an axial direction that is generally perpendicular to the surface to be irradiated with the laser. |
|
claims | 1. Method performed by a computer and associated measuring equipment to determine the effective masses of N deposits of fissile matter respectively placed in N measurement fission chambers, N being an integer of 1 or more, the method comprising:A) a first measuring step (E1) during which N count rates are measured of N respective deposits of fissile matter of known effective masses, respectively placed in N calibration fission chambers respectively identical in their outer dimensions to the N measurement fission chambers, to form a matrix [C]0 of count rates of known deposits of fissile matter, each different measured count rate of said N respective deposits of fissile matter of known effective mass being a different coefficient of the matrix [C]0,B) a second measuring step (E2) during which N count rates are measured of N respective deposits of fissile matter placed in the N measurement fission chambers, to form a matrix [C] of count rates of deposits of fissile matter, the second measuring step being conducted under identical measuring conditions to the measuring conditions under which the first measuring step is conducted, each different measured count rate of said N respective deposits of fissile matter placed in the N measurement fission chambers being a different coefficient of the matrix [C], andC) a computing step (E3) to calculate and store, by operations performed within the computer, a data structure representing a column matrix [m] such that:[m]=[C]·I([a]>([a]01×[m]0−1×[C]0)),the coefficients of matrix [m] being the effective masses to be determined, the symbols “·I” and “x” respectively being the “matrix division” operator and the “matrix product” operator and the matrices [a], [a]0−1 and [m]0−1 respectively being:matrix [a], a known matrix of the isotopic analyses associated with the N deposits of fissile matter whose effective masses are to be determined,matrix [a]0−1, an inverse matrix of a known matrix [a]0 of the isotopic analyses associated with the N deposits of fissile matter of known effective masses,matrix [m]0−1, an inverse matrix of a known matrix [m]0 whose coefficients are the known effective masses of the N known deposits of fissile matter. 2. The method according to claim 1, further comprising the step of calculating and storing, by operations performed within the computer, a data structure representing a variance matrix var[m] of matrix [m] such that:var[m]={var[C]+[mij2]×(var[a]×[Xij2]+[aij2]×var[X])}·I{└([a]×[X])ij2┘},in which:var[C] is the variance matrix of matrix C,var[a] is the variance matrix of matrix [a],var[X] is the variance matrix of matrix [X] such that:[X]=[a]0−1×[m]0−1×[C]0,[mij2] is the matrix consisting of the terms mij to the power of 2, the terms mij being the coefficients of matrix [m], i being the index relating to the rows of the matrix and j being the index relating to the columns of the matrix,└aij2┘ is the matrix consisting of the terms aij to the power of 2, the terms aij being the coefficients of matrix [a], i being the index relating to the rows of the matrix and j being the index relating to the columns of the matrix,└Xij2┘ is the matrix consisting of the terms Xij to the power of 2, the terms Xij being the coefficients of matrix [X], i being the index relating to the rows of the matrix and j being the index relating to the columns of the matrix,[([a]×[X])ij2] is the matrix consisting of the terms ([a]×[X])ij to the power of 2, the terms ([a]×[X])ij being the coefficients of the product matrix [a]×[X], i being the index relating to the rows of the matrix and j being the index relating to the columns of the matrix. 3. The method according to claim 1, wherein N is 1. 4. The method according to claim 1, wherein N is greater than 1. 5. The method according to claim 2, wherein N is 1. 6. The method according to claim 2, wherein N is greater than 1. |
|
description | This is a continuation application of U.S. patent application Ser. No. 11/717,201, filed Mar. 13, 2007 now U.S. Pat. No. 7,741,602, and claims priority of German patent application no. 10 2006 011 615.1, filed Mar. 14, 2006, the entire contents of which are incorporated herein by reference. The invention relates to a phase contrast electron microscope and especially a phase contrast transmission electron microscope. Electron microscope specimens are, as a rule, phase objects which generate only a very slight amplitude contrast in a transmission electron microscope because of the high electron energy in the range of 100 keV and higher. For this reason, in a conventional transmission electron microscope, objects of this kind generate a contrast only when utilizing the phase-shifting effect of the spherical aberration of the transmission electron microscope and are therefore imaged with correspondingly little contrast in a conventional transmission electron microscope. The introduction of a phase plate into the back focal plane of the objective of the transmission electron microscope therefore provides a large increase in contrast in a manner similar to the generation of a phase contrast in phase objects according to Zernicke in the optical microscopy. However, the dimensions required in a transmission electron microscope are problematic. Especially when the so-called unscattered ray (that is, only the ray which is undiffracted at the specimen) is to be shifted in phase, but the ray, which is diffracted at the specimen into the first order or higher orders, is intended to be uninfluenced by the phase plate, the small diameter of the unscattered ray of less than 1 μm imposes considerable requirements on the technology because, for the phase plate, freedom from contamination, freedom of charging and dielectric strength are required. For generating the phase-shifting effect, basically two starting points are known, namely: for the first starting point, the phase plate is realized as a correspondingly small configured electrostatic lens which imparts a phase shift only to the unscattered ray but leaves the higher diffracting orders entirely or substantially uninfluenced. For the second starting point, a thin foil is used which is substantially transparent for electrons of the electron energy used in the transmission electron microscope and which has the required structure. For the second starting point, the inherent electrostatic potentials of the material are used in order to impart the desired phase shift onto the unscattered ray or onto the scattered electron rays. The first starting point has the disadvantage that the small electrostatic lens perforce requires outer holding structures which interrupt regions wherein the paths of the electrons run which are diffracted into high diffraction orders whereby important information is lost for the generation of images. With respect to the latter, the second starting point has the disadvantage that the higher orders of diffraction, which are anyway very weak compared to the unscattered ray, are additionally weakened by the unavoidable material absorption of the foil. Because of these technological problems, no phase contrast electron microscopes with phase-shifting elements directly in the back focal plane of the objective lens could, up to now, be successfully established in the marketplace as commercial products even though the basics for the generation of phase contrast have been known for more than fifty years. Phase contrast electron microscopes are described in U.S. Pat. Nos. 6,744,048 and 6,797,956 which are incorporated herein by reference. In U.S. Pat. No. 6,744,048, the suggestion is made to image the back focal plane of the objective by a lens system and to arrange the phase-shifting element in the image plane of the diffraction plane of the objective with the image plane being generated by the lens system. It is an object of the invention to provide a phase contrast electron microscope wherein the dimensional requirements on the phase-shifting element are reduced. Another object of the invention is to avoid information loss in a phase contrast electron microscope. The first object is achieved with a phase contrast electron microscope which includes: an objective mounted on the optical axis and defining a back focal plane; a first diffraction lens for imaging the back focal plane into a diffraction intermediate image plane; a second diffraction lens having a principal plane disposed in the vicinity of the diffraction intermediate image plane; and, a phase-shifting element mounted in or near the diffraction intermediate image plane. The second object is realized with a phase contrast electron microscope including: an objective mounted on the optical axis and defining a back focal plane; a diffraction lens for imaging the back focal plane into a diffraction intermediate image plane; a first phase-shifting element mounted in the back focal plane; and, a second phase-shifting element mounted in or near the diffraction intermediate image plane. According to a first aspect of the invention, a phase contrast electron microscope has an objective having a back focal plane and a first diffraction lens which images the back focal plane of the objective magnified into a diffraction intermediate image plane. In the propagation direction of the electrons, a second diffraction lens follows the first diffraction lens and has a principal plane which is arranged in the vicinity of the diffraction intermediate image plane. A phase-shifting element is mounted in or near the diffraction intermediate image plane. According to a second aspect of the invention, a phase contrast electron microscope has an objective having a back focal plane and a first diffraction lens which images the back focal plane of the objective into a diffraction intermediate image plane. A first phase-shifting element is mounted in or near the back focal plane of the objective and a second phase-shifting element is mounted in or near the diffraction intermediate image plane. The imaging of the back focal plane is effected with the first diffraction lens and is imaged, preferably magnified, into the diffraction intermediate image plane. The diffraction plane of the objective is imaged magnified into a diffraction intermediate image plane. For this reason, the phase-shifting element can be configured to be correspondingly geometrically larger so that the dimensional requirements imposed on the phase-shifting element are correspondingly reduced. At the same time, the blanking out of electrons with the hardware of the phase plate, especially electrodes and support elements, is reduced because the dimensions of these parts can remain the same. Furthermore, it can simultaneously be ensured that no deterioration of resolution occurs because of the aberrations of the additional diffraction lenses and the image of the diffraction plane behind the system of the diffraction lenses can lie clearly rearward of the image planes which are conjugated to the object plane of the objective. Because, according to the first aspect of the invention, the principal plane of the second diffraction lens lies in or near the diffraction intermediate image plane, the second diffraction lens has an almost exclusive influence on the position of the image plane, which is conjugated to the object plane of the objective, and virtually no influence on the additional imaging of the diffraction intermediate image plane. The required structural length increase can thereby be kept low. The transmission electron microscope of FIG. 1 includes an electron source 1, for example, a thermal field emission source. An extraction electrode 2 follows the electron source 1 and this extraction electrode has a potential which draws electrons from the electron source 1. The extraction electrode 2 is followed by one or several focusing electrodes 3 in order to optically fix the location of the source position and one or several anodes 4. Because of the potential of the anode 4, the electrons, which emanate from the electron source 1, are accelerated to the desired electron energy of 100 keV or more. A multi-stage condenser follows the anode in the direction of movement of the electrons. In the embodiment shown, the condenser has three individual magnet lenses (5, 6, 7) and the entrance end part of the condenser-objective single-field lens 8. With a condenser of this kind, the illumination aperture as well as the field of the object plane 9 can be independently adjusted by a corresponding adjustment of the lens currents of the magnetic lenses (5, 6, 7, 8). The field of the object plane 9 is the field illuminated by the electron beam and the object plane 9 lies in the principal plane of the condenser-objective single-field lens 8. U.S. Pat. No. 5,013,913 is incorporated herein by reference with respect to the beam guidance in the condenser for different illumination fields and apertures. In lieu of a four-stage condenser, a simple condenser can, however, be provided as shown, for example, in U.S. Pat. No. 6,531,698 incorporated herein by reference. In the condenser-objective single-field lens 8, the prefield functions as a last condenser lens and the back field functions as an objective lens. The object plane lies approximately at the elevation of the pole piece gap of the condenser-objective single-field lens 8. A specimen manipulator (not shown) in the form of a goniometer is mounted in the object plane 9. The specimen manipulator is guided through the pole piece of the condenser-objective single-field lens 8. In lieu of the condenser-objective single-field lens, also other objective lenses can be provided. A first diffraction lens 11 follows the condenser-objective single-field lens 8 and is likewise configured as a magnet lens. This first diffraction lens 11 images the back focal plane or diffraction plane 10 of the condenser-objective single-field lens 8 magnified into a diffraction intermediate image plane 21. At the same time, the first diffraction lens 11 generates a real intermediate image 14 of the object plane 9. A first deflection system 12 is mounted in the plane of the intermediate image 14 generated by the first diffraction lens 11. A second deflection system 13 follows this first deflection system 12 and thereafter, a second diffraction lens 15. The diffraction intermediate image plane 21 then lies simultaneously in or near the principal plane of the second diffraction lens 15. A phase plate 16 is mounted in the diffraction intermediate image plane 21. The image of the diffraction plane 10 of the objective lens or of the imaging part of the field of the condenser-objective single-field lens 8 is magnified because of the imaging scale of the image generated by the first diffraction lens 11. For this reason, the diameter of the phase plate 16 is magnified by this imaging scale relative to an arrangement of the phase plate 16 directly in the back focal plane 10 of the objective lens. The other dimensions of the phase plate 16, such as the width of the holding elements (22, 27) and the radial width (difference between inner and outer diameters) of the annularly-shaped electrode can, in contrast, stay dimensioned the same so that the blanking of electrons by these components is overall reduced. The magnification with which the diffraction plane 21 is imaged into the diffraction intermediate image plane should be greater by a factor of two, preferably by a factor of three. The magnification with which the diffraction plane 21 is imaged into the diffraction intermediate image plane should not exceed a tenfold magnification and should preferably lie between a threefold magnification and a sevenfold magnification. The second diffraction lens 15 is so mounted that its principal plane lies in or near the diffraction intermediate image plane. For this reason, the second diffraction lens 15 has no influence or no significant influence on the further imaging of the diffraction intermediate image plane 21. This second diffraction lens 15 primarily images the intermediate image 14 of the object plane 9 into the entrance image plane 17 of the projective system (18, 19). In this way, a suitably long distance of the next-following image planes of the object plane 9 and the diffraction plane 10 is ensured. The projective system (18, 19) then generates a greatly magnified image on a detector 20 of the specimen arranged in the object plane 9 and imaged into the entrance image plane 17 of the projective system (18, 19). In FIG. 1, the reference characters OA identify the optical axis of the entire electron optical system. The lateral position of the image of the diffraction plane 10 of the objective can be finely adjusted relative to the phase plate 16 with the aid of the deflection systems (12, 13) between the first diffraction lens 11 and the second diffraction lens 15. The first deflection system is mounted or operates in the plane in which the intermediate image 14 of the object plane arises. For this reason, a deflection, which is effected by this first deflection system, has no influence on the lateral position of the image of the object plane which arises in the entrance image plane 17 of the projective system; rather, the first deflection system only shifts the position of the diffraction image relative to the phase plate 16. The imaging characteristics described above between the objective lens 8 and the first projective lens 18 are shown in FIG. 2 with respect to the beam paths. The solid lines show the beam paths for the electrons which emanate parallel to the optical axis OA from the object plane 9 (the so-called illumination beam path or pupil beam path) and the broken lines show the beam paths for the electrons which leave from the object plane 9 on the optical axis OA at an angle to the optical axis OA (the so-called imaging beam path). An electrostatic phase plate 16 is shown in plan view in FIG. 3. The phase plate 16 has an outer carrier 21 having a round aperture 26 with the carrier 21 being opaque to electrons. An annular electrode 23 having a central annular opening 24 is mounted in the aperture 26 centered on the optical axis OA. The annularly-shaped electrode 23 is connected to the carrier 21 via two or three thin holding elements (22, 27). By applying an electrostatic potential to the annularly-shaped electrode, a phase shift is imparted to the unscattered ray or the rays which are not diffracted in the object plane 9 while the rays are uninfluenced which are scattered or diffracted in the object plane at higher orders. The unscattered ray passes through the annular opening. Reference can be made to U.S. Pat. No. 6,797,956 with respect to details as to the configuration of the phase plate 16. U.S. Pat. No. 6,797,956 is incorporated herein by reference. By changing the excitation of the second diffraction lens 15, the orientation of the diffraction image relative to the phase plate 16 can be changed by the image rotation effected by this diffraction lens 15. In this way, diffraction spots 25, which are essential for the image formation and which impinge on the holding elements (22, 27), can be moved on a circular path indicated by the circle drawn by a dotted line circle and can thereby be rotated into a region wherein they can pass through the phase plate undisturbed by the holding elements (22, 27). FIG. 4 shows the beam path in the case of the generation of a phase contrast with a conical illumination that is disclosed in U.S. Pat. No. 6,797,956. The unscattered ray is undiffracted in the object plane 49 and is focused in the diffraction plane 50 of the objective 48 and is imaged into the diffraction intermediate image plane 53 by the first diffraction lens 51. The first deflection system 52 in the vicinity of the intermediate image plane 56 guides the beam in an annular shape across the phase-shifting regions of an annularly-shaped phase plate 57 in the diffraction intermediate image plane 53. The second diffraction lens 58 has a principal plane which lies in or in the vicinity of the diffraction intermediate image plane 53 and generates an intermediate image of the object plane 49 in the entrance image plane of the first projective lens 54. A further deflection system 55 is arranged in the entrance image plane of the first projective lens 54 and is operated in synchronism with the first deflection system 52. With this deflection system 55, the ray can again be deflected back onto the optical axis in the entrance image plane of the first projective lens 54. An annularly-shaped phase plate is mounted in the diffraction intermediate image plane 53 and with this phase plate, a phase shift is imparted to the unscattered ray guided on a circular path while the electrons, which pass farther from the edge of the center opening of the phase plate, remain uninfluenced by the phase plate with these electrons having been diffracted in the object plane 49 into higher diffraction orders. A phase contrast again arises via interference of these higher diffraction orders with the phase-shifted unscattered ray. The arrangement of FIG. 5 is built up essentially in the manner of the arrangement shown in FIG. 4. However, the arrangement of FIG. 5 includes two phase plates. The first phase plate 58 is mounted in the diffraction plane 21 of the objective lens and a second phase plate 57 is mounted in the image plane of the first diffraction lens 51 conjugated to the diffraction plane 15. The first diffraction lens 51 lies in or near the principal plane of the second diffraction lens 53. As in the embodiment described above, the second diffraction lens images a real intermediate image of the object plane 49 into the entrance image plane of the projective system 54. The real intermediate image of the object plane 49 lies between the first and second diffraction lenses (51, 53). The two phase plates (57, 58) are so configured that they each influence different spatial regions of the diffraction images which spatial regions are complementary to each other. As indicated in FIG. 5, the phase plate 58, which is mounted in the diffraction plane 21 of the objective, generates a phase shift in the beam conical segment shown hatched in FIG. 5 while the second phase plate 57 generates a phase shift in the non-hatched beam conical segment. The second phase plate 57 is mounted in the diffraction intermediate image plane. The phase shift takes place sequentially in the two mutually conjugated diffraction images so that, from the two contributions together, the wanted phase shift results between the unscattered ray and the diffracted rays. This offers in principle the possibility of realizing matter-free and aberration-free electrostatic phase plates. Furthermore, the two phase plates (57, 58) can each be so configured that possibly present holding elements in total cannot negatively influence the image generation. If only the scattered electrons experience a phase shift of π with a half-planar phase plate, then a differential interference contrast can be generated. In FIG. 6, a somewhat different beam guidance is shown with the embodiment already described with respect to FIGS. 1 and 2. The essential difference is that the objective lens 60 is so highly excited that it generates an intermediate image of the object plane 61 already in or near the principal plane of the first diffraction lens 62. The first diffraction lens 62, in turn, images the diffraction plane 65 of the objective lens 60 into or in the vicinity of the principal plane of the second diffraction lens 63 so that there again a diffraction intermediate image plane arises. The phase-shifting element 67 is then again mounted in the diffraction intermediate image plane. As in the embodiment in FIG. 2, the second diffraction lens 63 images the intermediate image of the object plane 61 into the entrance image plane 66 of the downstream projective system 64 with this intermediate image arising in the principal plane or in the proximity of the principal plane of the first diffraction lens. In FIG. 7, the beam path is shown which is realized for operation of the system as a Lorentz microscope or with low magnification. During operation as a Lorentz microscope, the objective lens 70 is switched off and with low magnification (so-called low-mag-mode), the objective lens is only slightly excited. The excitation of the first diffraction lens 73 is so selected that its focal plane lies in the principal plane of the second diffraction lens 74. The phase plate 77 is, in turn, mounted in the principal plane of the second diffraction lens. The second diffraction lens 74 generates a real image of the virtual image 78 of the object plane 71 in the entrance image plane 76 of the downstream projective system 75, the virtual image being generated by the first diffraction lens 73. The projective system 75 then generates an image magnified by up to a factor of 10,000 of the object positioned in the object plane 71. This beam guidance thereby makes possible a maximum magnification approximately greater by a factor of 5 compared to a conventional low-mag magnification. With respect to the required dimensions in the beam guidance of FIG. 2, the following can be estimated: for a focal length of the objective lens 8 of approximately 3 mm and a desired telescope magnification by a factor of 10 (because the objective lens 8 and the first diffraction lens 11 are operated, telescopically), there results for the first diffraction lens 11 a focal length of approximately 30 mm. It can be shown that for telescope systems of this kind, the increase of the spherical aberration is negligible compared to a system only with the objective lens. Should the diffraction intermediate image plane be magnified approximately by the factor of 5 compared to the diffraction plane 10 of the objective lens 8, then the first diffraction lens 11 has to have a distance of approximately 36 mm from the rearward diffraction plane 10 of the objective lens 8 and a distance of 180 mm is required between the first diffraction lens 11 and the second diffraction lens 15. The second diffraction lens 15 images the intermediate image of the object plane 9 into the entrance image plane 17 of the projective system 18 with low magnification with the intermediate image arising in the back focal plane 14 of the first diffraction lens 11. For this reason, a required distance of approximately 150 mm results between the second diffraction lens 15 and the entrance image plane 17 of the projective system 18 for a focal length of the second diffraction lens 15 of approximately 75 mm. In total, there results a structural length increase of approximately 260 mm compared to an arrangement wherein the objective lens 8 directly generates a real intermediate image in the entrance image plane of the projective system. It is understood that the foregoing description is that of the preferred embodiments of the invention and that various changes and modifications may be made thereto without departing from the spirit and scope of the invention as defined in the appended claims. |
|
claims | 1. An apparatus, comprising:an x-ray source operable to generate x-ray beams;a collimator comprising one or more leaves configured to modify the x-ray beams, wherein each of at least one of the one or more leaves comprises at least a portion that is partially x-ray attenuating configured to modulate a beam quality of the x-ray beams passing therethrough to provide for imaging or treatment of a body portion, and each of the portion covers an entire field of view of the x-ray beams on the body portion being imaged or treated, and the portion has a substantially uniform thickness;a motorized system operable to move the one or more leaves independently in or out of the x-ray beams; anda controller configured to synchronize an activation of the x-ray source with an activation of the motorized system, wherein the one or more leaves move in synchronization with the activation of the x-ray beams. 2. The apparatus of claim 1 wherein the x-ray source is operable to generate the x-ray beams in pulses and the motorized system is operable to move the one or more leaves in synchrony with operation of the x-ray source thereby allowing modification of the x-ray beams on a pulse to pulse basis. 3. The apparatus of claim 1 wherein each of the one or more leaves is movable to cover an entire field of view of the x-ray beams. 4. The apparatus of claim 3 wherein each of the one or more leaves is configured to modulate the beam quality of the x-ray beam. 5. The apparatus of claim 4 wherein each of the one or more leaves comprises a same material that partially attenuates x-rays. 6. The apparatus of claim 4 wherein each of the one or more leaves comprises a different material that partially attenuates x-rays. 7. The apparatus of claim 1, wherein the at least one of the one or more leaves is configured to modify energy of the x-ray beams passing therethrough to provide for imaging or treatment of a body portion. 8. A collimator assembly, comprising:two or more leaves configured to modify an x-ray beam, wherein a substantially entire portion of each of the two or more leaves is partially x-ray attenuating configured to modulate a beam quality of the x-ray beam passing therethrough to provide for imaging or treatment of a body portion, the substantially entire portion of each of the two or more leaves has a substantially uniform thickness; anda motorized system operable to move the two or more leaves independently in or out of the x-ray beam;wherein the two or more leaves are movable to define an aperture to allow a first beam portion passing through the aperture and a second beam portion passing through a thickness of each of the two or more leaves, wherein the two or more leaves are configured to move synchronously with an activation of the x-ray beam, thereby providing a modified beam for imaging or treatment of a body portion, the modified beam comprising the first beam portion having a first beam quality and the second beam portion having a second beam quality. 9. The collimator assembly of claim 8 wherein each of the two or more leaves is movable to cover an entire field of view of the x-ray beam. 10. The collimator assembly of claim 8 comprising four leaves, whereinadjacent two leaves are movably in directions perpendicularly to each other, andeach of the four leaves is movable to cover an entire field of view of the x-ray beam. 11. The collimator assembly of claim 10 wherein each of the four leaves is configured to modulate the beam quality of the x-ray beam. 12. The collimator assembly of claim 11 wherein each of the four leaves comprises a same material that partially attenuates x-rays. 13. The collimator assembly of claim 12 wherein the four leaves are moveable to define the aperture to allow the first portion of the x-ray beam passing through the aperture and the second portion of the x-ray beam passing through thicknesses of the four leaves, thereby providing the modified beam comprising the first beam portion having the first beam quality and the second beam portion having the second beam quality. 14. The collimator assembly of claim 11 wherein each of the four leaves comprises a different material that partially attenuates x-rays. 15. The apparatus of claim 8, wherein the at least one of the one or more leaves is configured to modify energy of the x-ray beams passing therethrough to provide for imaging or treatment of a body portion. 16. An imaging method, comprising:positioning a body portion containing a region of interest;directing an x-ray beam to the body portion, wherein the x-ray beam has a first beam portion directed to the region of interest and a second beam portion directed to the rest of the body portion, wherein the first beam portion has a first beam quality and the second beam portion has a second beam quality different from the first beam quality; andacquiring an image including the region of interest and the rest of the body portion;wherein the first and second beam portions are provided by a collimator assembly comprising two or more leaves, wherein a substantially entire portion of each of the two or more leaves partially attenuates x-rays and has a substantially uniform thickness, the two or more leaves are confiqured to move synchronously with an activation of the x-ray beam defining an aperture, thereby allowing the first beam portion passing through the aperture to the region of interest and the second beam portion passing through thicknesses of the two or more leaves to the rest of the body portion to provide for imaging or treatment. 17. The method of claim 16 wherein the x-ray beam is generated by an x-ray source, and wherein each of the two or more leaves of the collimator assembly is independently moveable in synchrony with operation of the x-ray source such that the aperture is defined substantially in real time with generation of the x-rays. 18. The imaging method of claim 16, wherein each of the two or more leaves is configured to modify energy of the x-ray beams passing through the thicknesses of the two or more leaves. 19. An imaging method, comprising:positioning a body portion to be imaged;acquiring a first image of the body portion using a first pulse of x-rays having a first energy;acquiring a second image of the body portion using a second pulse of x-rays having a second energy;computing a third image using the first and second images;wherein the first and second pulses of x-rays are generated by an x-ray source and modulated by a collimator assembly, wherein the collimator assembly comprises two or more leaves each being independently moveable in synchrony with operation of the x-ray source such that a beam quality of at least one of the first and second pulses of x-rays is modulated by one of the two or more leaves moving in synchronization with an activation of the at least one of the first and second pulses by passing through a thickness of the one of the two or more leaves, wherein the one of the two or more leaves comprises at least a portion that covers an entire field of view of the at least one of the first and second pulses on the body portion being imaged and the portion has a substantially uniform thickness. 20. The method of claim 19 wherein a beam quality of each of the first and second pulses of x-rays is modulated by one of the two or more leaves substantially in real time with the activation of the each of the first and second pulses by passing through a thickness of the one of the two or more leaves, and each of the two or more leaves comprises at least a portion that covers an entire field of view of each of the first and second pulses on the body portion being imaged and the portion has a substantially uniform thickness. 21. The imaging method of claim 19, wherein each of the two or more leaves is configured to modify energy of at least one of the first and second pulses of x-rays. 22. An apparatus, comprising:an x-ray source operable to generate x-ray beams;a collimator comprising one or more leaves configured to modify the x-ray beams, wherein each of at least one of the one or more leaves comprises at least a portion that covers an entire field of view of the x-ray beams on a body portion being imaged or treated, wherein the portion is partially x-ray attenuating configured to substantially uniformly change energy of the x-ray beams penetrating through a thickness of the portion;a motorized system operable to move the one or more leaves independently in or out of the x-ray beams; anda controller configured to synchronize an activation of the x-ray source with an activation of the motorized system wherein the one or more leaves move in synchronization with the activation of the x-ray beams. 23. The apparatus of claim 22, wherein the portion of the at least one of the one or more leaves substantially uniformly stops x-ray photons having a given energy level or range. 24. The apparatus of claim 22, wherein the portion of the at least one of the one or more leaves substantially uniformly stops x-ray photons having energies at or below a given level. |
|
050330748 | abstract | A new and improved microfocus radiography system incorporating a novel x-ray collimating device for eliminating shadow anomalies caused by secondary radiation from materials within the path of x-rays emitting from an x-ray source. The improved system includes a body defining an opening through which primary radiation may pass from a focal spot x-ray source toward a sample, an x-ray window covering the distal end of the opening, x-ray detection means, and an internal collimator to suppress secondary radiation. The window is penetrable by primary radiation passing through the opening with negligible generation of secondary radiation. The collimator defines an aperture and is disposed along the path of the radiation between said focal spot and said window so as to attenuate any passing primary radiation not directly striking the x-ray window. The collimator is formed from a material having a low vapor pressure at temperatures and pressures at which the system is operated. Portions of said collimator exposed to the passing primary radiation are formed from a material selected to attenuate any passing primary radiation not directly striking the x-ray window, and which generates negligible secondary radiation on exposure to said primary radiation. |
062018523 | summary | BACKGROUND OF THE INVENTION The present invention relates to irradiation systems, generally and, more particularly, but not by way of limitation, to methods and means of variably attenuating radiation. When radionuclides are administered for diagnostic purposes in nuclear medicine, the absorbed doses received by the critical organs and tissues of the target are usually sufficiently low that the biological effects cannot be measured with any reliability. In these instances, reliance solely on calculated absorbed doses may be appropriate and sufficient for risk estimations and comparison of the relative merits of different radiopharmaceuticals. However, when radionuclides are administered for therapeutic purposes, or in cases involving accidental ingestion of high levels of radioactivity, dependence on untested absorbed dose calculations can lead to serious errors in predicting the biological consequence of the radiation exposure. Such concerns are particularly relevant to complex biological systems, such as the bone marrow. For example, computational bone marrow dosimetry techniques used in radioimmunotherapy have failed to yield a reasonable correlation between absorbed dose and biological response of the marrow. The shortcomings and failures of existing techniques may include, among others, the following reasons: the underlying assumptions in the absorbed dose calculations; differences in dose rate patterns; prior treatment history and bone marrow reserve; and nonuniform activity distributions in the marrow compartment. These problems are not unique to bone marrow, but can also exist for other organs and tissue as well. Hence, in view of the limitations inherent in computational dosimetry, a need exists for reliable biological dosimeters to verify the computational methods. It is well known that the biological effect of a given radiation insult is highly dependent on factors such as total absorbed dose, dose rate, linear energy transfer (LET) of the radiations, and radiosensitivity of the tissue. See: ICRP, RBE for Deterministic Effects, Publication 58, International Commission on Radiological Protection, Pergamon, Oxford (1989); and ICRP, 1990 Recommendations, Publication 60, International Commission on Radiological Protections, Pergamon, Oxford (1991); both of which are incorporated by reference herein in their entirety. While the consequences of these variables are well established for acute and constant chronic radiation exposure conditions, little is known about the role of these variables for exposures involving internal radionuclides. Also see: Testa, et al., Biomedicine, 19:183-186 (1973); Wu, et al., Int. J. Radiat. Biol., 27:41-50 (1975); and Thames, et al., Br. J. Cancer, 49, Suppl. VI:263-269 (1984); all of which are incorporated by reference herein in their entirety. Internal radionuclides are unique in that they deliver radiation exposures at dose rates that vary exponentially in time as determined by the effective half-time, which in turn is dictated by the physical half-life of the radionuclide and the biological half-time of the radiochemical. Further complications to the dose rate pattern can emerge when the uptake of the radiochemical by the tissue is slow, followed by a complex multicomponent exponential clearance pattern. Although the total dose delivered to a tissue may be the same, differences in dose rate patterns from one radiochemical to another can have a major impact on the biological response of the tissue. See: Fowler, Int. J Radiat. Oncol. Biol. Phys., 18:1261-1269 (1990); Langmuir, et al., Med. Phys., 20, Pt. 2:601-610 (1993); Rao, et al., J. Nucl. Med., 34:1801-1810 (1993); and Howell, et al., J. Nucl. Med., 35:1861-1869 (1994); all of which are incorporated by reference herein in their entirety. Such differences cannot always be predicted a priori using computational absorbed dose estimates and extrapolations based on the response to acute and chronic exposure at constant dose rates. Therefore it is imperative to develop experimental irradiators that are capable of precisely delivering exposure that simulate the conditions encountered with internal radionuclides and to establish biological endpoints that can serve as "dosimeters" so that the consequence of different dose rate patterns on the biological effect can be investigated. Two endpoints which may serve as biological dosimeters are survival of bone marrow granulocyte-macrophage colony-forming cells (GM-CFC) and induction of micronuclei in peripheral blood reticulocytes. See: Testa, Cell Clones: Manual of Mammalian Cell Techniques, Edinburgh: Churchill-Livingstone, 27-43 (1985); and Lenarczyk, et al., Mutation Res., 335:229-234 (1995); both of which are incorporated by reference herein in their entirety. DESCRIPTION OF THE RELATED ART U.S. Pat. No. 5,148,463 issued to Mulder et al. discloses an X-ray filter which is lens-like and filled with a liquid whereby variations in the thickness of the liquid provides varying amounts of attenuation for image compensation. The filter thickness is adjustable by the supply and the discharge of the liquid. Fluid is supplied to or withdrawn from the filter by a pump until a uniform radiation image is achieved. It should be noted that Mulder et al. fails to disclose selectively metering the attenuation or delivery of radiation, and also fails to disclose adjustment of the radiation achieved by a siphon effect. U.S. Pat. No. 4,481,419 issued to Persyk discloses the attenuation of radiation with a changeable volume of mercury disposed within a reservoir. A radiation transmitting housing includes a fluid chamber and means for selectively adjusting the shape of the fluid chamber as to vary the configuration of the radiation pattern. However, the fluid chamber is wedge-shaped and the adjusting means varies the internal angle of the wedge. A reservoir cavity is incorporated into the fluid chamber, but the reservoir is provided to accommodate changes in the volume of fluid material needed to feed the wedge portion and that due to fluid temperature changes. Radiation is attenuated by thickness of the fluid material. A fluid chamber is preferably filled with mercury, then sealed. However, once adjusted and set, the fluid chamber can not be varied. It should be noted that Persyk fails to disclose selectively metering the attenuation or delivery of radiation, and also fails to disclose adjustment of the radiation achieved by a siphon effect. U.S. Pat. No. 3,755,627 issued to Edholm et al. discloses the use of a mercury attenuator for providing image compensation. The compensating filter device includes a radiation absorbing medium consisting of a liquid enclosed in a thin flat chamber, wherein the radiation absorbing liquid may be mercury or some other liquid metal or solution or stable suspension of a radiation absorbing substance, such as an aqueous solution of cesium acetate. The flat chamber has an upper wall consisting of a resiliently flexible diaphragm whose contour is adjusted by a polarity of wires attached to the diaphragm. The thickness of the liquid layer follows the contour of the flexible diaphragm. It should be noted that Edholm et al. fails to disclose selectively metering the attenuation or delivery of radiation, and also fails to disclose adjustment of the radiation achieved by a siphon effect. U.S. Pat. No. 4,446,570 issued to Guth discloses a radiation collimator which includes internal cavities which are filled with radiation opaque fluid, such as mercury. The fluid fills the spaces between the pins within a toroidal-shaped chamber, thereby providing a vertical multi-channel parallel collimator which serves as a mask for outlining the field of view of the radiation detector. A toroidal recess which forms a raised ring around the periphery of the upper internal surface functions as an expansion chamber to accommodate changes in volume of the mercury due to changes in temperature. Fluid is introduced into the cavities, and the chamber is sealed. The introduction of fluid can be assisted by evacuating the cavities, such as by a vacuum pump. It should be noted that Guth fails to disclose selectively metering the attenuation or delivery of radiation, and also fails to disclose adjustment of the radiation achieved by a siphon effect. U.S. Pat. No. 4,497,062 issued to Mistretta et al. discloses a digitally controlled X-ray attenuator and a method for its use in which a control responsive ink-jet printer prints pixels containing various proportions of attenuation substances in order to form compensation masks for X-ray imaging. It should be noted that Mistretta et al. fails to disclose selectively metering the attenuation or delivery of radiation, and also fails to disclose adjustment of the radiation achieved by a siphon effect. U.S. Pat. No. 5,559,853 issued to Linders et al. discloses an X-ray filter in which electrodes in a matrix are selectively energized in order to distribute X-ray absorption particles, electrophoretically, in a compensation filter. The filter has a number of electrodes and grains or powder particles containing an X-ray absorbing material and suspended in a suspension liquid. When a voltage is applied to the electrodes, the X-ray absorbing material and the suspension will move toward the electrodes due to electrophoresis, and a distribution corresponding to a X-ray absorption profile can be achieved by a suitable voltage pattern. It should be noted that Linders et al. fails to disclose selectively metering the attenuation or delivery of radiation, and also fails to disclose adjustment of the radiation achieved by a siphon effect. OBJECTS AND SUMMARY OF THE INVENTION It is an object of the present invention to provide method and means of attenuating radiation. It is another object of the present invention to provide a method and means of attenuating radiation in a highly controlled or selectively metered manner. It is still another object of the present invention to provide a method and means of delivering radiation according to user defined input or input parameters or pre-selected schedules. It is yet another object of the present invention to provide a method and means capable of attenuating radiation in a temporally variable manner. It is another object of the present invention to provide a method and means for delivering radiation exposures at dose rates that vary exponentially in time. It is yet another object of the present invention to provide a means of delivering radiation exposure. It is a further object of the present invention to provide a means of delivering radiation exposure which simulates conditions encountered with internal radionuclides. It is still another object of the present invention to provide a method and means of attenuating radiation by controlling the level of a radiation-blocking liquid layer by siphon effect. Another object of the present invention is to provide a method and means to investigate the biological response of bone marrow to chronic exponentially decreasing dose rates encountered in therapy with bone-seeking radiochemicals having different effective half-lives, and hence different dose rate patterns. It is another object of the present invention to provide a method and means of verifying absorbed dose calculations. It is yet another object of the present invention to provide a method and means of determining how the biological effects caused by complex dose rate patterns correlate with variables such as initial dose rate, effective half-times, and other factors associated with radiation dosing. It is yet another object of the present invention to provide a method and means of calibrating biological dosimeters. Other objects of the present invention, as well as particular features, elements, and advantages thereof, will be elucidated in, or be apparent from, the following description and the accompanying drawing figures. The present invention achieves the above objects, among others, by providing, a method and means for variably attenuating radiation The present invention provides, in a particular embodiment, a variable attenuation apparatus for use with a radiation-blocking liquid and a radiation source. The apparatus includes an attenuation chamber capable of containing a layer of the radiation-blocking liquid and an adjustment means for selectively metering the thickness of the layer of the radiation-blocking liquid, whereby changes in the thickness of the layer alter the radiation transmitted through the attenuation chamber. The adjustment means may further include a reservoir capable of containing the radiation-blocking liquid and a siphon connection means for allowing the transfer of the radiation-blocking liquid between the reservoir and the attenuation chamber, wherein the thickness of the layer in the attenuation chamber is a function of the difference in elevation between the top of the layer in the attenuation chamber and the top of the liquid in the reservoir, whereby an increase in the thickness of the layer causes a drop in the radiation transmitted through the attenuation chamber. In a particular embodiment, a substantially linear increase in the thickness of the layer in the attenuation chamber yields a substantially exponential drop in the radiation dose rate transmitted through the attenuation chamber. Preferably, the elevation of the attenuation chamber is substantially fixed and the reservoir is vertically moveable, whereby changes in the radiation dose rate transmitted through the attenuation chamber are a function of changes of the elevation of the reservoir. The adjustment means further preferably includes a control means for controlling the movement of the reservoir, thereby providing control of the radiation transmitted through the attenuation chamber. The control means may further preferably include means for maintaining at least a minimum liquid thickness in the reservoir, and means for preventing the level of the liquid in the reservoir from rising above a maximum liquid height. Moreover, the control means may include means for specifying a desired dose rate pattern, such as an exponential dose rate pattern. The adjustment means further preferably includes a movable support means for supporting the reservoir and for adjusting the elevation of the reservoir relative to the attenuation chamber, such as a platform and drive means for vertically moving the platform. The drive means may include a shaft connected to the platform, a stepper motor connected to the shaft, and a stepper motor control means for receiving instructions from the control means and for sending motor control signals to the stepper motor. Preferably, the radiation-blocking liquid is liquid mercury. The apparatus further preferably includes a mutual vent means connecting the attenuation chamber and reservoir above respective maximum liquid levels for allowing an equalization of gas pressure therebetween. Furthermore, the present invention achieves the above objects, among others, by providing, in a particular embodiment, a method for delivering varying temporal radiation dose rates using an adjustable irradiator system, the system comprising a radiation source, a reservoir containing a radiation-blocking liquid, and an attenuation chamber connected to the reservoir by a siphon coupling and disposed in front of the radiation source, the method including selectively adjusting the elevation of the reservoir relative to the attenuation chamber and allowing the radiation-blocking liquid to seek a common level in the attenuation chamber and in the reservoir, thereby selectively adjusting the thickness of the radiation-blocking liquid in the attenuation chamber, whereby changes in the radiation dose rate transmitted through the attenuation chamber are a function of changes in the thickness of the radiation-blocking liquid in the attenuation chamber. The system is thus capable of administering a metered dose of radiation. The method further preferably includes selectively adjusting the elevation of the reservoir to cause an exponential rate of change in the radiation transmitted through the attenuation chamber. Preferably, a substantially constant rate of change in the level of the liquid in the reservoir causes a substantially constant rate of change in the level of the liquid in the attenuation chamber. Moreover, a substantially linear change in the thickness of the layer preferably causes a substantially exponential change in the radiation dose rate transmitted through the attenuation chamber. The method may also include maintaining a minimum liquid thickness in the attenuation chamber. The method may further include preventing the level of the liquid in the attenuation chamber from rising above a maximum liquid level. The present invention comprises a radiation attenuation apparatus and method which allows adjustment of the level of the radiation blocking liquid in finite increments thereby allowing the use of radiation-blocking fluids having the ability to attenuate high levels of radiation at a minimal fluid thickness. Such an apparatus and method allow for the attenuation means to be used in environments where a small-sized attenuator means is required. Furthermore, the present invention achieves the above objects, among others, by providing, in a particular embodiment, an adjustable irradiator system for use with a radiation-blocking liquid, the system including a radiation source and a variable attenuator means for intercepting at least a portion of the radiation emitted from the radiation source and for selectively blocking at least a part of the intercepted radiation with the radiation-blocking liquid, wherein the variable attenuator means is capable of transmitting at least another part of the intercepted radiation. The system is preferably capable of delivering exponentially varying temporal radiation dose rates. The variable attenuator means further preferably includes an attenuation chamber containing a layer of the radiation-blocking liquid and an adjustment means for adjusting the thickness of the layer, whereby changes in the thickness of the layer alter the radiation transmitted through the attenuation chamber. The system is thus capable of administering a metered dose of radiation. The system may also include a target means having at least one target station capable of receiving radiation transmitted through the attenuation chamber. The distance between the target station and the attenuation chamber may be adjustable. Furthermore, the target means may include a plurality of spaced apart target stations, wherein each station is disposed a different respective distance away from the attenuation chamber, whereby the target stations are capable of simultaneously receiving different respective radiation rates from the attenuation chamber. |
description | This application claims the benefit of and priority to U.S. Provisional Patent Application Ser. No. 60/494,222 filed Aug. 11, 2003, entitled “Method and Apparatus for Optimization of Collimator Angles in Intensity Modulated Radiation Therapy Treatment.” Applicant incorporates by reference U.S. Pat. No. 5,596,619, entitled “Method and Apparatus for Conformal Radiation Therapy”, issued Jan. 21, 1997, and U.S. Pat. No. 5,802,136, entitled “Method and Apparatus for Conformal Radiation Therapy”, issued Sep. 1, 1998, which are both commonly assigned to the assignee of the present invention. 1. Field of the Invention The invention relates to a method and apparatus for intensity modulated radiation therapy treatment, and more specifically, a method and apparatus for optimization of collimator angles for multileaf collimators (“MLC”) used in intensity modulated radiation therapy treatment. 2. Description of the Related Art When determining collimator angles in intensity modulated radiation therapy treatment, or intensity modulated radiotherapy, (“IMRT”) inverse treatment plans for use with a MLC radiation delivery system, the most common practice currently is to select collimator rotation angles so that the MLC can be best conformed to the shape of the target, or lesion, in the radiation beam's eye view, or beams eye view (“BEV”). The algorithm used is based upon Brahme's orientation theory, by which the conformity for targets is prioritized. When using this algorithm, no consideration is given to delivery efficiency, e.g., reduction of the number of segments and monitor units (“MU”). Note, the beams eye view is a view from the perspective of the opening in the multi-leaf collimator along an axis of the radiation beam. Note also, the number of segments are considered reduced when adjacent segments have substantially the same intensity level. A reduction in MU's is a reduction in the amount of radiation delivered to the target. Accordingly, prior to the development of the present invention, there has been no method or apparatus for determining the collimator angle before optimization in inverse treatment planning system, which favors, or enhances delivery efficiency, such as by reducing the number of segments and MUs. Therefore, the art has sought a method and apparatus for determining the collimator angle before optimization in an inverse treatment planning system which favors, or enhances, the delivery efficiency by reducing the number of segments and MUs. In accordance with the invention, the foregoing advantages have been achieved through the present method and apparatus for optimization of collimator angles in IMRT inverse treatment planning systems. Embodiments of the present invention utilize a new algorithm to determine collimator angles in favoring, or enhancing, IMRT radiation therapy treatment plan delivery efficiency. The number segments and MUs can be reduced using the set of collimator angles determined by utilizing the new algorithm, without compromising treatment plan quality. Embodiments of the present invention also include a cost function obtained by combining the prior algorithm based upon Brahme's orientation theory with the algorithm utilized in the present invention. Through use of embodiments of the present invention, including utilizing the new algorithm, the number of segments and MUs may be reduced, particularly for IMRT treatment planning systems currently in use. Advantageously, embodiments of the present invention include a method and apparatus that provide benefits to certain MLCs currently in use, since the method and apparatus of the present invention seek to minimize the maximum travel distance of MLC leaf pairs. More specifically, in embodiments of the present invention advantageously methods are provided to determine a collimator angle of a multi-leaf collimator having an opening and a plurality of multi-leaf collimator pair leafs for closing portions of the opening to form a radiation beam arrangement having a plurality of radiation beam segments to apply radiation to a tumor target. For example, an embodiment of the present convention includes a method, preferably being computer-implemented, which includes calculating an initial radiation beam arrangement according to a desired prescription to determine a radiation beam delivery angle (gantry angle of rotation for a linear accelerator). This radiation beam arrangement is updated or changed by incorporating a first function, generally in the form of a cost function, to determine an optimum collimator angle of the multi-leaf collimator. The first cost function includes both a second cost function designed to enhance delivery efficiency by reducing at least one of a number of radiation beam segments and reducing a number of radiation beam monitor units required for delivery of the desired prescription, and a third cost function to enhance conformity of the radiation beam arrangement to a target shape as viewed through the opening in the multi-leaf collimator. This view from the perspective of the opening in the multi-leaf collimator along an axis of the radiation beam defines a beams eye view of the multi-leaf collimator. Advantageously, the collimator angle selection need not stop at the first iteration of computing the first function. The change or update in the radiation beam arrangement can be rejected if the change of the radiation beam arrangement significantly leads to a lesser correspondence to the desired prescription. For such occurrence, weights applied to the second and third functions can be adjusted. The change or update of the radiation beam arrangement, however, is generally accepted if the change of the radiation beam arrangement both leads to more radiation delivery efficiency and does not lead to significantly less correspondence to the desired prescription. Also for example, in an embodiment of the present convention, a method includes first determining a treatment plan according to a desired prescription. A value of an area difference between an area of the opening in the multi-leaf collimator which the multi-leaf collimator can define when approaching correspondence with the target shape in the beams eye view of the multi-leaf collimator and an area of the target shape in the same beams eye view of the multi-leaf collimator is then determined for each one of a plurality of discrete collimator angles. A value of a maximum effective length for a multi-leaf collimator pair leaf of the plurality of multi-leaf collimator pair leafs having the maximum effective length can also be determined for each one of the plurality of discrete collimator angles. A sum of the value of the area difference and the value of the maximum effective length for each of the plurality of discrete collimator angles is then determined. A minimum sum value for the sum of the value of the area difference and the value of the maximum effective length for the collimator angle of the plurality of collimator angles having the minimum sum value, is then further determined. An analysis utilizing this function leads to the identification of the collimator angle best suited for application to the treatment plan prior to treatment plan optimization. Depending upon the type or model of radiation delivery system carrying the multi-leaf collimator, the size and shape of the target, and/or whether efficiency or conformity or an intermediate mix thereof are assigned priority, weights can be assigned to the maximum effective length and area difference. By applying a first weight value to the maximum effective length and a second weight value to the area difference prior to determining the minimum sum value, a different collimator angle can be deemed the optimum angle. In an embodiment of the present invention, a similar method includes providing a function, preferably in the form of a cost function, having a first delivery efficiency portion providing for enhanced radiation delivery efficiency and a second target conformity portion providing for enhanced target conformity. After preferably determining a type of radiation delivery system carrying the multi-leaf collimator and determining a size and a shape of the target, a preference can be selected between delivery efficiency and target conformity by assigning weights to the delivery efficiency and target conformity portions of the function. That is, the first delivery efficiency portion of the function includes a delivery efficiency function that determines at each of a plurality of discrete collimator angles a weighted value of a maximum effective length for a multi-leaf collimator pair leaf of the plurality of multi-leaf collimator pair leafs having the maximum effective length. The second target conformity portion of the function includes a target conformity function that determines at each of a plurality of discrete collimator angles a weighted value of an area difference between an area of the opening in the multi-leaf collimator which the multi-leaf collimator can define when approaching correspondence with the target shape in the beams eye view of the multi-leaf collimator and an area of the target shape in the beams eye view of the multi-leaf collimator. A value for the cost function at a selected radiation beam delivery angle incorporating the selected preference is then determined. Determination of the function value directly leads to the determination of the optimum collimator angle at this given radiation beam delivery angle. Because a target is typically treated utilizing multiple radiation beam delivery angles (gantry angles of rotation on a linear accelerator), this process of determining an optimum collimator angle can be repeated for each selected radiation beam delivery angle. Advantageously, an embodiment of the present invention, can be in the form of a computer readable medium that is readable by a computer determining a collimator angle of a multi-leaf collimator having an opening and a plurality of multi-leaf collimator pair leafs for closing portions of the opening to form a radiation beam arrangement having a plurality of radiation beam segments to apply radiation to a tumor target. The computer readable medium includes a set of instructions that, when executed by the computer, causes the computer to perform various operations such as determining a treatment plan according to a desired prescription, determining for each one of a plurality of discrete collimator angles a value of an area difference between an area of the opening in the multi-leaf collimator which the multi-leaf collimator can define when approaching correspondence with the target shape in the beams eye view of the multi-leaf collimator and an area of the target shape in the same beams eye view of the multi-leaf collimator, and determining for each one of the plurality of discrete collimator angles a value of a maximum effective length for a multi-leaf collimator pair leaf of the plurality of multi-leaf collimator pair leafs having the maximum effective length. The instructions can also include determining a sum of the value of the area difference and the value of the maximum effective length for each of the plurality of discrete collimator angles, and a minimum sum value for the sum of the value of the area difference and the value of the maximum effective length for the collimator angle of the plurality of collimator angles having the minimum sum value. This determination allows for the selection of an optimum collimator angle for application to the treatment plan, typically implemented prior to treatment plan optimization. Advantageously, embodiments of the present invention also include an apparatus for use in conformal radiation therapy of a target tumor. The apparatus can include a multi-leaf collimator having a plurality of selectable discrete collimator angles, an opening to pass a radiation beam, and a plurality of multi-leaf collimator pair leafs to close portions of the opening to form a radiation beam arrangement having a plurality of radiation beam segments. The apparatus can also include a computer in communication with the multi-leaf collimator to form the radiation beam arrangement incorporating a function to determine a collimator angle of the multi-leaf collimator to thereby enhance the radiation beam arrangement. The function includes parameters to enhance delivery efficiency by reducing a number of segments and reducing a number of monitor units required for delivery of a desired radiation prescription. These parameters can include a value of a maximum effective length for a multi-leaf collimator pair leaf of the plurality of multi-leaf collimator pair leafs having the maximum effective length. The function can also include parameters to enhance conformity of the radiation beam arrangement to a shape of the target as viewed through the opening in the multi-leaf collimator, a view from the perspective of the opening in the multi-leaf collimator along an axis of the radiation beam defining a beams eye view of the multi-leaf collimator. These parameters can include a value of an area difference between an area of an opening in the multi-leaf collimator which the multi-leaf collimator can define when approaching correspondence with a target shape in the beams eye view of the multi-leaf collimator and an area of the target shape in the beams eye view of the multi-leaf collimator. The apparatus can further include means for selecting a first weight value for the maximum effective length and a second weight value for the area difference, and means for applying the first weight value to the maximum effective length and the second weight value to the area difference prior to determining the minimum sum value. Advantageously, this allows the user to prioritize between delivery efficiency and target conformity. The present invention will now be described more fully hereinafter with reference to the accompanying drawings, which illustrate embodiments of the invention. This invention may, however, be embodied in many different forms and should not be construed as limited to the illustrated embodiments set forth herein. Rather, these embodiments are provided so that this disclosure will be thorough and complete, and will fully convey the scope of the invention to those skilled in the art. Like numbers refer to like elements throughout. Prime notation, if used, indicates similar elements in alternative embodiments. FIG. 1 illustrates the desired results of a presently used treatment plan using an algorithm based upon Brahme's theory, wherein the conformity for targets, or lesions, 20 is prioritized. Present treatment planning systems mathematically minimize the area between the frame 21 shaped by a conventional MLC opening and the edge of the target 20. Multi-leaf collimators (MLCs) currently in use with which the present invention may be utilized, such as, for example, the multi-leaf collimator 40 depicted in FIG. 17, include, among others, MLCs manufactured and/or distributed by Varian Medical Systems, Inc., such as its Millennium MLC Models No. MLC-120, MLC-80, and MLC-52, which correspond to MLCs having 120, 80, and 52 leaves; and/or MLCs of Siemens AG and/or Siemens Medical Systems, Inc. The algorithm utilized in embodiments of the present invention is based upon two hypotheses: (1) that the maximum number of segments in a radiation beam is dominated, or determined, by the MLC leaf pair of a plurality of leaf pairs 41 (FIG. 17) which delivers the maximum number of beamlets, or radiation beamlets; and (2) that the number of segments in a pair of MLC leaves is proportional to an effective leaf travel distance (the number of pencil beamlets) defined by: le = ( 1 + n - 1 k ) ∑ i - 1 n m i wherein n is the number of separated target regions in the path of the MLC leaf pair, or leaf travel distance of an individual MLC leaf pair; mi is the leaf travel distance in the ith isolated target region for the MLC leaf pair; and k is the weight factor to account for multi isolated regions in the path over which the MLC leaf pair sweeps. In the algorithm used in embodiments of the present invention, the collimator angles are chosen so that the maximum amount of movement in individual MLC leaf pairs, at a certain collimator angle, is a minimum, as the maximum number of segments in a beam is largely determined by the MLC leaf pair which performs the maximum number of segments. The cost function to favor, or enhance, delivery efficiency, the reduction of segments, in determination of the collimator angle is:f(θo)=min{max le(θ)]}where (θ) is the collimator angle varying from 0 to 180, 1 degree/step, and (θo), is the optimized angle. The procedure is to search the maximum effective length of MLC pairs in a certain collimator angle, and then find the minimum values from, in this example, the 180 maximum effective lengths. Combining the new algorithm utilized in embodiments of the present invention with the algorithm based upon Brahme's theory, the cost function to determine the collimator angle is:f(θo)=min{A max[le(θ)]+Bσ(θ)}where σ(θ) is the area difference between what an MLC can define and the target area, and A and B are weight factors used in the algorithm to select a focus between delivery efficiency and conformity. The computations associated with the cost function to determine the optimum collimator angle and related software 43 (FIG. 17) can be processed on a computer or other computational device known to those skilled in the art and which can be associated with the selected radiation delivery apparatus. For example, computer 45 (FIG. 17) generally having a processor 47 and memory 49, and software 43 stored in the memory 49, can be used for such purpose. Computer 45 can include various input devices and/or displays (not shown) or function as a server connected to a remote terminal. Computer 45 is typically connected to a controller 51 to control the multi-leaf collimator 40. Further, the software 43 to perform such computations can be stored on various other forms of storage media known to those skilled in the art, such as, for example, computer hard drives, compact discs, and removable drives, and is preferably associated with the treatment planning software. With reference to FIG. 2, the area, which the MLC can define, is outlined by lines 30, which is the rectangular shaped area defined by the MLC leaves, and the target area 31 is shown as having an irregular shape defined by lines 32. The user of the method and apparatus of embodiments of the present invention can choose what is preferred by adjusting the weight factors A and B. For example, radiation treatment plans using intensity modulated radio surgery (“IMRS”) may prefer to treat a patient with a system utilizing the algorithm based upon Brahme's theory. Alternatively, in a radiation therapy treatment plan for a large target or targets, the reduction of the number of segments and MUs might be prioritized. The reduction of the number of segments and MUs, which are indicative of IMRT delivery efficiency, relies upon the shape and size of the target or targets, and the number of modulation levels utilized. For example, the following illustrates a case for the treatment of prostate cancer, two targets (the prostate and the seminal vesicles) and three organs at risk (“OAR”s) (the rectum, bladder, and femoral heads) are contoured. Seven radiation beams, ten modulation levels, and a six MV linear accelerator are used. Utilizing the method and apparatus of the present invention, the number of segments was reduced forty-two (42%) percent, twenty-nine (29%) percent, and twenty-six (26%) percent and the number of MUs were reduced forty-one (41%) percent, thirty-five (35%) percent and thirty-four (34%) percent, when 102 leaf, 80 leaf, and 52 leaf MLCs of Varian Medical Systems, Inc., were used, respectively, as shown in FIG. 3. In FIG. 3, the number of MUs and segments, as well as angle differences from IMRT treatment plans utilizing the method and apparatus of the present invention, including the new algorithm, are compared with treatment plans utilizing the algorithm based upon Brahme's theory. In FIG. 3, all values are normalized by the values used in the treatment plan with Brahme's algorithm. Still with reference to FIG. 3, the comparison includes a thirty two (32%) percent segment reduction and an MU reduction of fourteen (14%) percent with a Siemens MLC utilizing IMFAST® computer software of Siemens Medical Systems, Inc. It can also be seen that the larger the angle differences between plans utilizing the two algorithms, the greater reduction of the number of segments of MUs. Still with reference to FIG. 3, the “angle difference” is defined as the ratio of the collimator angle difference in the treatment plans utilizing the new algorithm and the Brahme's algorithm to that 90 times the number of beams. With reference to FIGS. 4–7, a comparison of IMRT radiation treatment plans using the method and apparatus of the present invention, including the new algorithm, as compared with a treatment planning system using the Brahme's algorithm is illustrated in terms of target conformity and inhomogeneity indexes. Two sets of IMRT radiation therapy treatment plans were generated with two target shapes, a cube and an ellipsoid. The number of segments and MUs were compared when the collimator angle was set at either where the le was a minimum or a maximum. The amount of segmentation and MU reduction which can be achieved by manipulating the collimator angle alone are illustrated in connection with FIGS. 8 and 9 for different MLC leaf-sequencing algorithms and different sizes of the pencil beamlets, as will be hereinafter described in greater detail. In the beams eye view (“BEV”) of the collimator, as shown in FIGS. 10 and 11, a three dimensional target, such a cube or ellipsoid, are projected onto two dimensions. The shortest dimension of the target is along the axis when θ=0. The IMRT radiation therapy treatment planning system utilized in connection with FIGS. 8–11 is a CORVUS®5 planning system of NOMOS Corporation. When the collimator angle is set at 0, the MLC leafs move along 90 degrees in the IEC coordinate system utilized by CORVUS®5. The target in both sets of plans was prescribed a dosage of 2Gy and with no margin for uncertainties. The optimizer of the CORVUS®5 was a continuous annealer. The first set of plans used a 100 mm cube as a target, with no OARs. The gantry angles were chosen so that the projections of the cube in the BEV have different dimension ratios (minimum dimension/maximum dimension). Five beams were used at gantry angles of 0, 67, 85, 135, and 169 degrees, which correspond to dimension ratios of 1.4, 1.6, 1.7, 1.5, and 1.47. The collimator angles in the plans when le is a minimum or 90 degrees while 45, 41, 38, 43, and 44 degrees resulted in maximum le. The results for the first set of treatment plans with a cube target are set forth in the table of FIG. 8, in which the ratio is defined as the value at the collimator angle when le is a minimum divided by that when le is a maximum. For the treatment plans with an ellipsoid target, the results are summarized in the table of FIG. 9. The treatment plan formulated with the STANDARD™ leaf-sequencing algorithm for a 120 leaf MLC of Varian Medical Systems, Inc. (0.5×0.5 cm pencil beamlet) and a Varian 80 leaf MLC (1×1 cm beamlet) have the largest segmentation and MU reduction (34 percent and 26 percent, respectively) when setting the collimator angle with the algorithm utilized in the method and apparatus of the present invention. When the treatment plan is based upon the IMFAST® leaf-sequencing algorithm of Siemens with a Siemens 54 leaf MLC, the reduction of segments and MUs is less significant. In connection with clinical case studies, the following parameters are hereinafter defined below: Mu Ratio No . MU in the plan using the new algorithm No . MU in the plan using Brehme’s Seg . Ratio No. Segments in the plan with the new algorithm No. Segments in the plan using Brehme’s Angle similarity = ( 1 - Colli . angledifference 90 * no . ofbeams ) * 100 % To further illustrate the advantages of the method and apparatus of the present invention incorporating the new algorithm, FIGS. 12–16 show the results of two clinical cases which were investigated, those being a prostate and a complicated head and neck case. The prostate case had two targets, the prostate and seminal vesicles, and three OARs, which were the bladder, rectum, and femoral heads. The total target volume was 170 cc. Seven beams and eleven modulation levels were used. The optimizer again was a continuous annealer and no margin was given to the targets and OARs. In the prostate plan, the collimator angle similarity was defined by: ( 1 - ∑ i = 1 j θ i 90 * j ) * 100where (θj) is the collimator angle difference at individual gantry angles between using the Brahme's algorithm and the algorithm of the present invention, and j is the number of beams. With reference to FIG. 12, a comparison is illustrated of the ratio of the number of MUs, segments, and collimator angle similarity between the plan using Brahme's algorithm and that using the algorithm of the present invention, all values normalized by the values of the plan with Brahme's algorithm. The number of MUs and segments has been reduced dramatically utilizing the method and apparatus of the present invention incorporating the new algorithm. The target conformity indexes (“CI”) for both prostate and seminal vesicles are illustrated in the graphs of FIGS. 13 and 14, and in tabular form in FIG. 15. The improvement in conformity index for MLC's directed by treatment plans using the new algorithm was more significant for MLCs directed by treatment plans utilizing the Brahme's algorithm and having a lower conformity index. In the complicated head and neck case, three large targets, totaling approximately 490 cc, with 12 OARS, were the subject of an IMRT treatment plan. Seven beams and a continuous annealer were used. Eleven modulation levels were utilized, and no margin was given to either targets or OARS. The maximum dimension of the targets was 23.5 cm. The comparison of the number of MUs and segments, as well as the collimator angle similarity, is illustrated in the graph of FIG. 16. The less the collimator angle similarity, the more significant the reduction in MUs and segments with a treatment plan incorporating the new algorithm. In view of the foregoing non-clinical and clinical examples, it is seen that the method and apparatus of the present invention, utilizing the new algorithm herein, can reduce the number of segments and MUs without compromising the treatment plan quality. The reduction of the number of segments and MUs is generally more effective for: (1) the STANDARD™ leaf-sequencing algorithm based on the work of Bortfeld for the Varian MLC; (2) large targets; and (3) small pencil beamlets. The results from the non-clinical and clinical cases would appear to show that treatment plans using the IMFAST® leaf-sequencing algorithm do not obtain as much reduction of MUs and segments as those using the STANDARD™ algorithm. Advantageously, since the algorithm of the method and apparatus of the present invention minimizes the maximum leaf travel distance, the application of the algorithm to dynamic MLC delivery (sweeping algorithm based on Borfeld's work) and direct aperture optimization (“DAO”) would possibly lead to faster IMRT plan delivery. In the drawings and specification, there have been disclosed a typical preferred embodiment of the invention, and although specific terms are employed, the terms are used in a descriptive sense only and not for purposes of limitation. The invention has been described in considerable detail with specific reference to these illustrated embodiments. It will be apparent, however, that various modifications and changes can be made within the spirit and scope of the invention as described in the foregoing specification and as defined in the appended claims. For example, the discussion primarily focused determining a rotational angle of the multi-leaf collimator for a beam delivery iteration at a single radiation beam delivery angle (gantry angle of rotation for a linear accelerator). In practice, multiple beam delivery iterations at varying radiation beam delivery angles are required for a single radiation treatment session according to a radiation treatment plan. |
|
summary | ||
description | 1. Field Some embodiments described herein relate generally to radiation treatment, and more particularly, to methods, apparatus and computer readable mediums for use in accounting, at least in part, for changes in a position of a tumor or other target volume within a patient. 2. Description According to conventional radiation therapy, a beam of radiation is directed toward a tumor located within a patient. The radiation beam delivers a predetermined dose of therapeutic radiation to the tumor according to an treatment plan. The delivered radiation kills cells of the tumor by causing ionizations within the cells. Recent advances in fractionated external beam radiation therapy, such as three-dimensional conformal and intensity-modulated radiation therapy (IMRT), have increased the ability to deliver radiation doses that conform tightly to a target volume. This tight conformance results in steep dose gradients inside the volume. For example, IMRT can create a dose gradient of 10% mm−1 inside a target volume. A treatment plan is designed assuming that a target volume will be in a particular position relative to a beam source during treatment. If the target volume is not positioned exactly as assumed by the treatment plan, the steep gradient may occur within sensitive healthy tissue surrounding the volume causing destruction of healthy tissue while sparing some malignant tissue. Thus, it is increasingly important to precisely position the target volume with respect to the beam source. It is not unusual for the target volume to change position within the patient (e.g., to translate along one or more axes and/or rotate about one or more axes) after a treatment plan is designed but prior to performing the treatment. In order to know current location of the target volume with respect to the external beams, three-dimensional imaging of the patient is often provided immediately prior to treatment delivery (i.e., when the patient is on the treatment table). Systems attempting to provide such imaging include: (1) a “CT on rails” system, requiring an additional diagnostic computed tomography machine in the treatment room; (2) a kilovoltage cone beam CT (kVCBCT) system, consisting of an additional kilovoltage X-ray source and detector attached to a treatment gantry; (3) a megavoltage cone beam CT (MVCBCT) system using the pre-existing treatment machine and an EPID for imaging; (4) a MVCT system, using the pre-existing treatment machine with an attached arc of detectors; (5) a tomotherapy system, replacing the traditional treatment machine with a CT ring and a MV beam source; and (6) a pre-treatment magnetic resonance imaging (MRI) of the patient. From pre-treatment imaging, a shift of the target volume with respect to the external beams can be found and the patient position is adjusted in order to position the tumor targets in the intended planned position with respect to the external beams. Typically, the shift of such target volumes can be modeled as rigid body rotation around along three orthogonal axis and rigid body translation along three orthogonal axis. Adjustments of the patient position typically involve movement of a radiotherapy couch. For example, if the treatment system uses a robotic couch having six degrees of freedom (e.g., translation along three axes and rotation about three axes), the patient may be placed on the robotic couch and the couch may be actuated so as to move the patient to a position at which the tumor has a position, relative to the treatment system, that is the same as that used in defining the radiation treatment plan. If the treatment system uses a table having only four degrees of freedom (e.g., translation along three axes and rotation about one axis), the positions of the table, the gantry and the collimator may be each adjusted for each planned beam, such that the tumor, the gantry and the collimator have the same relative positioning as defined by the treatment plan. (See Yue et al., A method to implement full six-degree target shift corrections for rigid body in image-guided radiotherapy. Medical Physics, 33(1):21-31, January 2006.) Alternately, without moving a treatment couch, the collimator may be rotated and leaves and jaws of the collimator for a beam may be repositioned to match a current position and shape of the target volume with respect to the beam and the dose is then recomputed. (See Ludlum et al., An algorithm for shifting MLC shapes to adjust for daily prostate movement during concurrent treatment with pelvic lymph nodes, Med Phys. 2007 December; 34(12):4750-6. See also Erik-Jan Rijkhorst et al. Strategy for online correction of rotational organ motion for intensity-modulated radiotherapy of prostate cancer. International Journal of Radiation Oncology*Biology*Physics, 69:1608-1617, 2007). A disadvantage of the above method described in Yue et al. for systems that use a table having only four degrees of freedom is that the table position must be changed for each beam. This can have the effect of increasing the time needed to perform the treatment. Moreover, in some embodiments, any change to the position of the treatment table has the potential to disturb the position of the patient relative to the table. A disadvantage of the above method described in Ludlum et al. is that the dose must be recomputed, which can be time consuming. Some embodiments described herein provide a method, an apparatus, and/or an article for use in association with radiation treatment, and more particularly, for use in accounting, at least in part, for changes in a position of a tumor or other target volume within a patient. Some embodiments described herein overcome one or more of the disadvantages described above. In one aspect, a method includes: receiving, in a processor, information indicative of (i) a treatment plan defining planned treatment beams, (ii) a patient volume relative to a reference, (iii) ideal intersections of the planned treatment beams with the patient volume at the time the patient is to be treated, (iv) any constraints that prevent achievement of the recommended repositioning using only the patient support, (v) an allowable change to a gantry position from a planned value and an allowable change to a collimator position from a planned value; defining, in the processor, a plurality of alternatives based at least in part on the information indicative of any constraints of the patient support and the information indicative of allowable movement of the gantry and collimator, each alternative defining a modified patient support position and modified beams, each modified beam being based at least in part on a respective one of the planned treatment beams, a change to the position of the gantry for the respective planned treatment beam and a change to the position of the collimator for the respective planned treatment beam; determining, in the processor, for each modified beam of each alternative, an intersection of the patient volume and the modified beam, with the patient volume positioned on the patient support and the patient support having the modified patient support position defined by the alternative; and defining, in the processor, for each alternative, a measure of difference between the ideal intersections and the intersections for the modified beams of the alternative. In one aspect, an apparatus includes a processor to: receive information indicative of (i) a treatment plan defining planned treatment beams, (ii) a patient volume relative to a reference, (iii) ideal intersections of the planned treatment beams with the patient volume at the time the patient is to be treated, (iv) any constraints that prevent achievement of the recommended repositioning using only the patient support, (v) an allowable change to a gantry position from a planned value and an allowable change to a collimator position from a planned value; define a plurality of alternatives based at least in part on the information indicative of any constraints of the patient support and the information indicative of allowable movement of the gantry and collimator, each alternative defining a modified patient support position and modified beams, each modified beam being based at least in part on a respective one of the planned treatment beams, a change to the position of the gantry for the respective planned treatment beam and a change to the position of the collimator for the respective planned treatment beam; determine for each modified beam of each alternative, an intersection of the patient volume and the modified beam, with the patient volume positioned on the patient support and the patient support having the modified patient support position defined by the alternative; and define for each alternative, a measure of difference between the ideal intersections and the intersections for the modified beams of the alternative. In one aspect, an article includes: a processor readable storage medium having stored thereon instructions that if executed by a processor, result in the following: receiving information indicative of (i) a treatment plan defining planned treatment beams, (ii) a patient volume relative to a reference, (iii) ideal intersections of the planned treatment beams with the patient volume at the time the patient is to be treated, (iv) any constraints that prevent achievement of the recommended repositioning using only the patient support, (v) an allowable change to a gantry position from a planned value and an allowable change to a collimator position from a planned value; defining a plurality of alternatives based at least in part on the information indicative of any constraints of the patient support and the information indicative of allowable movement of the gantry and collimator, each alternative defining a modified patient support position and modified beams, each modified beam being based at least in part on a respective one of the planned treatment beams, a change to the position of the gantry for the respective planned treatment beam and a change to the position of the collimator for the respective planned treatment beam; determining for each modified beam of each alternative, an intersection of the patient volume and the modified beam, with the patient volume positioned on the patient support and the patient support having the modified patient support position defined by the alternative; and defining for each alternative, a measure of difference between the ideal intersections and the intersections for the modified beams of the alternative. In some embodiments, each alternative comprises a potential setup correction that involves a one-time movement (translation and/or vertical rotation) of the patient support and planned-beam-specific modification of gantry and collimator angle. Some embodiments select an alternative having a minimum difference with respect to the ideal intersections to compensate, at least in part, for a six-degree of movement of the tumor or other target volume within the patient. In some embodiments, each treatment segment of each radiotherapy beam emanates from a beam limiting device and produces a pyramidal shaped intersection with the patient volume. In some embodiments, the pyramidal shape has a polygonal shaped base at an isocentric plane. In some embodiments, a measure of geometric error is used to compute the difference between each possible set-up correction and the ideal setup correction. In some embodiments, the measure of geometric error is based on the Euclidean distance between corresponding points of the pyramidal intersections generated by the proposed and ideal pyramidal intersections. In some embodiments, the measure of geometric error is based on the Euclidean distance between tips of the pyramidal intersections generated by the proposed and ideal pyramidal intersections and on the Euclidean distance between corresponding corner points of the polygonal shaped base of the pyramidal intersections generated by the proposed and ideal pyramidal intersections. In some embodiments, the geometric error for a treatment segment may be weighted by the intended dose to be delivered by the segment. In some embodiments, an alternative that produces the least dose-weighted geometric error, is obtained using an optimization procedure. In some embodiments, the modified patient support position defined by each alternative is the same. In some embodiments, the amount of change to the gantry position defined by each alternative is the same. In some embodiments, the amount of change to the collimator position defined by each alternative is the same. Some embodiments, define, for each modified beam of the alternative, a measure of difference between the intersection and a respective one of the ideal intersections, and define the measure of difference between the ideal intersections and the intersections for the modified beams based at least in part on the measure of difference between the intersection and the respective one of the ideal intersections for each modified beam of the alternative. In some embodiments, the measure of difference between each intersection and the respective ideal intersection is based at least in part on a distance between a point defined by the intersection and a corresponding point defined by the respective ideal intersection. In some embodiments, each intersection for a modified beam defines a pyramid having a tip and a polygonal base, the tip defined by a source for the modified beam, the polygonal base having a plurality of corners. Some embodiments define a distance between the tip of the intersection and a tip of the respective ideal intersection; further define a square of the distance between the tips; further define for each corner of the polygonal base of the intersection, a distance between the corner and a corresponding corner of the respective ideal intersection; further define a square of the distance for each corner; and further define the measure of difference based at least in part on a sum of the square of the distance between the tips and the square of the distance defined for each corner of the polygon. Some embodiments define, for each modified beam of the alternative, a measure of difference between the intersection and a respective one of the ideal intersections; further define, for each modified beam of the alternative, a weighted difference defined as a product of a weight and the measure of difference between the intersection and a respective one of the ideal intersections, wherein the weight is based at least in part on a dosimetric strength of the modified beam relative to respective dosimetric strengths of the other modified beams of the alternative; and further define the measure of difference between the ideal intersections and the intersections for the modified beams based at least in part on the weighted difference for each modified beam of the alternative. In some embodiments, the measure of difference between the ideal intersections and the intersections for the modified beams is based at least in part on the sum of the weighted difference for each modified beam of the alternative. Some embodiments select one alternative of the plurality of alternatives based at least in part on the measure of difference for the one alternative. Some embodiments select one alternative of the plurality of alternatives for which the measure of difference between the ideal intersections and the intersections for the modified beams of the alternative is no greater than the measure of difference between the ideal intersections and the intersections for the modified beams of the other alternatives. One or more of the alternatives may avoid change to the position of the patient support. This may help reduce the potential to disturb the position of the patient relative to the patient support. Although various features, attributes and/or advantages may be described and/or may be apparent in light of the description, it should be understood that unless stated otherwise, such features, attributes and/or advantages are not required and need not be present in all aspects and/or embodiments. FIG. 1 is a perspective view of a radiation treatment room 100 according to some embodiments. In accordance with some embodiments, radiation treatment room 100 includes linear accelerator (linac) 110, patient support 120 and operator console 130. The elements of radiation treatment room 100 may be used to deliver radiation to a target volume of beam object 140. In this regard, beam object 140 may comprise a patient positioned to receive radiation according to a radiation treatment plan. The elements of treatment room 100 may be employed in other applications according to some embodiments. Linac 110 generates and emits the radiation, and is primarily composed of treatment head 111 and gantry 112. Treatment head 111 includes a beam-emitting device (not shown) for emitting a radiation beam used during calibration, verification, and/or treatment. The radiation beam may comprise electron, photon or any other type of radiation. According to some embodiments, the radiation beam exhibits energies in the megavoltage range (i.e. >1 MeV) and may therefore be referred to as megavoltage radiation. Treatment head 111 is coupled to a projection of gantry 112. Gantry 112 is rotatable around gantry axis 113 before, during and after radiation treatment. As indicated by arrow 114, gantry 112 may rotate clockwise or counter-clockwise according to some embodiments. Rotation of gantry 112 serves to rotate treatment head 111 around axis 113. Also included within treatment head 111 is a beam-shielding device, or collimator 200 (FIG. 2) for shaping the beam and for shielding sensitive surfaces from the beam. During radiation treatment, a radiation beam is emitted from treatment head 111 as a divergent beam. The beam is emitted towards an isocenter of linac 110. The isocenter is located at the intersection of beam axis 115 and gantry axis 113. Due to divergence of the radiation beam and the shaping of the beam by the aforementioned beam-shaping devices, the beam may deliver radiation to a volume of beam object 140 rather than only to the isocenter. The patient support 120 supports beam object 140 during radiation treatment. The table patient support 120 may be adjustable to assist in positioning a treatment area of beam object 140 at the isocenter of linac 110. The patient support 120 may also be used to support devices used for such positioning, for calibration and/or for verification. In some embodiments, the patient support 120 comprises a table (sometimes referred to herein as a treatment or radiotherapy table), a couch (sometimes referred to herein as a treatment or radiotherapy couch) and/or any other type of structure(s) or combination thereof. Imaging device 116 may acquire images before, during and/or after radiation treatment. For example, imaging device 116 may be used to acquire images for verification and recordation of a target volume position and of an internal patient portal to which radiation is delivered and/or to be delivered. In some embodiments, imaging device 116 may be attached to gantry 112, for example, via extendible and retractable housing 117. Rotation of gantry 112 may cause treatment head 111 and imaging device 116 to rotate around the isocenter such that isocenter remains located between treatment head 111 and imaging device 116 during the rotation. In some embodiments, linac 110 is capable of producing kilovoltage photon radiation via beamline modification or other techniques, and imaging device 116 may acquire images based on such kilovoltage radiation. In some embodiments, imaging device 116 comprises a system to acquire an image based on received megavoltage photon radiation. In some embodiments, imaging device 116 is a flat-panel imaging device using a scintillator layer and solid-state amorphous silicon photodiodes deployed in a two-dimensional array. In operation, the scintillator layer receives photons and generates light in proportion to the intensity of the received photons. The array of photodiodes receives the light and records the intensity of received light as stored electrical charge. In other embodiments, imaging device 116 converts received photons to electrical charge without requiring a scintillator layer. The photons are absorbed directly by an array of amorphous selenium photoconductors. The photoconductors convert the photons directly to stored electrical charge. Imaging device 116 may also comprise a CCD or tube-based camera. Such an imaging device may include a light-proof housing within which are disposed a scintillator, a mirror, and a camera. The charge developed and stored by imaging device 116 represents radiation intensities at each location of a radiation field produced by a beam emitted from treatment head 111. Since object 140 is located between treatment head and imaging device 116, the radiation intensity at a particular location represents the attenuative properties of tissues along a divergent line between a radiation source in treatment head 111 and the particular location. The set of radiation intensities acquired by imaging device 116 may therefore comprise a two-dimensional projection image of these tissues. Operator console 130 includes input device 131 for receiving instructions from an operator and output device 132, which may be a monitor for presenting operational parameters of linac 110 and imaging device 116 and/or interfaces for receiving instructions. Output device 132 may also present a two-dimensional projection image, a three-dimensional megavoltage (or kilovoltage) cone beam image and/or two-dimensional “slice” images based on the three-dimensional image. Input device 131 and output device 132 are coupled to processor 133 and storage 134. Processor 133 may execute program code to perform any of the determinations and generations described herein, and/or to cause linac 110 to perform one or more portions of a treatment plan. Storage 134 may store program code to generate and/or modify a treatment plan according to some embodiments. Such code may comprise the COHERENCE™ workspace or the KONRAD™ treatment planning system sold by Siemens Medical Solutions. Accordingly, storage 134 may also store radiation treatment plans in accordance with any currently- or hereafter-known format. The treatment plans may comprise scripts that are automatically executable by elements of room 100 to provide radiation therapy fractions. Each fraction of each treatment plan may require a patient to be positioned in a particular manner with respect to treatment head 111. Operator console 130 may be in a room other than treatment room 100, in order to protect its operator from radiation. For example, treatment room 100 may be heavily shielded, such as a concrete vault, to shield the operator from radiation generated by linac 110. FIG. 2 is perspective view of a portion of the treatment head 111, in accordance with some embodiments. Referring to FIG. 2, in some embodiments, collimator 200 includes multiple leafs 202, 204 for shaping the beam and for shielding sensitive surfaces from the beam. FIG. 3 is a perspective view of the radiation treatment room 100 with the gantry 112 rotated, according to some embodiments. FIG. 4 is a perspective view of a portion of the treatment room 100 and a portion of the patient volume, in accordance with some embodiments. Referring to FIG. 4, in accordance with some embodiments, the treatment room 100 may include a room co-ordinate system includes axes Xf, Yf (113), Zf (115). A patient co-ordinate system includes axes Xp, Yp, Zp. In accordance with some embodiments, a circular source trajectory is indicated at 400. A source position when the gantry rotation is zero is indicated at 402. The source position when the gantry rotation is an angle other than zero is indicated at 404. In accordance with some embodiments, a collimated segment of a beam 406 forms a pyramidal shape that intersects with the isocentric plane to form a beam's eye view (BEV) polygon 408. Image slices 410 may be acquired for and/or define a patient volume 412. FIG. 5 is a block diagram of elements of treatment room 100, according to some embodiments. The illustrated elements may be implemented in any manner. In some embodiments, the elements are implemented by a combination of hardware, software and/or firmware. Operator console 130 includes interfaces 502, 504, 506 and 508, 510 for interfacing with respective elements 200, 111, 112, 120 and 116 of treatment room 100. Each of the interfaces may comprise any suitable type of hardware and/or software interface, and may or may not be proprietary. Operator console 130 may control the various elements through the interfaces and based on instructions from processor 133. The processor 133 may execute processor-executable process steps stored in storage 134 to provide operation according to some embodiments. These process steps may comprise system control application 512 to execute one of treatment plans 514. System control application 512 may, in some embodiments, be used to calibrate imaging device 116, to acquire projection images, to generate a three-dimensional image based on the projection images, and to determine a dose based on the three-dimensional image. Storage 134 may also comprise two and/or three-dimensional images 506 generated in conjunction with one or more process disclosed herein. The processor 133 and the system control application 512 may, in some embodiments, be used to execute one or more portions of one or more of the processes disclosed herein. A treatment system according to some embodiments may include less or more elements than those shown in FIGS. 1-5. In addition, embodiments are not limited to the devices and/or to the illustrated environment. For example, some embodiments include another type of image acquisition device to acquire projection images. FIG. 6 is a flow diagram of a process 600 according to some embodiments. In some embodiments, the process 600 is used in determining an alternative treatment plan that accounts, at least in part, for changes in a position of a tumor or other target volume within a patient. The process 600 is not limited to the order shown in the flow chart. Rather, embodiments of the process 600 may be performed in any order that is practicable. For that matter, unless stated otherwise, any process disclosed herein may be performed in any order that is practicable. Moreover, some embodiments may employ one or more portions of the process without one or more other portions of the process. Referring to FIG. 6, at 602 the process may include receiving information to be used in determining an alternative treatment plan that accounts, at least in part, for changes in a position of a tumor or other target volume within a patient. In some embodiments, the information may include information indicative of (i) a treatment plan defining planned treatment beams, (ii) a patient volume relative to a reference (i.e., patient support, treatment room and/or other reference), (iii) ideal intersections of the planned treatment beams with the patient volume at the time the patient is to be treated (iv) any constraints that prevent achievement of the recommended repositioning using only the patient support and (v) allowable change to the gantry position (i.e., relative to a planned value) and allowable change to the collimator position (i.e., relative to a planned value). In some embodiments, the information indicative of a patient volume relative to a reference comprises images of a patient volume placed on the patient support prior to treatment. In some embodiments, the information includes a recommendation as to repositioning of the patient support to achieve six degree of freedom correction for the changes in the position of the tumor or other target volume within the patient. In some embodiments, the ideal intersections of the planned treatment beams with the patient volume comprises ideal intersections of the planned treatment beams with the patient volume if the patient support is repositioned in accordance with the recommendation as to repositioning of the patient support to achieve six degree of freedom correction. An ideal intersection is represented and described below with respect to FIG. 10. In some embodiments, the recommended repositioning of the patient support includes a recommended translation along each of the three orthogonal axes (i.e., a recommended translation along an x axis, a recommended translation along a y axis and a recommended translation along a z axis) and a recommended rotation about each of the three orthogonal axes (i.e., a recommended rotation about the x axis, a recommended rotation about the y axis and a recommended rotation about the z axis) with the origin of the three orthogonal axes disposed at the isocenter of the radiotherapy device. In some embodiments, each of the constraints that prevent achievement of the recommended repositioning using only the patient support may be defined directly. In some other embodiments, one or more of the constraints may be defined indirectly. For example, the information may define allowable changes to the patient support, which if less, in any dimension, than the recommended repositioning, indirectly defines one or more constraints that prevent achievement of the recommended repositioning using only the patient support. Further in that regard, in accordance with some embodiments, and unless stated otherwise, any type of information described herein may be defined directly and/or indirectly. In some embodiments, the constraints may be the same for all beams. In some other embodiments, there may be different constraints for different beams. In some embodiments, the allowable changes may be the same for all beams. In some other embodiments, there may be different allowable changes for different beams. At 604, the process may further include defining a plurality of alternatives to the planned treatment plan. In some embodiments, the alternatives are based at least in part on the constraints of the patient support, the allowable changes to the gantry position and the allowable changes to the collimator position. In some embodiments, each alternative defines (i) a modified patient support position, (ii) an amount of change to the gantry position for each planned treatment beam, (iii) an amount of change to the collimator position for each planned treatment beam and (iv) modified beams. Any method(s) may be used to define the plurality of alternatives. In some embodiments, the alternatives are defined using an exhaustive search that increments uniformly through a multi-dimensional search space. In some other embodiments, a simulated annealing and/or an xyz plug in is employed. In some embodiments, a plurality of alternatives are defined. One or more of the alternatives may avoid the need to change the patient support position for each beam. This may help to reduce the time needed to perform the treatment, as compared to the method described above for systems that use a patient support having only four degrees of freedom. One or more of the alternatives may avoid any change at all to the position of the patient support. This may help reduce the potential to disturb the position of the patient relative to the patient support. A table of a subset of alternatives within a search space, in accordance with some embodiments, is described below with respect to FIG. 11. The modified beams may be based at least in part on a respective one of the planned treatment beams, the change to the position of the gantry for the respective planned treatment beam and the change to the position of the collimator for the respective planned treatment beam. The modified patient support position may include a translation for the patient support along one or more of the axes (i.e., a translation along an x axis, a translation along a y axis and/or a translation along a z axis) and a rotation for the patient support about one or more of the axes (i.e., a rotation about the x axis, a rotation about the y axis and/or a rotation about the z axis). In some embodiments, the modified patient support position may be the same for all alternatives. In some other embodiments, there may be a different modified patient support position for one or more of the alternatives. The modified patient support position may be defined directly and/or indirectly. In some embodiments, the amount of change to the gantry position for a planned treatment beam may be defined relative to the non-rotated position for the gantry or relative to the planned position for the gantry for the planned treatment beam. In some embodiments, each alternative may define the same amount of change to the gantry position. The amount of change to the collimator position for a planned treatment beam may be defined relative to the non-rotated position for the collimator or relative to the planned position for the collimator for the planned treatment beam. In some embodiments, each alternative may define the same amount of change to the collimator position. At 606, the process may further include determining for each modified beam of each alternative, an intersection of the patient volume and the modified beam, with the patient volume placed on the patient support and having the modified patient support position for the alternative. An intersection of a patient volume and a modified beam, in accordance with some embodiments, is represented and described below with respect to FIG. 10. In some embodiments, there may be more than one intersection for a planned treatment beam. For example, some embodiments may use a particular planned treatment beam with different arrangements of collimator leafs (each arrangement of collimator leafs is sometimes referred to as a segment). In such embodiments, there may be a different intersection for each arrangement of collimator leafs (or segment). At 608, the process may further include defining, for each alternative, a measure of difference between the ideal intersections and the intersections for the modified beams of the alternative. A measure of difference between an ideal intersection and an intersection for a modified beam is represented and described below with respect to FIG. 10. A process that may be used in defining the measure of difference is described below with respect to FIG. 7. At 610, the process may further include selecting one of the alternatives based at least in part on the measure of difference for the alternative. In some embodiments, this includes selecting one of the alternatives for which the measure of difference between the ideal intersections and the intersections for the modified beams of the alternative is no greater than the measure of difference between the ideal intersections and the intersections for the modified beams of the other alternatives. In some embodiments, the selecting of one of the alternatives is performed by a processor. In some embodiments, the selecting of one of the alternatives is performed by a user and/or operator. In some embodiments, a processor receives an indication of the selection by the user and/or operator. In some embodiments, the process may further include generating an ordered list of the alternatives based at least in part on the measure of difference for each of the alternatives. In some embodiments, this may help a user and/or operator select one of the alternatives. In some embodiments, an alternative having a measure of difference less than the other alternatives may be disposed first in the ordered list. In some embodiments, the ordered list is displayed on an output device. In some embodiments, the output device is the same as and/or similar to the output device 132 illustrated in FIG. 1 and/or FIG. 5. In some embodiments, a processor generates the ordered list and receives an indication of the selection by the user and/or operator. The process 600 may be performed in any manner. In that regard, in some embodiments, one or more portions of any process disclosed herein may be performed by and/or using a processor. In some embodiments, such processor may be the same as and/or similar to the processor 133 illustrated in FIG. 1 and/or FIG. 5. In some embodiments, one or more portions of any process disclosed herein may be performed by and/or using one, some or all of the radiation treatment devices illustrated in FIG. 1 and/or FIG. 5. As used herein, a processor may comprise any type of processor. For example, a processor may be programmable or non programmable, general purpose or special purpose, dedicated or non dedicated, distributed or non distributed, shared or not shared, and/or any combination thereof. A processor may include, but is not limited to, hardware, software, firmware, and/or any combination thereof. Hardware may include, but is not limited to off the shelf integrated circuits, custom integrated circuits and/or any combination thereof. Software may include, but is not limited to, instructions that are storable and/or stored on a computer readable medium, such as, for example, punch cards, paper tape, magnetic or optical disk, magnetic or optical tape, CD-ROM, DVD, RAM, EPROM, or ROM. A processor may employ continuous signals, periodically sampled signals, and/or any combination thereof. If a processor is distributed, two or more portions of the processor may communicate with one another through a communication link. As used herein, a communication link may comprise any type of communication link, for example, but not limited to wired (e.g., conductors, fiber optic cables) or wireless (e.g., acoustic links, electromagnetic links or any combination thereof including, for example, but not limited to microwave links, satellite links, infrared links), and/or any combinations thereof. A communication link may be public or private, dedicated and/or shared (e.g., a network) and/or any combination thereof. A communication link may or may not be a permanent communication link. A communication link may support any type of information in any form, for example, but not limited to, analog and/or digital (e.g., a sequence of binary values, i.e. a bit string) signal(s) in serial and/or in parallel form. The information may or may not be divided into blocks. If divided into blocks, the amount of information in a block may be predetermined or determined dynamically, and/or may be fixed (e.g., uniform) or variable. A communication link may employ a protocol or combination of protocols including, for example, but not limited to the Internet Protocol. Software that includes instructions to be executed by a processor to perform one or more portions of one or more processes may be stored by any processor readable medium, for example, punch cards, paper tape, magnetic or optical disk, magnetic or optical tape, CD-ROM, DVD, RAM, EPROM, or ROM. The processor readable medium may be and/or may be included in, an article of manufacture. FIG. 7 is a flow diagram of a process 700 according to some embodiments. In some embodiments, one or more portions of the process 700 are used at 608 (FIG. 6) in defining a measure of difference between the ideal intersections and the intersections for the modified beams of an alternative. Referring to FIG. 7, at 702, the process may include defining, for each modified beam of the alternative, a measure of difference between the intersection and a respective one of the ideal intersections. In some embodiments, the measure of difference between each intersection and the respective ideal intersection is based at least in part on a distance between a point defined by the intersection and a corresponding point defined by the respective ideal intersection. A process that may be used at 702 is described below with respect to FIG. 8. At 704, the process may further include defining a measure of difference between the ideal intersections and the intersections for the modified beams of the alternative based at least in part on the measure of difference between the intersection and the respective one of the ideal intersections for each modified beam of the alternative. A process that may be used at 704 is described below with respect to FIG. 9. FIG. 8 is a flow diagram of a process 800 according to some embodiments. In some embodiments, one or more portions of the process 800 are used at 702 (FIG. 7) in defining the measure of difference between an intersection and the respective ideal intersection. Referring to FIG. 8, at 802, the process may include defining each intersection as a pyramid having a tip and a base. The base may comprise a polygonal shape having a plurality of corners. At 804, the process may further include defining a distance between the tip of the intersection for the modified beam and the tip of the respective ideal intersection. At 806, the process may further include defining for each corner of the intersection, a distance between the corner and a corresponding corner of the respective ideal intersection. At 808, the process may further include defining a square of the distance between the tips. At 810, the process may further include defining a square of the distance defined for each corner. At 812, the process may further include defining the measure of difference between an intersection and the respective ideal intersection based at least in part on a sum of the square of the distance between the tips and the square of the distance defined for each corner of the polygon. FIG. 9 is a flow diagram of a process 900 according to some embodiments. In some embodiments, one or more portions of the process 900 are used at 704 (FIG. 7) in defining the measure of difference between the ideal intersections and the intersections for the modified beams of the alternative. Referring to FIG. 9, at 902, the process may include defining, for each modified beam of the alternative, a measure of difference between the intersection and a respective one of the ideal intersections. At 904, the process may further include defining, for each modified beam of the alternative, a weighted difference defined as a product of a weight and the measure of difference between the intersection and a respective one of the ideal intersections. In some embodiments, the weight is based at least in part on a dosimetric strength of the modified beam relative to respective dosimetric strengths of the other modified beams of the alternative. In accordance with some embodiments, the dosimetric strength of each modified beam may be defined in direct or indirect terms. In some embodiments, the dosimetric strength of each modified beam is defined in terms of the dose of each modified beam. In some other embodiments, the dosimetric strength of each modified beam is defined in terms of a field/segment area or any function of jaw settings etc. of each modified beam. At 906, the process may further include defining the measure of difference between the ideal intersections and the intersections for the modified beams based at least in part on the weighted difference for each modified beam of the alternative. In some embodiments, the measure of difference between the ideal intersections and the intersections for the modified beams is based at least in part on the sum of the weighted difference for each modified beam of the alternative. FIG. 10 is a diagrammatic representation 1000 of an ideal intersection and a modified intersection, in accordance with some embodiments. Referring to FIG. 10, the diagrammatic representation 1000 includes first, second and third coordinate systems. The first coordinate system 1002 is an international electrotechnical commission (IEC) fixed (world) coordinate system. The second coordinate system 1004 is a patient coordinate system, shown with respect to the IEC fixed coordinate system, after a six degree of freedom patient set up correction using a robotic patient support. The third coordinate system 1006 is a patient coordinate system, with respect to the IEC coordinate system, with an alternative set up correction that includes a modified patient support position made up of patient support translation and patient support rotation, a change in the gantry position and a change in the collimator position. The representation further includes a planned beam (shown with a source at 1008) and an ideal intersection 1010 (shown expressed in the patient co-ordinate system 1004), in accordance with some embodiments. The planned beam is a beam that would result if the six degree of freedom patient set up correction is provided. The ideal intersection 1010 is the intersection of the planned beam and the patient volume. In accordance with some embodiments, the ideal intersection 1010 defines a pyramid having a tip (at the source 1008) and a base 1011 (in the isocentric plane). In accordance with some embodiments, the base 1011 is defined as a polygon having a plurality of corners, e.g., corners 1012-1026. The representation further includes a modified beam (with a source at 1028) and a modified intersection 1030 (shown expressed in the patient co-ordinate system 1006), in accordance with some embodiments. The modified beam is a beam that would result if the alternative patient set up correction is provided. The modified intersection 1030 is the intersection of the modified beam and the patient volume. In accordance with some embodiments, the modified intersection 1030 defines a pyramid having a tip (at the source 1028) and a base 1031 (in the isocentric plane). In accordance with some embodiments, the base 1031 is defined as a polygon having a plurality of corners, e.g., corners 1032-1046. In some embodiments, the arrangement of the collimator leafs for the planned beam is the same as the arrangement of the collimator leafs for the modified beam. Thus, the aperture may have the same shape for the planned beam and the modified beam. A distance 1048 is shown between the tip of the modified intersection 1030 and the tip of the ideal intersection 1010. A distance is also shown between each corner of the modified intersection 1030 and a corresponding corner of the ideal intersection 1010, i.e., a distance 1052 between corner 1032 of modified intersection 1030 and corner 1012 of ideal intersection 1010, a distance 1054 between corner 1034 of modified intersection 1030 and corner 1014 of ideal intersection 1010, a distance 1056 between corner 1036 of modified intersection 1030 and corner 1016 of ideal intersection 1010, a distance 1058 between corner 1038 of modified intersection 1030 and corner 1018 of ideal intersection 1010, a distance 1060 between corner 1040 of modified intersection 1030 and corner 1020 of ideal intersection 1010, a distance 1062 between corner 1042 of modified intersection 1030 and corner 1022 of ideal intersection 1010, a distance 1064 between corner 1044 of modified intersection 1030 and corner 1024 of ideal intersection 1010 and a distance 1066 between corner 1046 of modified intersection 1030 and corner 1026 of ideal intersection 1010. In some embodiments, a measure of difference between the modified intersection 1030 and the ideal intersection 1010 may be defined as a sum of squares of distances 1048-1066. In some embodiments, a measure of difference between the modified intersection 1030 and the ideal intersection 1010 may be defined as a product of a dosimetric strength and a sum of squares of distances 1048-1066. In some embodiments, a measure of difference between the modified intersection 1030 and the ideal intersection 1010 may be defined as a product of the planned dose of the beam 1008 and the sum of squares of distances 1048-1066. FIG. 11 is a table 1100 of a subset of the possible alternatives for a treatment plan having four beams, in accordance with some embodiments. Referring to FIG. 11, the subset of the possible alternatives include a first alternative 1101, a second alternative 1102, a third alternative 1103, and so on. In accordance with some embodiments, each alternative defines five dimensions, i.e., a modified patient support position, an amount of change to the gantry position and an amount of change to the collimator position for each of the four beams. In accordance with some embodiments, the modified patient support position includes an amount of translation along an x-axis, an amount of translation along a y-axis, an amount of translation along a z-axis and an amount of rotation about one of the axes. In accordance with some embodiments, the search space has a range of +/−5 mm for the translation along the x axis, a range of +/−5 mm for the translation along the y axis, a range of +/−5 mm for the translation along the z axis, +/−5 degrees for the change in the gantry position and +/−5 degrees for the change in the collimator position. FIG. 11 does not show the portion of the search space covering the negative portion of the ranges. In accordance with some embodiments, the increment amount is uniform and equal to +/−1. In some embodiments, the number of possible alternatives will be equal to the total number of different possible combinations. In some embodiments, the number of dimensions in the search space depends at least in part on the constraints that prevent achievement of the recommended repositioning using only the patient support. In some embodiments, the number of dimensions are defined based on the allowable changes to the patient support (and/or other structures coupled to a patient), the allowable changes to the gantry position and the allowable changes to the collimator position. In some embodiments, the number of dimensions are defined as a sum of a degrees of freedom represented by the allowable changes to the patient support (and/or other structures coupled to a patient), a degrees of freedom represented by the allowable changes to the gantry position and a degrees of freedom represented by the allowable changes to the collimator position. In some embodiments, a plurality of alternatives are defined. One or more of the alternatives may avoid the need to change the patient support position for each beam. This may help to reduce the time needed to perform the treatment, as compared to the method described above for systems that use a patient support having only four degrees of freedom. One or more of the alternatives may avoid any change at all to the position of the patient support. This may help reduce the potential to disturb the position of the patient relative to the patient support. In some embodiments, the range for a dimension in the search space is selected based at least in part on the change in position of the tumor or other target volume in that dimension. In some embodiments, a greater change in position in a dimension may result in a greater range for that dimension in the search space. FIGS. 12A-12D are a flow diagram of a process 1200 that may use and/or be used by processes 600-900, according to some embodiments. Referring to FIGS. 12A-12D, at 1202, the process may include receiving images of a patient volume (CTP) used in defining a treatment plan. In some embodiments, receiving the images comprises fetching the images from storage and loading the image into memory. In some embodiments, the images comprise computer tomography images acquired during planning. At 1204, the process may further include receiving images of patient volume (CTN) immediately prior to a treatment. In some embodiments, the images comprise computer tomography images. At 1206, the process may further include registering the images of the patient volume (CTP) to the images of patient volume (CTN) to determine an initial affine registration. In some embodiments, this may be carried out using an affine registration service, intensity difference optimizers, cross correlation, and/or xyz plug in. In some embodiments, the initial affine registration defines a recommendation for setup correction. In some embodiments, the initial affine registration is in the form of a 4×4 matrix. At 1208, the process may further include determining whether the registration is satisfactory. If the registration is not satisfactory, at 1210, the process may further include performing a manual six degree of freedom adjustment of the images of the patient volume (CTP) to the images of patient volume (CTN). If the registration is satisfactory at 1208, then at 1212, the process may further include determining a six degree of freedom correction. If the initial affine registration is in the form of a 4×4 matrix, this may include decomposing the 4×4 matrix into the six degree of freedom correction. At 1214, the process may further include receiving information defining allowable changes to a patient support. At 1216, the process may further include receiving information defining allowable changes to a delivery device. In some embodiments the information defines allowable changes to a gantry position and allowable changes to a collimator position. At 1218, the process may further include defining a number of dimensions for a search space. In some embodiments, the number of dimensions are defined based on the allowable changes to the patient support, the allowable changes to the gantry position and the allowable changes to the collimator position. In some embodiments, the number of dimensions are defined as a sum of a degrees of freedom represented by the allowable changes to the patient support, a degrees of freedom represented by the allowable changes to the gantry position and a degrees of freedom represented by the allowable changes to the collimator position. In some embodiments, 1214-1218 are performed before and/or during 1202-1212. At 1220, the process may further include receiving information that defines treatment beams of a treatment plan. In some embodiments, receiving may comprise fetching from storage and loading in memory. In some embodiments, the information includes an intensity, gantry position (angle), collimator position (angle), and position/shape of leafs that form the collimator, for each beam of the treatment plan. At 1222, the process may further include defining each aperture ‘A’ as discrete points on a polygon. In some embodiments, 1220-1222 are performed before and/or during 1202-1212 and/or 1214-1218. At 1224, the process may further include defining alternative0 as an alternative having no set-up correction, (e.g., no changes to the patient support position, no changes to the gantry position and no changes to the collimator position). The process may further include determining an error associated with alternative0. In some embodiments, this includes determining the error associated with each aperture of each gantry position. The error associated with alternative0 may thereafter be determined as a weighted sum of all the errors (i.e., a weighted sum of the error for each aperture of each gantry position). In some embodiments, the error for each aperture is weighted equally. In some other embodiments, the error for each aperture is weighted in accordance with the relative intensity of the intensity of the beam for that aperture. In some embodiments, the processes 600-900 are used at 1224 in determining the error. At 1226, the process may further include defining the error associated with alternative0 as 0% correction. At 1228, the process may further include defining other alternatives and determining an error and % correction for each of such alternatives. Any method(s) may be used to define the plurality of alternatives. In some embodiments, the alternatives are defined using an exhaustive search that increments uniformly through a multi-dimensional search space. In some other embodiments, a simulated annealing and/or an xyz plug in is employed. In some embodiments, a plurality of alternatives are defined. One or more of the alternatives may avoid the need to change the patient support position for each beam. This may help to reduce the time needed to perform the treatment, as compared to the method described above for systems that use a patient support having only four degrees of freedom. One or more of the alternatives may avoid any change at all to the position of the patient support. This may help reduce the potential to disturb the position of the patient relative to the patient support. A table of some alternatives within a search space, in accordance with some embodiments, is described above with respect to FIG. 11. In some embodiments, the error for each alternative is determined in a manner similar to that described above for alternative0. In some embodiments, zero error is defined as 100% correction and the % correction associated with an alternative is determined as 100% multiplied by the difference between the error for the alternative and the error for alternative0. In some embodiments, the processes 600-900 are used at 1228 in determining the errors. At 1230, the process may further include displaying the % correction for each alternative. The alternatives may also be displayed. In some embodiments, this may include displaying the alternatives and the % correction for each alternative in an ordered list. In some embodiments, the ordering of the alternatives in the ordered list is based at least in part on the % correction for the alternatives. In some embodiments, an alternative having a % correction greater than the other alternatives may be disposed first in the ordered list. In some embodiments, the ordered list is displayed on an output device. In some embodiments, the output device is the same as and/or similar to the output device 132 illustrated in FIG. 1 and/or FIG. 5. At 1232, the process may further include selecting an alternative and evaluating the beam geometry of the alternative with the anatomy in the images of patient volume (CTN). In some embodiments the beam geometry includes an intensity, gantry position (angle), collimator position (angle), and position/shape of leafs that form the collimator, for each beam of the alternative. In some embodiments, the selecting of an alternative is performed by a processor. In some embodiments, the selecting of an alternative is performed by a user and/or operator. At 1234, the process may further include determining whether the beam geometry of the alternative is satisfactory. If not satisfactory, at 1236, the method may further include performing a manual adjustment. If the beam geometry is satisfactory at 1234, then at 1238, the process may further include incorporating the selected alternative into the treatment plan. In some embodiments, this may include remotely programming changes in the positions as defined by the selected alternative. At 1240, the process may further include initiating treatment with the changes in positions defined the selected alternative. As stated above, in some embodiments the process 1200 may use and/or be used by processes 600-900. In some embodiments, one or more portions of processes 600-900 and/or 1200 may be performed after a patient has been placed on a patient support and is awaiting treatment. Those in the art will appreciate that various adaptations and modifications of the above-described embodiments can be configured without departing from the scope and spirit of the claims. Therefore, it is to be understood that the claims may be practiced other than as specifically described herein. |
|
abstract | A method for inspecting an irradiated fuel element in a nuclear power plant includes the step of measuring, with a measuring device, a spacer of a fuel element for providing measurements of the spacer. The measuring device also measures a calibration rod for providing measurements of the calibration rod, the calibration rod having known dimensions. The measurements of the spacer are calibrated by using the measurements of the calibration rod. It is possible thereby to measure at least the maximum width of the spacer with a measuring error of less than 20 xcexcm. A device for inspecting an irradiated fuel element in a nuclear power plant is also provided. |
|
abstract | A nuclear reactor includes a reactor pressure vessel 12 and a core barrel 14, contained within the reactor pressure vessel 12. The reactor 10 further includes a single vertical support 16, for transmitting vertical load from the core barrel to the reactor pressure vessel. Further, lateral support means is provided at an elevation which is above that of the support 16 to provide lateral support to the core barrel 14. This arrangement will result in reduced stress arising from the temperature fluctuations. |
|
abstract | A method to analyze crystals in a deposit on a surface of a nuclear generating station heating surface, wherein the method extracts a sample of material from the surface of the nuclear generating station heating surface and also includes conducting at least one of a high resolution scanning electron microscope/energy dispersive X-ray spectrometry of the sample and a scanning transmission electron microscope/selected area electron diffraction/spot and elemental mapping analysis of the sample. |
|
claims | 1. Storage device for storing and transporting nuclear fuel assemblies, said device comprising a plurality of adjacent compartments, each having a lateral wall and being capable of receiving a nuclear fuel assembly, said lateral walls being made using notched structural units that are stacked and crisscrossed, wherein each unit comprises:two spaced-apart flats made of a neutrophage material;an intermediate structure situated between the flats, said intermediate structure comprising:two bars arranged between said two flats without being mechanically jointed to said flats; anda plurality of spacers in contact with said two flats, arranged in a spaced apart manner along the length of said two bars, each spacer being rigidly jointed to the two flats at its two ends, respectively, said two bars orthogonal to the direction of stacking of the structural units,wherein said intermediate structure of each structural unit includes two steel bars spaced apart from each other by spacing means that includes the plurality of spacers and wherein each of the plurality of spacers are penetrated through by fasteners joining them rigidly to the two flats of the structural unit. 2. Storage device of claim 1, characterised in that each notched structural unit has an overall H-shaped cross section, the two flats constituting the two sides of this H, respectively. 3. Storage device as claimed in claim 1, characterised in that each compartment has a lateral surface delimiting it, said lateral surface consisting at least partially of the flats of the notched structural units forming the lateral wall of said compartment. 4. Storage device as claimed in claim 1, characterised in that said neutrophage material is an alloy containing boron, and in that said intermediate structure is at least partially made of steel. 5. Storage device as claimed in claim 1, characterised in that said intermediate structure of each structural unit is made so as to form a single part. 6. Storage device of claim 5, characterised in that said intermediate structure of each structural unit is rigidly assembled on the two flats. 7. Storage device of claim 5, characterised in that said intermediate structure of a given structural unit is held in a stacking direction with the help of the structural units arranged in a crossed fashion in relation to the given unit and being directly adjacent to the given unit in the direction of stacking. 8. Storage device of claim 1, characterised in that, for each structural unit, said spacing means are rigidly assembled to the two flats of said structural unit, and in that one of the two steel bars is situated on one side of the spacing means and the other one of the two steel bars is situated on the opposite side of said spacing means, in relation to a stacking direction of the structural units. 9. Storage device of claim 8, characterised in that each bar of a given structural unit is held in the stacking direction with the help of the spacing means, on the one hand, and, on the other hand, with the help of the structural units, which are arranged in a crossed fashion in relation to said given structural unit, and which are directly adjacent to it in the direction of stacking. 10. Storage device as claimed in claim 8, characterised in that bars of each structural unit are arranged perpendicular to the direction of stacking. 11. Storage device as claimed in claim 2, characterised in that each compartment has a lateral surface delimiting it, said lateral surface consisting at least partially of the flats of the notched structural units forming the lateral wall of said compartment. 12. Storage device as claimed in claim 7, characterised in that for any given layer of structural units, the structural units of the next following and third following layers are in contact in pairs with the edges of the flats situated between cut-outs provided on these flats, and the structural units of the given layer and the second following layer are likewise in contact in pairs with edges of the flats situated between the cut-outs provided on these same flats. 13. Storage device of claim 1, wherein said fasteners are screw/nut assemblies. |
|
claims | 1. A nuclear fuel assembly having an elongated dimension and comprising:a plurality of interconnected components wherein at least some of the interconnected components comprise:a top nozzle;a bottom nozzle;a plurality of guide thimbles extending between the top nozzle and the bottom nozzle;a plurality of fuel rods extending between the top nozzle and the bottom nozzle; anda plurality of grids arranged in a tandem spaced relationship that extends between the top nozzle and the bottom nozzle along the elongated dimension, with each of the grids having a plurality of cells some of which support the fuel rods and others through which the guide thimbles respectively pass and attach to the plurality of grids;wherein at least some of the interconnected components have a peripheral surface area that extends in a plane a distance along the elongated dimension;wherein one of the at least some of the interconnected components has a bimetallic spring that moves between a first and second position relative to the plane as the fuel assembly transitions from a reactor core shutdown temperature to a reactor core operating temperature, with one of the first and second positions placing the bimetallic spring in contact with an adjoining component when the nuclear fuel assembly is placed in a reactor core;wherein the bimetallic spring comprises a base material coated with a material having a different coefficient of thermal expansion than the base material,wherein the bimetallic spring is configured in a round disc shape,wherein the bimetallic spring is provided proximate one corner of the one of the at least some of the interconnected components, andwherein a tip of the disc shape fits in a recess in a side of the adjoining component. 2. A nuclear fuel assembly having an elongated dimension and comprising:a plurality of interconnected components wherein at least some of the interconnected components comprise:a top nozzle;a bottom nozzle;a plurality of guide thimbles extending between the top nozzle and the bottom nozzle;a plurality of fuel rods extending between the top nozzle and the bottom nozzle; anda plurality of grids arranged in a tandem spaced relationship that extends between the top nozzle and the bottom nozzle along the elongated dimension, with each of the grids having a plurality of cells some of which support the fuel rods and others through which the guide thimbles respectively pass and attach to the plurality of grids;wherein at least some of the interconnected components have a peripheral surface area that extends in a plane a distance along the elongated dimension;wherein one of the at least some of the interconnected components has a bimetallic spring that moves between a first and second position relative to the plane as the fuel assembly transitions from a reactor core shutdown temperature to a reactor core operating temperature, with one of the first and second positions placing the bimetallic spring in contact with an adjoining component when the nuclear fuel assembly is placed in a reactor core; wherein the bimetallic spring comprises a base material coated with a material having a different coefficient of thermal expansion than the base material,wherein the bimetallic spring is configured in a round disc shape, and wherein the bimetallic spring is supported on at least the top nozzle. 3. The nuclear fuel assembly of claim 2 including relief holes spaced around the disc shape. 4. The nuclear fuel assembly of claim 2 wherein the bimetallic spring is provided proximate one corner of the one of the at least some of the interconnected components. 5. The nuclear fuel assembly of claim 4 wherein a tip of the disc shape fits in a recess in a side of the adjoining component. 6. The nuclear fuel assembly of claim 2 wherein the bimetallic spring comprises stainless steel and either FeNi36 or 64FeNi. 7. The nuclear fuel assembly of claim 2 wherein the bimetallic spring is configured from a base metal coated with a low-to negative coefficient of thermal expansion material. 8. The nuclear fuel assembly of claim 2 wherein the bimetallic spring is formed on a corner of at least one of the plurality of grids. 9. The nuclear fuel assembly of claim 6 wherein the bimetallic spring is formed on each corner of the at least one of the plurality of grids. 10. The nuclear fuel assembly of claim 2 wherein the bimetallic spring does not extend substantially out of the plane at temperatures substantially below the reactor core operating temperature and protrudes outwardly from the nuclear fuel assembly at the reactor core operating temperature to an extent to contact the adjoining component. 11. The nuclear fuel assembly of claim 2 wherein the bimetallic spring has a dome. 12. The nuclear fuel assembly of claim 11 wherein a portion of the bimetallic spring is a peak of the dome; and wherein the peak of the dome is seated in a recess of one of a top nozzle and a bottom nozzle of the adjacent one of said plurality of fuel assemblies. 13. A nuclear reactor having a reactive core comprising a plurality of fuel assemblies, each having an elongated dimension and comprising:a plurality of interconnected components wherein at least some of the interconnected components comprise:a top nozzle;a bottom nozzle;a plurality of guide thimbles extending between the top nozzle and the bottom nozzle;a plurality of fuel rods extending between the top nozzle and the bottom nozzle; anda plurality of grids arranged in a tandem spaced relationship that extends between the top nozzle and the bottom nozzle along the elongated dimension, with each of the grids having a plurality of cells some of which support the fuel rods and others through which the guide thimbles respectively pass and attach to the grid;wherein at least some of the interconnected components have a peripheral surface area that extends in a plane a distance along the elongated dimension;wherein on some of the fuel assemblies one of the at least some of the interconnected components has a bimetallic spring that moves between a first and second position relative to the plane as the fuel assembly transitions from a reactor core shutdown temperature to a reactor core operating temperature, with one of the first and second positions placing the bimetallic spring in contact with an adjoining component of the reactive core;wherein the bimetallic spring comprises a base material coated with a material having a different coefficient of thermal expansion than the base material,wherein the bimetallic spring is configured in a round disc shape, andwherein the bimetallic spring is supported on at least the top nozzle. 14. The nuclear reactor of claim 13 wherein the bimetallic spring does not extend substantially out of the plane at temperatures substantially below the reactor core operating temperature and protrudes outwardly from the nuclear fuel assembly at the reactor core operating temperatures to an extent to contact the adjoining component of the reactive core. |
|
description | This application is based upon and claims the benefit of priority from the prior Japanese Patent Application No. 2007-245730 filed on Sep. 21, 2007, the entire contents of which are incorporated herein by reference. 1. Field of the Invention The present invention relates to a multileaf collimator which adjusts radiation irradiated from a radiation generator toward a patient into a shape according to an affected part of the patient. 2. Description of the Related Art One type of conventional multileaf collimator includes first and second groups disposed opposite to each other. Each group includes a plurality of leaf blocks arranged in a direction. The leaf blocks of the first group are oppositely disposed so as to be perpendicular to the leaf blocks of the second group The leaf blocks of the first group are connected to a drive mechanism having an electric motor serving as a drive source so that upon normal rotation of the motor, the leaf blocks of the first group are moved in such a direction that the leaf blocks of the first group are departed away from the leaf blocks of the second group. When the motor is reverse rotated, the leaf blocks of the first group are moved so as to come close to the leaf blocks of the second group. The leaf blocks of the second group are connected to a drive mechanism including an electric motor serving as a drive source. Upon normal rotation of the motor, the leaf blocks of the second group are moved so as to depart from the leaf blocks of the first group. On the other hand, the leaf blocks of the second group are moved close to the leaf blocks of the first group upon reverse rotation of the motor. The multileaf collimator includes detectors which detect current positions of the leaf blocks of the first and second groups respectively. Amounts of rotation of the motors are controlled based on the results of detection of current positions by the detectors respectively, whereby the spacing between the first and second leaf block groups is adjusted to a target configuration. JP-A-2001-129104 discloses a multileaf collimator including a plurality of resistors serving as detectors and having different resistivity. The resistors are applied to a common leaf block so as to be arranged in a direction of movement of the leaf blocks. When the leaf blocks are moved in the oncoming or departing direction, the leaf blocks are moved while contacting paired electrodes. A voltage with a predetermined level is applied between the paired electrodes so that a current position of each leaf block is detected based on a magnitude of electric current flowing from one electrode to the other electrode. In the disclosed multileaf collimator, the resistance value varies according to variations in a mechanical contact resistance between the electrodes and the resistor. The resistance value also varies according to presence or absence of foreign matter such as dust. The resistance value further varies according to an external temperature. Accordingly, since current positions of the plural leaf blocks cannot be detected accurately, the spacing between the first and second leaf block groups cannot be set to a target configuration accurately. Therefore, an advantage of the present invention is to provide a multileaf collimator in which the spacing between the first and second leaf block groups can be set to a target configuration accurately. To achieve the advantage, one aspect of the present invention provides a multileaf collimator comprising a first leaf block group including a plurality of leaf blocks arranged in a direction, a second leaf block group including a plurality of leaf blocks arranged in the same direction as the first leaf block group, the leaf blocks of the second leaf block group being opposed to the leaf blocks of the first leaf block group in a direction orthogonal to the direction in which the leaf blocks of the first leaf block group are arranged, a plurality of drive mechanisms provided on the respective leaf blocks of the first and second leaf block groups, the drive mechanisms moving the leaf blocks of the first or second leaf block group in an oncoming direction in which the leaf blocks of the first or second leaf block group come close to the leaf blocks of the second or first leaf block or in a departing direction in which the leaf blocks of the first or second leaf block group depart from the leaf blocks of the second or first leaf block group, a plurality of magnetic layers which are provided on the respective leaf blocks of the first and second leaf block groups so as to be positioned on faces of the leaf blocks along a moving direction of the leaf blocks, each of the magnetic layers having a first magnetized part which is magnetized in a north pole and a second magnetized part which is magnetized in a south pole, a plurality of magnetic sensors which are provided on the respective leaf blocks of the first and second leaf block groups, the magnetic sensors being stationary in a noncontact state with respect to the respective leaf blocks, the magnetic sensors varying output signals when the respective leaf blocks are moved in the oncoming direction or the departing direction, and a control device which controls the drive mechanisms according to the output signals delivered by the respective magnetic sensors so that a space defined between the leaf blocks of the first and second leaf blocks is adjusted into a target configuration. In the above-described construction, the magnetic layers are provided on the respective leaf blocks of the first and second leaf block groups so as to be positioned on the faces of the leaf blocks along a moving direction of the leaf blocks. Each magnetic layer has the first magnetized part which is magnetized in the north pole and the second magnetized part which is magnetized in the south pole. The magnetic sensors are disposed so as to be stationary in the noncontact state with respect to the magnetic layers. The drive mechanisms are controlled based on the output signals of the magnetic sensors. Consequently, the spacing between the first and second leaf block groups can be set to a target configuration accurately without being adversely affected by variations in the resistance values. A first embodiment of the present invention will be described with reference to FIGS. 1 to 6. Referring to FIG, 1, a radiation treatment machine or radiotherapy machine is shown to which the multileaf collimator of the invention is applied. The radiation treatment machine includes a stand 1 having an upper end on which a horizontal treatment table 2 extending in the X direction is mounted as shown in FIG. 1. A patient is to be put on the treatment table 2 so that the head and legs of the patient are directed in the X direction for the purpose of medical treatment. The treatment table 2 is connected to an XY drive mechanism including an X-direction motor and a Y-direction motor both serving as drive sources. The XY drive mechanism is housed in the stand 1. An amount of rotation of the X-direction motor is controlled so that the treatment table 2 is moved to a horizontal X-direction target position. An amount of rotation of the Y-direction motor is controlled so that the treatment table 2 is moved to a vertical Y-direction target position. A mount 5 is provided on a mount support 4 so as to be rotatable about a shaft 6 directed in the X direction as shown in FIG. 1. A rotary drive mechanism 7 is housed in the mount support 4, and the shaft 6 of the mount 5 is connected to the rotary drive mechanism 7. The rotary drive mechanism 7 includes an R-direction motor serving as a drive source. An amount of rotation of the R-direction motor is controlled so that the mount 5 is rotated about the shaft 6 to an R-direction target position. An irradiation head 8 is fixed to the mount 5. An X-radiation generator 9 is housed in the irradiation head 8 as shown in FIG. 2. The X-radiation generator 9 includes an acceleration section which accelerates electrons, a deflection section which deflects the accelerated electrons to the treatment table 2, and a target which applies the deflected electrons to a metal thereby to generate treatment X rays. A multileaf collimator 10 is housed in the irradiation head 8 so as to be located between the target and an X-ray outlet. The multileaf collimator adjusts an X-ray irradiation field on the body surface of a patient according to the shape of an affected part. The construction of the multileaf collimator will be described. The irradiation head 8 houses a first leaf block group 11 and a second leaf block group 12 both disposed so as to be spaced from each other in the Z direction as shown in FIG. 3. The Z direction and the X direction intersect at right angles in the same horizontal plane. Thus, the Z direction serves as an orthogonal direction. Each of the first and second leaf block groups 11 and 12 comprises a plurality of leaf blocks (14 leaf blocks, for example) aligned in a row in the X direction in the irradiation head 8. Leaf blocks 13 of the first leaf block group 11 are opposed to leaf blocks 13 of the second leaf block group in the Z direction. Each leaf block 13 is made from tungsten or lead that can cut off treatment X rays and formed into an arc-shaped plate having two flat and smooth arc-shaped faces 14 and 15 and two flat and smooth faces 16. The arc-shaped faces 14 and 15 of the leaf blocks 13 are concentric with each other. A common center CP (see FIG. 5) of the arc-shaped faces 14 and 15 is set on a target which is an irradiation source of X rays. The X rays serve as treatment X rays and the target serves as the irradiation source. Leaf drive mechanisms 17 serving as drive mechanisms are connected to the leaf blocks 13 respectively as shown in FIG. 4A. Each leaf drive mechanism 17 is moved circumferentially along a common circular locus ML about the center CP serving as the center of the locus. Each leaf drive mechanism 17 includes a speed reduction mechanism 18 and a leaf block motor 19 as shown in FIG. 4A. Each speed reduction mechanism 18 comprises a plurality of combined gears having diameters different from each other. Each speed reduction mechanism 18 further includes input and output shafts. Each leaf block motor 19 comprises a stepping motor and includes a rotational shaft connected to an input shaft of each speed reduction mechanism 18. The output shaft of each speed reduction mechanism 18 is connected to the corresponding leaf block 13. Each speed reduction mechanism 1B reduces torque of the leaf block motor 19 thereby to transmit the reduced torque to the leaf block 13. Each leaf block 13 of the first leaf block group 11 is operated so as to be moved in a departing direction in which each leaf block 13 of the first leaf block group 11 departs from the corresponding leaf block 13 of the second leaf block group 12 when the leaf block motor 19 is rotated in the normal direction as shown by arrow A in FIG. 5. When the leaf block motor 19 is reverse rotated as shown by arrow B in FIG. 5, each leaf block 13 of the first leaf block group 11 is operated so to be moved in an oncoming direction in which each leaf block 13 of the first leaf block group 11 comes close to the corresponding leaf block 13 of the second leaf block group 12. When each leaf block motor 19 is rotated in the normal direction as shown by arrow A in FIG. 5, the corresponding leaf block 13 of the second leaf block group 12 is operated so as to be moved in a departing direction in which each leaf block 13 of the second leaf block 12 departs from the corresponding leaf block 13 of the first leaf block group 11. When the leaf block motor 19 is reverse rotated as shown by arrow B in FIG. 5, the corresponding leaf block 13 of the second leaf block group 12 is operated so as to be moved in an oncoming direction in which each leaf block 13 of the second leaf block group 12 comes close to the corresponding leaf block 13 of the first leaf block group 11. Each leaf block motor 19 serves as a drive source. Each leaf block 13 has a magnetic layer 20 formed on the entire arc-shaped face 14 which is a face along the movement direction of each leaf block 13, as shown in FIG. 4A. Each magnetic layer 20 is formed by applying a powdered magnetic material to the arc-shaped face 14 and has a plurality of magnetized portions 21 and another plurality of magnetized portions 22 both arranged alternately. The magnetized portions 21 are magnetized in the north pole and have the same circumferential dimension along the movement direction of the leaf blocks 13. The magnetized portions 22 are magnetized in the south pole and have the same circumferential dimension in the movement direction of the leaf blocks 13. A plurality of magnetoresistive elements 23 (referred to as “MR sensor”) are housed in the irradiation head 8 as shown in FIG. 4A. Each MR sensor 23 serves as a magnetic sensor and is disposed opposite the corresponding magnetic layer 20 of the leaf block 13 so as to be spaced from the magnetic layer 20 in the Y direction. Each MR sensor 23 is fixed inside the irradiation head 8 so as to be stationary in a noncontact state with respect to the magnetic layer 20 of the corresponding leaf block 13. When the leaf blocks 13 are moved in the departing direction or in the oncoming direction, the magnetized portions 21 and 22 alternately pass through a detection area of the MR sensor 23. The MR sensors 23 are disposed so that an element stripe is at right angles to a direction of magnetization of each MR sensor 23. When a resistance value of each MR sensor 23 varies with movement of the leaf blocks 13 in the departing or oncoming direction, each MR sensor 23 delivers pulse signals whose number depends upon an amount of movement of the corresponding leaf block 13. More specifically, every time each MR sensor 23 is moved in the departing or oncoming direction by a unit movement amount, the MR sensor 23 delivers one pulse signal. The multileaf collimator 10 is constructed and arranged as described above. A radiation treatment computed tomography (CT) scanner 24 as shown in FIG. 2 scans a patient using radiation, obtaining image data of the patient based on the results of scanning. The CT scanner 24 transmits the obtained image data to a treatment planning device 25. The treatment planning device 25 is designed to work out radiation treatment based on the results of received image data and includes a treatment control circuit 26, a display 27 and an input device 28. The treatment control circuit 26 is mainly composed of a microcomputer and receives image data transmitted from the CT scanner and displays the received image data on the display 27. The display 27 comprises a cathode ray tube (CRT). When having visually recognized the contents displayed on the display 27, the operator determines the location of an affected part and an exposure field of X rays. The input device 28 includes a rectangular region of interest (ROI), a polyline, a cross ROY, a keyboard and a track board. The input device 28 serves as an operating member which is operated by the operator so that the results of determination regarding the location of the affected part of the patient and exposure field of X rays are entered. The treatment control circuit 26 recognizes results of entry of the location of the affected part and exposure field of X rays, based on the operation contents by the input device 28. A main control circuit 30 is connected via an Interface circuit 29 to the treatment control circuit 26 as shown in FIG. 2. The treatment control circuit 26 transmits the results of recognition regarding the location of the affected part and the exposure field of X rays. The main control circuit 30 is mainly composed of a microcomputer having a central processing unit (CPU), a random access memory (RAM) and a read only memory (ROM). The main control circuit 30 sets target location data of the treatment table 2 in the X direction, target location data of the treatment table 2 in the Y direction and target location data of the mount 5 in the R direction. These target location data are set so that X rays are irradiated from the outlet of the irradiation head 8 onto a part according to the received results of the location of affected part. The main control circuit 30 sets a movement amount Na of each of a plurality of (28, for example) of leaf blocks 13, based on the results of received exposure field of X rays. The movement amount Na of each leaf block 13 is set so that a space having a target configuration according to the results of received exposure field is defined between the first and second leaf block groups 11 and 12. For this purpose, the leaf blocks 13 are assigned with identification numbers 1 to 28. The movement amount Na is set for every one of the identification numbers 1 to 28. Each movement amount Na defines an amount of movement of the corresponding leaf block 13 in the departing direction A on the basis of an origin position Z0. The origin position Z0 is set on a plane PL which passes the center point CP and vertical in the Y direction as shown in FIG. 5. Each movement amount Na is set as the number of pulse signals delivered by the MR sensor 23. To the main control circuit 30 are connected a mount control circuit 31, an irradiation control circuit 32, a collimator control circuit 33 and a treatment table control circuit 34 as shown in FIG. 2. The main control circuit 30 transmits to the mount control circuit 31 a result of setting of data of target position of the mount 5 in the R direction. The main control circuit 30 also delivers to the collimator control circuit 33 a result of setting of data of movement amount Na of each leaf block 13. The main control circuit 30 further delivers to the treatment table control circuit 34 a result of setting of data of a target position of the treatment table 2 in the X direction. Each of the circuits 31 to 34 comprises as a main component a microcomputer having a CPU, a ROM and a RAM. The irradiation control circuit 32 controls the X-radiation generator 9. The X-radiation generator 9 starts irradiating radiation based on an operation start signal delivered by the irradiation control circuit 32. The X-radiation generator 9 stops irradiation of X-radiation when receiving an operation stop signal from the irradiation control circuit 32. The mount control circuit 31 controls an amount of rotation of the Redirection motor based on a result of receipt of data of target position in the R direction, so that the mount 5 is operated so as to be moved to the target position in the R direction according to the result of receipt of data of target position in the R direction. The treatment table control circuit 34 controls an amount of rotation of the X-direction motor according to a result of receipt of data of target position in the X direction. The treatment table control circuit 34 also controls an amount of rotation of the Y-direction motor according to a result of receipt of data of target position in the Y direction. Thus, the treatment table 2 is moved to the target position according to the results of receipt of data of target positions in the X and Y directions. As a result, the position of X-radiation is moved to a position according to data of target positions in the X and Y directions, and the mount 5 is moved to a position according to the received data of target position in the R direction, whereupon the position of X-radiation is set to the entered position of the affected part. The collimator control circuit 33 serves as a control device. The leaf block motors 19 of a plurality of leaf drive mechanisms 17 are connected via respective motor drive circuits 35 to the collimator control circuit 33 as shown in FIG. 2. Each motor drive circuit 35 applies normal rotation pulse signals and reverse rotation pulse signals to the corresponding leaf block motor 19. The collimator control circuit 33 thus controls each of the motor drive circuits 35 so that the leaf blocks 13 are individually operated so as to be moved in the departing and oncoming directions. The MR sensors 23 are connected to the collimator control circuit 33 so that the collimator control circuit 33 controls an amount of rotation of each leaf block motor 19 based on the pulse signals delivered by the MR sensor 23. As a result, each leaf block 13 is moved to a position according to the set movement amount Na, whereupon a space having a target configuration according to the received exposure field is defined between the first and second leaf block groups 11 and 12. FIG. 6 shows a control program previously stored on a ROM of the collimator control circuit 33. The collimator control circuit 33 includes a CPU which controls each leaf block motor 19 based on the control program of FIG. 6 so that a space having a target configuration according to the received exposure field is defined between the first and second leaf block groups 11 and 12. The control program will be described with reference to FIG. 6. When receiving each set movement amount Na at step S1 in FIG. 6r the CPU of the collimator control circuit 33 advances to step S2 to reset a leaf block counter Nr of the RAM to 0. The leaf block counter Nr is provided for specifying a leaf block 13 whose position is to be controlled. When having reset the leaf block counter Nr at step S2, the CPU advances to step S3 to reset a pulse counter Np of the RAM to 0. The pulse counter Np is provided for counting the number of pulse signals delivered by each MR sensor 23. When having reset the pulse counter Np at step S3, the CPU advances to step S4 to add “1” to the leaf block counter Nr. When having added “1” to the leaf block counter Nr at step S4, the CPU advances to step S5 to start operation of the leaf block motor 19 in the forward direction according to the addition to the leaf block counter Nr. As a result, the leaf block 13 according to the addition to the leaf block counter Nr is moved in the departing direction A. Step S5 serves as a movement starting unit and a movement starting step. When the leaf block 13 according to the addition to the leaf block counter Nr has been moved in the departing direction A, the CPU advances to step S6 to determine whether one pulse signal has been delivered by the MR sensor 23 according to the addition to the leaf block counter Nr When determining at step S6 that one pulse signal has been delivered by the MR sensor 23, the CPU advances to step S7 to add “1” to the pulse counter Np. Step S7 serves as a movement amount detection unit and a movement amount detection step. When having added to the pulse counter Np at step S7, the CPU advances to step S8 to compare the result of addition to the pulse counter Np with the movement amount Na set according to the addition to the leaf block counter Nr. Step S8 serves as a determination unit and a determination step. For example, when the leaf block 13 set according to the addition to the leaf block counter Nr is moved by the set movement amount Na in the departing direction A, the CPU determines at step S8 that Np=Na and further advances to step S9 to stop the leaf block motor 19 according to the addition to the leaf block counter Nr. Step S9 serves as a movement stopping unit and a movement stopping step. The CPU advances to step S10 when having stopped the leaf block motor according to the addition to the leaf block counter Nr at step S9. At step S10, the CPU compares the result of addition to the counter Nr with the maximum value (Max(=28)) previously stored on the ROM. The CPU returns to step S3 when having determined that Nr<28. When having returned to step S3, the CPU resets the pulse counter Np to 0. The CPU then advances to step S4 to add “1” to the leaf block counter Nr, further advancing to step S5 to start moving in the departing direction A the leaf block 13 according to the result of addition to the leaf block counter Nr. The CPU then repeats steps S6 to S8 so that the leaf block 13 according to the result of addition to the leaf block counter Nr is moved in the departing direction A by the set movement amount Na. When all the leaf blocks 13 have been moved by the movement amount Na in the departing direction A, the CPU determines at step S10 that Nr=Max. In this case, the CPU advances to step S11 to determine whether a treatment completion signal is present. The main control circuit 30 transmits the treatment completion signal to the collimator control circuit 33 when the process of applying X rays has been completed. The CPU advances to step S12 when having determined at step S11 that the treatment completion signal is present. At step S12, the CPU resets the leaf block counter Nr to 0. The CPU then advances to step S13 to reset the pulse counter Np to 0 and further to step S14 to add “1” to the leaf block counter Nr. The CPU then advances to step S15 to start operation in the reverse direction of the leaf block motor 19 specified based on the result of addition to the leaf block counter Nr. Based on the start of operation of the leaf block motor 19, the CPU starts movement in the oncoming direction B of the leaf block 13 specified based on the result of addition to the leaf block counter Nr. When having started the movement of the leaf block 13 in the reverse direction at step S15, the CPU then advances to step S1 to determine whether one pulse has been delivered by the MR sensor 23 specified based on the result of addition to the leaf block counter Nr. When determining that one pulse has been delivered by the MR sensor 23, the CPU advances to step S17 to add “1” to the pulse counter Np. When having added “1” to the leaf block counter Np at step S17, the CPU advances to step S18 to compare the result of addition to the pulse counter Np with the result of setting of movement amount Na according to the result of addition to the leaf block counter Nr. For example, when the leaf block 13 specified based on the result of addition to the leaf block counter Nr has been returned to the origin position Z0, the CPU determines at step S18 that Np=Na, advancing to step S19. The CPU stops the operation of the leaf block motor 19 specified based on the result of addition to the leaf block counter Nr at step S19. The CPU then advances to step S20 to compare the result of addition to the leaf block counter Nr with the maximum value (Max). When determining that Nr<Max, the CPU returns to step S13. When having returned to step S13, the CPU resets the pulse counter to “0.” The CPU then advances to step S14 to add “1” to the leaf block counter Nr and to start movement in the oncoming direction B of the lead block 13 specified based on the leaf block counter Nr. The CPU then repeats steps S16 to S18 so that the leaf block 13 specified based on the leaf block counter Nr is operated so as to be moved to the origin position. When the process of returning to the origin position has been applied to all the leaf blocks 13, the CPU determines at step S20 that Nr=Max, returning to step S1. According to the foregoing embodiment, the magnetic layer 20 is provided on the arc-shaped face 15 of each leaf block 13 extending in the movement direction of each leaf block 13. The magnetic layer 20 has the magnetized portions 21 magnetized in the north pole and the other magnetized portions 22 magnetized in south pole. The MR sensors 23 are disposed in the noncontact state with respect to the respective magnetic layers 20 so as to be stationary. Each leaf drive mechanism 17 is driven based on the output signal of the MR sensor 23 so that each leaf block 13 is operated so as to be moved to the target position in the noncontact manner. Consequently, the space between the first and second leaf block groups 11 and 12 can accurately be set to the target configuration according to the result of input of the exposure field without adverse affection due to variations in the electrical resistance value. In the foregoing embodiment, the magnetic layer 20 having alternately arranged magnetized portions 21 and 22 may be formed on a part of the arc-shaped face of each leaf block 13. FIG. 7 illustrates a second embodiment of the invention. Each leaf block 13 has a plurality of convex portions 41 and a plurality of concave portions 42 alternately formed on the overall arc-shaped face 14 as shown in FIG. 7. The convex portions 41 are circumferentially arranged at regular intervals and have the same circumferential dimension. The concave portions 42 are arranged circumferentially at regular intervals and have the same circumferential dimension as the concave portions 41. The magnetic layers 20 are formed on the leaf blocks 13 so as to be located on the entire arc-shaped faces 14 respectively. Each magnetic layer 20 is formed by applying a powdered magnetic material to the arc-shaped face 14 from over the convex and concave portions 41 and 42. The magnetized portion 21 magnetized in the north pole and the magnetized portion 22 magnetized in the south pole are located on the surface of each convex portion 41. Also, the magnetized portion 21 magnetized in the north pole and the magnetized portion 22 magnetized in the south pole are located on the surface of each concave portion 42. The MR sensors 23 are disposed above the magnetic layers 20 in the noncontact state with respect to the respective magnetic layers 20 so as to be stationary. The collimator control circuit 33 controls each of the plural leaf block motors 19 based on the control program as shown in FIG. 6 so that a space having a target configuration according to the results of delivered exposure field is defined between the first and second leaf block groups 11 and 12. According to the second embodiment, the arc-shaped faces 14 of the plural leaf blocks 13 have the convex and concave portions 41 and 42 formed alternately along the direction of movement of the leaf blocks 13. The magnetic layer 20 is formed on the arc-shaped face 14 of each leaf block 13 so as to be applied from over the convex and concave portions 41 and 42. Accordingly, when each leaf block 13 is moved in the departing or oncoming direction, the convex and concave portions 41 and 42 pass through a detection area of the MR sensor alternately. Consequently, each MR sensor 23 can be subjected to high and low magnetic fields from the leaf blocks 13 alternately. In the second embodiment, the convex and concave portions 41 and 42 both having magnetic layers 20 are alternately formed on a part of the arc-shaped face 14 of each leaf block 13. The magnetized portions 21 and 22 may alternately be formed on portions of the magnetic layer 20 corresponding to the convex portions 41, and the magnetized portions 21 and 22 may alternately be formed on portions of the magnetic layer 20 corresponding to the concave portions 42. In the second embodiment, only the magnetized portions 21 magnetized in the north pole may be formed on portions of the magnetic layer 20 corresponding to the convex portions 41, and only the magnetized portions 22 magnetized in the south pole may be formed on portions of the magnetic layer 20 corresponding to the concave portions 42. In the second embodiment, only the magnetized portions 22 magnetized in the south pole may be formed on portions of the magnetic layer 20 corresponding to the convex portions 41, and only the magnetized portions 21 magnetized in the north pole may be formed on portions of the magnetic layer 20 corresponding to the concave portions 42. In each of the first and second embodiments, a rubber-like magnetic material may be affixed to the arc-shaped face 15 of each leaf block 13 so that the magnetic layer 20 is formed. In each of the first and second embodiments, each MR sensor 23 may be disposed in the noncontact state so as to be stationary below the magnetic layer 20 which is provided on the arc-shaped face 15 of each leaf block 13 and has the magnetized portions 21 and 22. Hall elements may be used instead of the MR sensors 23 in each of the first and second embodiments. Additionally, the collimator control circuit 33 may be arranged to control the leaf block motor 19 so that a part or overall leaf blocks 13 are moved in a batch. The foregoing description and drawings are merely illustrative of the principles of the present invention and are not to be construed in a limiting sense. Various changes and modifications will become apparent to those of ordinary skill in the art. All such changes and modifications are seen to fall within the scope of the invention as defined by the appended claims. |
|
claims | 1. An electrolytic oxide reduction system, comprising:an electrolyte container containing an electrolyte;at least one modular anode assembly supported above the electrolyte container and extending into the electrolyte; andat least one modular cathode assembly supported above the electrolyte container and extending into the electrolyte, the modular cathode assembly including,a cathode basket including a permeable surface permitting the electrolyte to pass through the cathode basket, the cathode basket being electrically conductive, the cathode basket being a cathode, anda cathode plate extending into the cathode basket, the cathode plate being electrically insulated from the cathode basket, the cathode plate being electrically conductive. 2. The electrolytic oxide reduction system of claim 1, wherein the cathode basket includes an upper portion and a lower portion, the upper portion and the lower portion being electrically connected and defining at least one gap in the cathode basket through which material may be placed in the cathode basket, wherein the cathode basket has a planar shape, and wherein the lower portion includes the permeable surface on at least two sides. 3. The electrolytic oxide reduction system of claim 1, wherein the modular cathode assembly further includes at least one insulating band on a surface of the cathode plate, the insulating band having a thickness and length to seat between the cathode plate and cathode basket. 4. The electrolytic oxide reduction system of claim 3, further comprising:at least one basket contact, the modular cathode assembly further including a basket electrical connector shaped to electrically and mechanically connect to the basket contact, the basket electrical connector being electrically connected to the cathode basket; andat least one cathode plate contact, the modular cathode assembly further including a plate electrical connector shaped to electrically and mechanically connect to the cathode plate contact, the plate electrical connector being electrically connected to the cathode plate and electrically insulated from the cathode basket and the basket electrical connector. 5. The electrolytic oxide reduction system of claim 4, wherein a pair of the basket contacts and a pair of the cathode plate contacts are each separated and arranged on opposite sides of the electrolyte container and wherein the basket electrical connector and the plate electrical connector have a same knife-edge shape. 6. The electrolytic oxide reduction system of claim 1, wherein the cathode basket is electrically connected to a first cathode power source, the cathode plate is connected to a second cathode power source, and the first cathode power source is independent of the second cathode power source. 7. The electrolytic oxide reduction system of claim 6, wherein the at least one modular anode assembly is connected to an anode power source. 8. The electrolytic oxide reduction system of claim 1, wherein the cathode basket includes a first surface and an opposing second surface, the first and second surfaces being planar surfaces, the cathode plate being between the first surface and the second surface of the cathode basket. 9. The electrolytic oxide reduction system of claim 1, wherein the cathode basket is between adjacent modular anode assemblies. |
|
claims | 1. A process for recovering at least one platinoid element contained in an acidic aqueous solution comprising chemical elements other than said platinoid element, said process comprising the following steps:bringing said acidic aqueous solution into contact with a reducing amount of a reducing agent which is a non-sulphurous and non-glucidic alcoholic compound chosen from monocyclic aromatic compounds, the ring of which bears at least one, linear or branched, saturated or unsaturated hydrocarbon group, bearing at least one hydroxyl group and the ring of which also optionally bears one or more groups other than the hydrocarbon group, which reducing agent reduces said platinoid element to its 0 oxidation state; andseparating the reduced platinoid element from said acidic aqueous solution. 2. Process according to claim 1, wherein said compounds are phenylic compounds, the phenyl group of which bears at least one, linear or branched, saturated or unsaturated hydrocarbon group comprising from 1 to 4 carbon atoms, which hydrocarbon group bears at least one hydroxyl group, which phenyl group may also bear one or more groups other than the hydrocarbon group. 3. Process according to claim 2, wherein said compounds are phenylic compounds, the phenyl group of which bears a —CH2—OH group and optionally at least one group chosen from alkoxy or —OH groups. 4. Process according to claim 3, wherein such a compound corresponds to the benzylic alcohol of following formula: 5. Process according to claim 3, wherein such a compound corresponds to a benzylic alcohol derivative of following formula:in which R1 is an alkoxy group or a hydroxyl group. 6. Process according to claim 5, wherein R1 is situated in ortho, meta or para position with respect to the —CH2—OH group. 7. Process according to claim 5, wherein R1 is situated in para position with respect to the —CH2—OH group, in which case the compound meets the following formula:R1 being an alkoxy group or a hydroxyl group. 8. Process according to claim 7, wherein such a compound is chosen from 4-methoxybenzylic alcohol or 4-hydroxybenzylic alcohol, which correspond respectively to the following formulas: 9. Process according to claim 2, wherein said compounds are phenylic compounds, the phenyl group of which bears a —CH(OH)—CH3 group and optionally at least one group chosen from alkoxy or —OH groups. 10. Process according to claim 9, wherein such a compound corresponds to a compound of following formula: 11. Process according to claim 2, wherein such compounds are phenylic compounds, the phenyl group of which bears at least one, linear or branched, unsaturated hydrocarbon group comprising from 2 to 4 carbon atoms. 12. Process according to claim 11, wherein such compounds are phenylic compounds, the phenyl group of which bears a —CH═CH—CH2—OH group. 13. Process according to claim 12, wherein such a compound is the cinnamyl alcohol of following formula: 14. Process according to claim 1, wherein the platinoid element is palladium. 15. Process according to claim 1, wherein the acidic aqueous solution is a nitric solution. 16. Process according to claim 15, wherein the nitric solution is a raffinate from processes of treating irradiated nuclear fuels. 17. Process according to claim 1, wherein the group other than the hydrocarbon group is an alkoxy or —OH group. 18. A process for recovering at least one platinoid element contained in an acidic aqueous solution comprising chemical elements other than said platinoid element, said process comprising the following steps:bringing said acidic aqueous solution into contact with a reducing amount of a reducing agent which is cyclohexanol, reducing said platinoid element to its 0 oxidation state; andseparating the reduced platinoid element from said acidic aqueous solution. 19. Process according to claim 18, wherein the platinoid element is palladium. 20. Process according to claim 18, wherein the acidic aqueous solution is a nitric solution. 21. Process according to claim 20, wherein the nitric solution is a raffinate from processes of treating irradiated nuclear fuels. |
|
claims | 1. An X-ray imaging device, comprising:an X-ray source;a square or rectangular X-ray detector; anda collimator comprising an X-ray attenuating material with an aperture therein, the collimator configured to produce an X-ray image with a superellipse shape having a first substantially straight edge and a second substantially straight edge running substantially perpendicular to the first edge, wherein the first and second edges do not physically intersect with each other at 90 degrees. 2. The device of claim 1, wherein the image further comprises a third substantially straight edge and a fourth substantially straight edge running substantially perpendicular to the third edge, and wherein third and fourth edges to not physically intersect with each other at 90 degrees. 3. The device of claim 2, wherein the first and third edges do not physically intersect with each other at 90 degrees, and wherein the second and fourth edges do not physically intersect with each other at 90 degrees. 4. The device of claim 2, wherein the image further comprises a rounded or curved border between the first and second edges, between the second and fourth edges, between the third and fourth edges, or between the third and first edges. 5. The device of claim 2, wherein the image is configured to be displayed on a square or rectangular display and the entire X-ray image can be rotated and viewed on the display without resizing or reshaping the image. |
|
abstract | A system and method of diagnostic imaging is provided that includes determining a position of a subject in a scanning bay and tailoring x-ray attenuation such that the specific position of the subject is taken into consideration. The present invention automatically selects a proper attenuation filter configuration, corrects patient centering, and corrects noise prediction errors, thereby increasing dose efficiency and tube output. |
|
abstract | A method for removing and replacing a bottom-mounted instrumentation nozzle on a nuclear reactor pressure vessel. The method (i) caps or plugs the existing bottom-mounted instrumentation nozzle; (ii) cuts the nozzle at or near the nozzle to in-core instrument tube weld; (iii) installs a water-tight sealing enclosure outside the vessel over the bottom of the bottom-mounted instrumentation nozzle creating a water-tight seal with the underside of the reactor vessel; (iv) cuts to sever the existing bottom nozzle from the reactor vessel; (v) extracts the existing nozzle; (vi) installs a replacement alloy 690 nozzle or plug from inside the vessel; and (vii) welds the replacement nozzle or plug in place. The replacement bottom-mounted instrumentation nozzle incorporates an integral shoulder that prevents ejection during operation and facilitates installation, and the entire method is performed while the reactor pressure vessel is filled with water. |
|
053533151 | description | DESCRIPTION OF THE PREFERRED EMBODIMENT Outline of Contents I. Overview Description of Control Complex II. Panel Overview A. Alarm and Messages PA1 B. Indicator PA1 C. CRT PA1 D. Controller PA1 E. Display Formats PA1 F. Display Integration PA1 A. Discreet Indicators PA1 B. Validity Algorithm Summary PA1 C. Alarm Processing and Display PA1 A. CRT PA1 B. IPSO PA1 The critical function information provided on the 1st level display page that is associated with the critical function. PA1 Information related to success path availability and performance of the success paths that can support that critical function. PA1 High level information presented using a mimic format with the critical function/success path related information. PA1 A time trend of the most representative critical function parameter. PA1 1. RCP 1A PA1 2. RCP 1B PA1 3. RCP 2A PA1 4. RCP 2B PA1 5. RCP SealBleed PA1 6. RCS PA1 7. .sup.T hot PA1 8. .sup.T cold PA1 9. Pressurizer Pressure PA1 10. Pressurizer Level PA1 a. "Fault Select" value or PA1 b. "Operator Select" value. PA1 Both of these are explained below. PA1 a. "Validation Fault" or PA1 b. "PAMI Fault". PA1 1. Normal operation PA1 2. Heatup/cooldown. PA1 3. Cold shutdown/refueling. PA1 4. Post-trip. PA1 "Alarm Tiles 150" PA1 "Primary, 152" PA1 All new/unacknowledged priority 2, 3 and operator aid features change from a fast flash rate to a steady highlighted condition, i.e., tiles and CRT alarm representations. PA1 Any cleared alarm conditions, i.e., slow flash rate, are not presented as alarm information. PA1 Any new alarm condition or cleared alarm condition coming in after the "STOP FLASH" button has been activated, is normally displayed to the operator (i.e., flashing). However, the operator may redepress the alarm "STOP FLASH" button to suppress these conditions. PA1 1) Primary Systems (example, see FIG. 19) PA1 2) Secondary Systems PA1 3) Power Conversion PA1 4) Electrical Systems PA1 5) Auxiliary Systems PA1 6) Critical Functions PA1 Containment Isolation Actuation PA1 Safety Injection Actuation PA1 Main Steam Isolation PA1 Containment Purge Isolation PA1 High Containment Airborne Radiation PA1 High Activity Associated, with Any Release Path PA1 High Coolant Activity PA1 CCW--Component Cooling Water PA1 CD--Condensate PA1 CI--Containment Isolation PA1 CS--Containment Spray PA1 CW--Circulating Water PA1 EF--Emergency Feedwater PA1 FW--Feedwater PA1 IA--Instrument Air PA1 SDC--Shutdown Cooling PA1 RCS--Reactor Coolant PA1 SI--Safety Injection PA1 SW--Service Water PA1 TB--Turbine Bypass PA1 Yes, go to step 2 PA1 No, go to step 5 PA1 If all deviation checks are satisfactory do the following: PA1 If any deviation checks are unsatisfactory, the following occurs: PA1 a. Yes, Output the "PAMI" message and if not previously present, remove the "PAMI Fault Operator Select Permissive" clear the "PAMI Fault" alarm if present, go to step 6. PA1 b. No, Perform the following: PA1 If the previous scan was not "fault select" a "validation fault" has just occurred. Do the following: PA1 If the previous scan was "fault select", validation had failed previously and already picked a "fault select" sensor. Continue to output the "fault select" sensor as the "calculated signal", go to step 6. PA1 If there is no Operator Select permissive, output the "calculated signal", as the "process representation", go to step 9. PA1 If there is an Operator Select permissive, go to step 7. PA1 Yes, output the signal from the selected sensor as the "process representation" go to step 8 PA1 No, output the "calculated signal" as the "process representation" go to step 9 PA1 Yes, output the "PAMI" message on the "process representation" display. PA1 No, remove the "PAMI" message on the "process representation" display. PA1 No, go to step 10 ("bad" sensor evaluations are not performed when the "process representation" is from a "fault select" sensor). PA1 Yes, Deviation check all "bad" sensors (A, B, C, D) against the "valid", or "operator select" signal by the following methods: PA1 Yes, Output the message "Out-of-Range" along with the "process representation" signal. On the CRT place an asterisk (*) preceding the "process representation". Go to step 1 and repeat the algorithm. PA1 No, go to step 1 and repeat the algorithm. PA1 1. Different numbers of sensors PA1 2. Multiple sensors ranges PA1 3. Data reduction in related process measurements. PA1 a. Steps 1-5 (Determination of "Calculated Signal" and Faults) of the generic validation algorithm are modified to account for the following (Steps 1-8 perform these functions): PA1 b. The (Determination of "Calculated Signal" and Faults) and the remainder of the generic validation algorithm (Steps 6-10) are performed independently for each of the cold legs (1A, 1B, 2A, 2B). PA1 c. Two additional algorithms were added: PA1 Cold leg 1A, 1B, 2A and 2B temperature "calculated signal" will be calculated using sensors A,B,C. A validation attempt will be made using narrow range sensors, if that is unsuccessful, the cold leg "calculated signal" will be validated using wide range sensors. In the event that validation fails using both narrow and wide range sensors, the algorithm will select the sensor closest to the last "valid" signal as the "fault select" "calculated signal". PA1 Yes, go to step 2 PA1 No, go to step 5 PA1 If both deviation checks are satisfactory, go to step 4 to see if the average is in range. PA1 If any deviation checks are unsatisfactory, go to step 5. PA1 The average or selected sensor goes in-range at 96% and 4% of narrow range. PA1 The average or selected sensor goes out-of range at 98% and 2% of narrow range. PA1 If in-range, clear the "Validation Fault" alarm, if present, disable the "Validation fault Operator Select Permissive", and output the average or selected narrow range sensor as the "valid" "calculated signal". Go to step 6. PA1 If out-of-range, attempt the wide range validation, go to step 7. PA1 If either sensor A or B passes the deviation check, the algorithm selects the sensor (A or B) that is closest to C. This sensor is selected for further checks. The sensor that deviates the most from sensor C is flagged as a "bad" sensor, if not previously "bad" and its associated sensor deviation alarm is generated if not previously generated. Go to step 4. PA1 If both A and B do not deviation check against C, go to step 7 and attempt wide range validation. PA1 If satisfactory, do the following: PA1 If unsatisfactory, do the following: PA1 If the deviation check is satisfactory, select C sensor as "valid" "calculated signal" and do the following: PA1 If the deviation check is unsatisfactory, validation fails, go to step 8. PA1 If the previous scan was not "fault select", a validation fault has just occurred. Do the following: PA1 If the previous scan was "fault select", validation had failed previously and the algorithm has already picked a "fault select" sensor. Continue to output the signal from the "fault select" sensor as the "calculated signal", go to step 9. PA1 The loop 1 and 2 T.sub.C "process representation" will be calculated by averaging the "process representation" from the A and B cold legs (1A and 1B for loop 1), (2A and 2B for loop 2). PA1 Yes, output average as "valid" go to step 5. PA1 No, go to step 3. PA1 Yes, go to step 4. PA1 No, output the average as "fault select" go to step 5. PA1 Yes, output the average as "fault select" go to step 5. PA1 No, output the average as "operator select", go to step 5. PA1 If the deviation checks are satisfactory, clear the "T.sub.C Cold Leg (1A/1B or 2A/2B) Temp Deviation" alarm, if present, go to step 6. PA1 If either deviation check is unsatisfactory, generate the "T.sub.C Cold Leg (1A/1B or 2A/2B) Temp Deviation" alarm, go to step 6. PA1 Yes, output the average as narrow range, go to step 7. PA1 No, output the average as wide range, go to step 7. PA1 If either or both are out-of-range, output this T.sub.C loop "process representation" signal with the message "out-of-range", go to step 8. PA1 If both are in-range, this T.sub.C loop "process representation" is not output with the message, "out-of-range", go to step 8. PA1 Yes, output the "PAMI" message with the loop (1 or 2) T.sub.C "process representation", the loop T.sub.C algorithm is repeated, go to step 1. PA1 No, do not output the "PAMI" message with the loop (1 or 2) T.sub.C "process representation", the loop T.sub.C algorithm is repeated, go to step 1. PA1 The RCS T.sub.cold "process representation" will be calculated by averaging the "process representation" inputs from loop 1 and 2 T.sub.cold. PA1 No, output the "process representation" from step 2 as "fault select", go to step 6. PA1 Yes, output the "process representation" from step 2 as "fault select", go to step 6. PA1 No, output the "process representation" from step 2 as "operator select", go to step 6. PA1 a. Steps 1-5 (Determination of "Calculated Signal" and Faults) of the generic validation algorithm are modified to account for the following. PA1 b. The remainder of the generic algorithm (Steps 6-10) are renumbered to account for additional steps in the (Determination of "Calculated Signal" and Faults). They are almost identical with the minor modifications described with each step. PA1 These selections include the following: PA1 Yes, go to step 2 PA1 No, go to step 5 and attempt (0-1600 psig range validation) PA1 If all deviation checks are satisfactory, go to step 4 to see if the average is in range. PA1 If any deviation checks are unsatisfactory, the following occurs: PA1 The sensor with the greatest deviation from the average is flagged as a "suspect" sensor, then the algorithm checks to see if this the first or second pass on this scan. PA1 * If the first pass, the algorithm is repeated, beginning at step 1. PA1 * If it is the second pass, the (1500-2500) range validation fails, go to step 5 to attempt 0-1600 psig range validation. PA1 The average goes in-range at 96% and 4% of narrow range. PA1 The average goes out-of-range at 98% and 2% of narrow range. PA1 If in-range, do the following: PA1 If out-of-range, attempt the (0-1600 psig) range validation, go to step 5. PA1 Yes, go to step 6 PA1 No, go to step 9 and attempt (0-4,000 range validation) . PA1 If all deviation checks are satisfactory, go to step 8 to see if the average is in range. PA1 If any deviation checks are unsatisfactory, the following occurs: PA1 The average goes in-range at 96% and 4% of the 0-1600 psig range. PA1 The average goes out-of-range at 98% and 2% of the 0-1600 psig range. PA1 Hysteresis prevents frequent range shifts. Out-of-range occurs at 98% and 2% to ensure that no out-of-range sensors are used to calculate a "valid" output (i.e., worst case sensors would read 100% or 0%). PA1 If in-range, do the following: PA1 If out-of-range, attempt the 0-4000 psig range validation, go to step 9. PA1 Yes, go to step 10. PA1 No, (0-4000 psig) range validation is not possible, go to step 13. PA1 If both deviation checks are satisfactory, do the following: PA1 If either deviation check is unsatisfactory, go to step 13. PA1 Yes, do the following: PA1 No, do the following: PA1 If the previous scan was not "fault select", a validation fault has just occurred, do the following: PA1 Yes, Output the message "Out-of-Range" along the "process representation" signal. On the CRT place an asterisk (*) preceding the "process representation". Go to step 1 and repeat the algorithm. PA1 No, go to step 1 and repeat the algorithm. III. DIAS 1. Mode and Equipment Dependence PA2 2. Subfunction Grouping PA2 3. Shape and Color Coding PA2 4. Alarms on CRT PA2 5. Determining Alarm Conditions PA2 6. Acknowledging Alarms PA2 a. Clear the "Validation Fault" alarm, if previously present PA2 b. Clear the permissive that allows the operator to select a sensor after a validation fault (i e., "Validation Fault Operator Select Permissive"), if previously present. PA2 c. Declare any "suspect" sensor "bad" and output a sensor deviation alarm on that sensor. PA2 d. Output the average as the "valid" "calculated signal". PA2 e. Go to step 4. PA2 a. The sensor with the greatest deviation from the average is flagged as "suspect", then the algorithm checks to see if this is the first or second pass on this scan. PA2 * If the first pass, the algorithm is repeated, beginning at step 1. PA2 * If the second pass validation fails, go to step 5. PA2 Remove the "PAMI" message PA2 Generate a "PAMI" "Fault" alarm PA2 Enable the "PAMI Fault Operator Select Permissive" PA2 Go to step 6. PA2 a. Generate a "Validation Fault" alarm PA2 b. Declare all "suspect" sensors "good". PA2 c. Enable the permissive for the operator to select an individual sensor output for "process representation", the ("Validation Fault Operator Select Permissive") . PA2 d. Deviation check all sensors against the last "valid" signal. Select the sensor that deviates the least from the last "valid" signal as the "fault select" sensor. PA2 e. Output the signal from the "fault select" sensor as the "calculated signal". PA2 f. Go to step 6. PA2 Deviation check "bad" sensors to be (within sum of instrument range uncertainty and expected process variation). PA2 a. Remove "bad" data flags and make them "good" on all sensors passing the deviation check, if present and clear its associated sensor deviation alarm. PA2 b. Maintain "bad" data flags on all sensors failing the deviation check. PA2 c. Go to step 10. PA2 1. Only 3 cold leg sensors. PA2 2. There are wide and narrow range temperature sensors in the same cold leg. PA2 1. An algorithm that averages the 2 cold leg "process representation" to get a loop T.sub.cold "process representation" (1A and 1B for loop 1 and 2A and 2B for loop 2). PA2 2. An algorithm that averages the 2 cold loop "process representation" to get an RCS T.sub.cold "process representation" (loop 1 and loop 2). PA2 a. Disable the "PAMI fault operator select permissive" PA2 b. Output the "PAMI" message with the "valid" "calculated signal". PA2 c. Clear the "PAMI Fault" alarm, if present. PA2 d. Go to step 9. PA2 a. Remove the "PAMI" message. PA2 b. Enable the "PAMI Fault Operator Select Permissive". PA2 a. Clear the "Validation Fault" alarm, if present PA2 b. Disable the "Validation Fault Operator Select Permissive", if it was enabled. PA2 c. Go to step 9. PA2 a. Generate a "validation fault" alarm. PA2 b. Enable the "Validation Fault Operator Select Permissive". PA2 c. Deviation check all sensors (A, B, C) against the last "valid" signal. Select the sensor that deviates the least from the last "valid" signal as the "fault select" sensor. PA2 d. Output the signal from the "fault select" sensor as the leg T.sub.C "calculated signal". PA2 e. Go to step 9. PA2 1. Three sensor ranges 0-1600 psig), (1500-2500 psig) and (0-4000 psig). PA2 a. Clear the "Validation Fault" alarm, if previously present. PA2 b. Remove the "Validation Fault Operator Select Permissive". PA2 c. Output the average as the "valid" "calculated signal". PA2 d. Go to step 12. PA2 The sensor with the greatest deviation from the average is flagged as a "suspect" sensor, then the algorithm checks to see if this is the first or second pass on this scan. PA2 * If the first pass, the 0-1600 psig range algorithm is repeated, beginning at step 5. PA2 * If it is the second pass, the 0-1600 psig range validation fails, go to step 9 to attempt 0-4000 psig range validation. PA2 a. Clear the "Validation Fault" alarm, if previously present. PA2 b. Remove the "Validation Fault Operator Select Permissive". PA2 c. Output the average as the "valid" "calculated signal". PA2 d. Go to step 12. PA2 a. Clear the "validation fault" alarm, if previously present. PA2 b. Remove the "Validation Fault Operator Select Permissive", if previously present. PA2 c. Go to step 12. PA2 a. Output the "PAMI" message, if not previously present. PA2 b. Remove the "PAMI Fault Operator Select Permissive", if previously present. PA2 c. Go to step 14. PA2 a. Remove the "PAMI" message, if previously present. PA2 b. Generate a "PAMI Fault" alarm, if not previously present. PA2 c. Enable the "PAMI Fault Operator Select Permissive". PA2 d. Go to step 14. PA2 a. Generate a "Validation Fault" alarm. PA2 b. Deviation check all sensors (A,B,C,D,E,F,G,H,I,J,K, or L) against the last "valid" signal. Select the sensor that deviates the least from the last "valid" signal as the "fault select" sensor. PA2 c. Output the signal from the "fault select" sensor as the pressurizer pressure "calculated signal". PA2 d. Enable the "Validation Fault Operator Select Permissive". PA2 e. Go to step 14. IV. DPS V. Control Room Integration VI. Panel Modularity APPENDIX (Validity Algorithm) I. OVERVIEW DESCRIPTION OF CONTROL COMPLEX FIG. 1 shows a control room complex in accordance with the preferred embodiment of the present invention. The heart of the main control room 10 is a master control console 12 which allows one person to operate the nuclear steam supply system from the hot standby to the full power condition. It should be appreciated that the control room, equipment and methods described herein, may be advantageously used with light water reactors, heavy water reactors, high temperature gas cooled reactors, liquid metal reactors and advanced passive light water reactors, but for present purposes, the description will proceed on the basis that the plant has a pressurized water NSSS. For such an NSSS, the master control console 12 typically has five panels, one each for the reactor coolant system (RCS) 14, the chemical volume and control system (CVCS) 16, the nuclear reactor core 18, the feed water and condenser system (FWCS) 20, and the turbine system 22. As will be described more fully below, the monitoring and control for each of these five plant systems, is accomplished at the respective panel in the master control console. Immediately overhead behind the core monitoring and control panel 18, is a large board or screen 24 for displaying the integrated process status overview (IPSO). Thus, the operator has five panels and the overhead IPSO board within easy view while sitting or standing in the center of the master control console 12. To the left of the master control console is the safety related console 26, typically including modules associated with the safety monitoring, engineered safeguard features, cooling water, and similar functions. To the right of the master control console is the auxiliary system console 28 containing modules associated with the secondary cycle, auxiliary power and diesel generator, the switch yard, and the heating and ventilation system. Preferably, the plant computer 30 and mass data storage devices 32 associated with the control room are located in distributed equipment rooms 31 to improve fire safety and sabotage protection. The control room complex 10 also has associated therewith, a shift supervisor's office 34, which has a complete view of the control room, an integrated technical support center (TSC) 36 and viewing gallery outside the control area, and other offices 38 in which paper work associated with the operation of the plant may be performed. Similarly, desk, tables, and the like 40 are located on the control room floor for convenient use by the operators. A remote shut-down room 42 (FIG. 2) is also available on site for post-accident monitoring purposes (PAM) . FIG. 2 is a schematic of the information links between the plant components and sensors, which for present purposes are considered conventional, and the various panels in the main control room. It is evident from FIG. 2 that information flows in both directions through the dashed line 46 representing the nuclear steam supply system and turbo generating system boundary. NSSS status and sensor information 48 that is used in the plant protection system 50 and the PAMS 58, passes directly through the NSSS boundary 46. Control signals 52 from the power control system pass directly through the NSSS boundary. Other control system signals 60, 62 from the engineered safeguard function component control system 56 and the normal process component control system 64, are interfaced through the NSSS boundary via remote multiplexors 6. Each of the plant protection system, ESF component control system, process component control system, power control system and PAMs, is linked to the main control room 42, to each other, to the data processing system (DPS) 70 and to the discrete indication and alarm system (DIAS) 72. FIG. 2 illustrates one significant aspect of the present invention, namely, the integration of monitoring, control and protection information, during both normal and accident conditions, so that the operator's task in determining an appropriate course of action is considerably simplified. The way in which this is accomplished will be described in the following sections. II. PANEL OVERVIEW FIGS. 3(a) and 3(b) are schematics of a sit/stand panel such as the reactor coolant system panel 14 from the master control console 12 in accordance with one embodiment of the invention. FIGS. 3(c) and 3(d) show an alternative embodiment for stand up only. The substantially flat upper portion or wall 74 of the panel is vertically oriented and the substantially flat lower or desk portion 76 is substantially horizontal, with the monitoring and alarm interfaces carried by the upper portion, and the control interfaces carried on the lower portion. A. Alarm and Messages The alarm functionality (see FIGS. 9, 15-18) includes alarm interface 78 having a multiplicity of tiles 80 each having a particular acronym or similar cue 81 associated therewith, whereby an alarm and message (A&M) condition is indicated by the illumination of that tile and the generation of an accompanying audible signal. The operator is required to acknowledge the alarm by either pushing the tile or some other interface provided for that purpose. The number of tiles associated with a particular panel is dependent on the number of different alarm conditions that can arise with respect to the monitored system, e.g., the reactor coolant system. Typically, hundreds of such tiles are associated with each panel. The alarms are prioritized into three (3) alarm classes (Priority 1, Priority 2 , and Priority 3, prompting immediate action, prompt action and cautionary awareness). This RCS panel alarms are equipment status and mode dependent (Normal RCS, Heatup/Cooldown, Cold Shutdown/Refueling and Post Trip). When a high priority alarm actuates coincidentally with a low priority alarm on the same parameter, the lower priority alarm is automatically cleared. On improving conditions, the higher priority alarm will flash and sound a reset tone. The operator will acknowledge that the higher priority alarm has cleared. If the lower priority alarm still exists, its alarm window or indicator will turn on in the acknowledged state after the operator acknowledges that the higher priority alarm has cleared. B. Indicator The second monitoring interface are the process variable indicators, for example reactor coolant hot and cold leg temperatures, pressurizer level and pressure, and other RCS parameters. Discrete indicators 82 (see also FIGS. 7 and 8) provide an improved method of presenting the RCS panel parameters. Some RCS panel parameters require continuous validated display and trending on the master control console. Plant process and category 1 parameters like pressurizer level and RCS cold leg temperature fall into this category. Other RCS panel parameters are used less frequently. The discrete indicators 82 provide indication on parameters needed for operation when the Data Processing System (CRT information displays) is unavailable. These include Regulatory Guide 1.97 category 1 and 2 parameters, parameters associated with priority 1 or priority 2 alarms, other parameters needed for operation due to inaccessibility of local gages and parameters that the operator must view for surveillance when the Data Processing System is unavailable for a period of up to twenty-four (24) hours. These less frequently viewed parameters would be available on discrete indicators, with a menu available by operator selection. The menu would show alphanumeric listings of available data points. Lastly, parameters displayed on process controllers need not be available on discrete indicators. C. CRT Additionally, a CRT display 84 generates an image of the major vessels, pipes, pumps, valves and the like associated with, e.g., the reactor coolant system, and displays the alarms and values of the parameters which may be shown in bar, graph, trend line or other form on the other displays 78, 82 (see FIGS. 4-6, 10, 12-14 and 19-23). From this CRT, the operator has access to all NSSS information. The information is presented in a three level structured hierarchy that is consistent with the operator's system visualization. FIG. 4 illustrates the NSSS primary side page directory 84, which accesses all CRT pages related to the functions of the RCS panel. D. Controller In the control portion 76 of the panel 14, a plurality of discrete, on-off switches 86 are provided at the left, for example, each switch pattern being associated with a particular reactor cooling pump whose operating parameters are displayed immediately above it, and analog control interfaces which can be in the form of conventional dials or the like (not shown), or touch screen, discrete control as indicated at 88. Process controllers are provided on the RCS panel to provide the operator with the ability to automatically or manually control process control loops. The process controllers allow control of throttling or variable position devices (such as electro-pneumatic valves) from a single control panel device. Process controllers are used for closed loop control of the following RCS panel process variables: pressure level, pressurizer pressure, RCP Seal Injection Flow and RCP Seal Injection Temperature. Process controllers are designed for each specific control loop utilizing a consistent set of display and control features. In a conventional control room, each process control loop has its own control device, usually referred to as a MANUAL/AUTO Station. For example, the RCP Seal Injection Sub-System has five process control loops, a seal injection flow control loop for each of the four RCPs and a seal injection temperature control loop for the entire sub-system. These five control loops each have their own MANUAL/AUTO station which occupy a large amount of control panel space and make cross loop comparisons cumbersome. Although these five process loops are controlled independently, process variations in one controlled parameter affect the other four process parameters. Conventional MANUAL/AUTO stations make it difficult for the operator to simultaneously interact with the five MANUAL/AUTO stations. The RCS panel process controllers for similar processes (related by function or system) are operated from a single control station, called a process controller. This single control station saves panel space, accommodates convenient cross channel checking and allows easier control loop interaction for multiple related controls. Component control features (i.e., actuation of switches controls) provide the primary method by which the operator actuates equipment and systems on the RCS panel. The RCS panel has forty-three components controlled from momentary type switches. Each switch contains a red status indicator for active or open and a green status indicator for inactive or closed. Blue status indicator lights/switches are used to indicate and select automatic control or control via a process controller. In addition to color coding, the red switch is always located above the green switch to reinforce color distinction. Each switch generates an active control signal when depressed and is inactive when released. Each switch is backlit to indicate equipment status/position. E. Display Formats Process display formats use standard information placement for similar processes and equipment. Fluid system piping representations are where possible standardized, top to bottom, left to right, with avoidance of crossovers. Incoming and outgoing flow path connections are placed at the margins. Related data are grouped by task and analysis specifications for comparison, sequence of use, function, and frequency. Process representations/layout are based on the operator's process visualization to maximize the efficiency of his data gathering tasks. The operator's visualization of a system is often based on diagrams used with learning materials and plant design documentation associated with system descriptions. Graphic information is presented on display page formats to aid in rapid operator comprehension of processes. Graphic information includes the use of bar graphs, flow charts, trends, and other plots, (e.g., Temp. vs. Press.). Bar graphs are primarily used to represent flows, pressures and levels. Since level corresponds to a tank, the bar graph is placed with consistent spatial orientation with respect to the tank symbol. Level bar graphs are oriented vertically. Flow bar graphs when used are oriented horizontally. Bar graphs are also helpful for comparison of numeric quantities. Flowcharts are used when they aid in the operator's process visualization. Flowcharts are helpful for understanding control system processes such as the Turbine Control System. Operator's learning materials for process control systems are frequently in a flowchart format, and thus a similar format on a display page is easy to comprehend. Trends are used on display page formats when task analysis indicates that the operator should be informed about parameter changes over time. Additionally, the operator is able to establish trends of any data base points in the plant computers data base. In some situations, task analysis may indicate that more than one trend is important to monitor process comparisons. In other situations such as heatup/cooldown curves, two parameters may be placed on the different ordinate axis of a graph. When more than one trend curve occupies the same coordinate axes, two ordinate vertical axes can be used for parameters that have different units. Scale labels are divisible by 1, 2, 5 or 10. Tick marks between scale labels are also divisible by 1, 2, 5 or 10. Trended information is typically presented on display pages with a scale of 30 minutes. However, the operator is able to adjust the scale to suit his needs. Logarithmic axes may be established using multiples of 10. If full range is less than 10, an intermediate range label is located to fall near the middle of the scale. Different colors are used for trends occupying the same coordinates. When multiple curves use a common scale, the scale is grey and the curves are color coded. When multiple ordinate scales are used, they are color coded in correspondence to the curve. The colors used for trends will not include the alarm color or normal status color to avoid associating process parameter with normal or alarm conditions. Color is used to aid the operator in rapidly discriminating between different types of information. Since the benefits of color coding are more pronounced with fewer colors, coding on informational displays (i.e., IPSO, CRTs, alarm tiles) is limited to seven colors. In addition, color coded information has other representational characteristics to aid in discrimination of data and discrimination by color deficient observers. The following colors are used in the information display to represent the following types of information. The colors used have been carefully selected to yield satisfactory contrast for red-green deficient color observers. ______________________________________ Color Representation Characteristics ______________________________________ Black Background color. Green Component Off/Inactive, Valve Closed and Operable. Red Component On/Activated, Valve Open and Operable. Yellow Alarm Status-Good attention-getting color. Grey Text, labels, dividing lines, menu options, piping, inoperable and non-instrumented valves, graph grids, and other applications not covered by other coding conventions. Light Blue Process parameter values. White System's response to operator touch, e.g., menu selection until appropriate system response occurs. ______________________________________ Shape coding is used in the information system to aid the operator to identifying component type, operational status, and alarm status. Component shape coding is based on symbology studies which included shape coding questionnaires given to nuclear power plant personnel. FIGS. 5 and 6 show the shapes used to represent components in the control room. An attribute of shape, hollow/solid, is reflective of the status of the component. Hollow shape coding indicates that the component is active, whereas solid shape coding is used to represent inactive components. An example of shape coding for a pump and valve is described as follows. Pump A hollow pump indicates that the pump has been activated by the operator to automatic control signal. A solid pump indicates that the pump has been deactivated by the operator or automatic control signal. PA0 Valve A hollow valve indicates that the valve is fully open and a solid valve indicates that the valve is fully closed. A valve not fully open or closed has a mixed solid/hollow shape, i.e., left side solid/right ride hollow. PA0 Valve Open and Operable--Red Color Coding. PA0 Valve Closed and Operable--Green Color Coding. PA0 Non-Instrumented Valve--Grey Color Coding (Position is Operator Inputted). PA0 Valve Not Operable--Grey Color Coding with Alarm Coding. PA0 Loss of Indication--Grey Color Coding with Alarm Coding and mixed hollow/solid shape. PA0 Level 1 Display Page--"Critical Functions": this page provides more detail on the critical function matrix presented on IPSO. Specifically, more detail on alarm conditions (descriptor, priority). This will help guide the operator to the appropriate level two critical function display page. PA0 1. When validation fails and a "FAULT SELECT" sensor is selected for the "process representation". PA0 2. When the "Valid" output does not correlate to the PAMI sensor(s). PA0 1. The "process representation" is always displayed on the applicable DIAS display and/or CRT page(s) where a single "process representation" is needed as opposed to multiple sensor values. Each plant process parameter will be evaluated individually to determine the type of display required and location (DIAS and CRT or CRT only). PA0 2. The "process representation" is always a "valid" value unless there is a: PA0 3. The "process representation" is always used for alarm calculations and trending (where a single value is normally trended). This can be "valid" , "fault select" or "operator select" data, depending on the results of the algorithm calculations as described below. PA0 4. Using a menu on DIAS or the CRT, the operator may view any of the values (A,B,C,D or calculated output) without changing the "process representation". PA0 5. A "Fault Select" value will be displayed automatically as the "process representation" when the validation algorithm is unable to yield "valid" data. The "fault select" value is the output of the sensor closest to the last "valid" signal at the time validation initially failed. On DIAS (if applicable), this information will be labeled "fault select". On the CRT(s) graphic pages, this information is preceded by an asterisk(*) to indicate suspect data. The "fault select" "process representation" is automatically returned to a "valid" "process representation" when the validation algorithm is able to calculate "valid" data. PA0 6. An "operator select" sensor may be selected for the "process representation" only when there is a: PA0 The "operator select" "process representation" will replace the "valid" or "fault select" "process representation". On DIAS (if applicable), this information will be labeled "operator select". On the CRT(s), this information will be preceded by an asterisk(*) on graphic displays and labelled "operator select" in the data base. The "operator select" "process representation" is automatically replaced by the calculated "valid" signal when both the "Validation Fault" and the "PAMI Fault" clear. PA0 1. Conditions that may cause a trip in less than 10 minutes. PA0 2. Conditions that may cause major equipment damage. PA0 3. Personnel/Radiation hazard. PA0 4. Critical Safety Function violation. PA0 5. Immediate Technical Specification Action Required. PA0 6. First-Out Reactor/Turbine Trip. PA0 1. Conditions that may cause a trip in greater than 10 minutes. PA0 2. Technical specification action items that are not Priority 1. PA0 3. Possible equipment damage. PA0 1. Unacknowledged Alarm--If there is an unacknowledged alarm associated with an alarm tile, the alarm tile will flash at a fast rate (i.e., 4 times/sec using a 50/50 duty cycle as depicted by the long rays in FIG. 9). This condition takes precedence over all other alarm tile states for group alarms. PA0 2. Cleared Alarm/Return to Normal (Reset Alarm)--When an alarm condition clears, the corresponding alarm tile flashes at a slow rate (i.e., 1 time/sec using a 50/50 duty cycle as depicted by the short rays in FIG. 9) until this condition has been acknowledged. This condition takes precedence over the remaining two states for grouped alarms. PA0 3. Alarm--If an alarm condition exists and alarm states 1 and 2 above do not exist, then the alarm tile is lit without flashing (as depicted by the absence of rays in FIG. 9). PA0 4. No Alarm--If there is no alarm condition associated with an annunciator tile, then the alarm tile is not lit (not depicted in FIG. 9). To indicate that the alarm tile's bulb is functioning, a lamp test feature is provided. PA0 A) First Level Display Page Set (Major Plant System/Function Groupings 142) PA0 B) Control Room Workstation 144 PA0 C) Alarm tiles 146 PA0 1) The operator selects the "Alarm List" menu option 140 (FIG. 4) followed by the "Elec." menu option 148 (FIG. 12). This accesses the categorized alarm listing of the type shown in FIG. 14 beginning with the electrical alarms. PA0 2) If the operator wishes to view alarms associated with a specific alarm, e.g., RCP1A, he selects the following menu options from page 84 (FIGS. 4 and 12): PA0 A. Categorized Alarm List--The operator selects "Alarm List" followed by the tile, e.g., "RCP1A", menu option. The categorized alarm list is accessed with RCP1A alarms at the top of the page. PA0 B. Alarm Messages--The operator can use the alarm tile menu options in the same method that the control panel alarm tiles are used. The selection of an alarm tile menu option provides the alarm message and a menu with display pages that can provide supporting information about the alarm condition. PA0 1) Alarm acknowledgement via the annunciator tiles--Alarms can be acknowledged by depressing alarming/unacknowledged annunciator tiles or a CRT annunciator tile representation. This action changes the annunciator tile from a flashing condition to a solid condition when all alarm conditions associated with the tile have been acknowledged and silences any audible sound (described later) associated with the alarm condition. Alarm messages are viewed on the message window (when using the physical tile) and the workstation's CRT message line (see FIG. 16). PA0 2) Alarm acknowledgement using alarm listing pages--Alarms can be acknowledged on the categorized listing by touching alarm tile touch targets associated with the alarm tile categories (see FIG. 14). Upon touching the alarm tile's representation, all alarms associated with that tile are acknowledged. This means of alarm acknowledgement may be the most useful for acknowledging multiple alarms remote to the operator's location. PA0 1. Unacknowledged Priority 1 or 2 Alarms. PA0 2. An Alarm Reminder Tone for Priority 1 or 2 Unacknowledged or Cleared Conditions. PA0 3. Cleared Priority 1 Alarms, or Cleared Priority 2 Alarms. PA0 1) The next higher level (when applicable) display page in the hierarchy, item (c). This feature is more meaningful on a 3rd level display page since the next higher level page is a level 2 display page which is not normally on the menu. PA0 2) Display pages of systems that are connected to or support the process of the presently displayed page (h,i). PA0 3) All six first level display pages (b,c,d,e,f,g). PA0 4) The IPSO display page (a). PA0 5) The last page viewed on the monitor (j). PA0 (1) Display Page Access Using Alarm Tiles--This mechanism for display page access may be most useful for obtaining display pages associated with the workstation's process. By pressing a workstation alarm tile from display 78, such as 80 (FIG. 15), region 4 of the workstation CRT's display page menu changes to a new menu with display page options associated with the alarm tile's descriptor. For example, as shown in FIG. 23 an RCP1A alarm tile provides menu options associated with RCP 1A. The desired display page will then be a direct access menu option. PA0 (2) Accessing CRT Information from the Discrete Indicators--Each discrete indicator 82 such as shown in FIG. 7, has a CRT access touch target 158. This button provides for access to supporting information for the process parameter that is presently displayed on the discrete indicator. By touching the CRT target on the discrete indicator, region 4 of the menu options on the workstation's CRT changes to menu options containing display pages with supporting and diagnostic information associated with the process parameter. PA0 (3) Display Page Access Using a Display Page Directory--Any display page of the display page hierarchy can be accessed using the presently displayed menu. For example, if the operator is viewing the Feedwater System display page and wants to access the CVCS display page, the following sequence takes place (refer to FIGS. 22 and 4): PA0 The operator selects "by touch" the "DIRECTORY" menu option (option 1 in region 2 on FIG. 22) followed by the "PRIMARY" menu option (option b in region 3 on FIG. 22). PA0 This accesses the primary section of the display page hierarchy from the display page library (see FIG. 4). Each display page within the primary section of the display page hierarchy is a touch target on this display page, and now the operator can select the CVCS display page. Any page in the display page hierarchy can be accessed using this feature. PA0 The "DIRECTORY" menu option is followed by the desired hierarchy associated with one of the six first level display pages, menu options b,c,d,e,f or g on FIG. 22. PA0 Poor performance of a success path/system that is being used to support a critical function. PA0 An undesirable priority 1 deviation in a power production function (pre-trip). PA0 Unavailability of a safety system (less than minimum availability as defined by Reg. Guide 1.47). PA0 (a) Feedwater and Condensate System Status Information (i.e., operational status, alarm status) PA0 (b) Steam Generator Levels, Dynamic Representation PA0 (c) Steam Generator Safety Valve Status PA0 (d) Atmospheric Dump Valve Status PA0 (e) Main Steam Isolation Valve Status PA0 (f) Turbine Bypass System Status PA0 (a) Plant net electric output, digital value. PA0 (b) Alarm information for deviations in important processes associated with the main turbine and turbine generator. PA0 (c) Power distribution operational and alarm status to the plant busses and site grid. PA0 (a) Circulation water system status. PA0 (b) Alarm information for critical deviations in condenser pressure conditions. PA0 (a) Diesel Generator Status PA0 (b) Status of Power Distribution within the Power Plant PA0 (c) Instrument Air System Status PA0 (d) Service Water System Status PA0 (e) Component Cooling Water System Status PA0 Validation and Display Algorithm PA0 Determination of "Calculated Signal" and Faults (Steps 1,2,3,4,5) Validation Attempt (Steps 1, 2, 3) PA0 1. The algorithm checks to see if there are 2 or more "good" sensors. PA0 Note: A sensor is "good" if it was not declared a "bad" sensor on the previous scan or a "suspect" sensor on a previous pass. PA0 2. The algorithm averages all "good" sensors (A,B,C,D). Go to step 3. PA0 3. Deviation checks all good sensors against the average (within sum of 1/2 instrument uncertainty and expected process variation). PA0 Note: If the deviation check fails on the first pass, the algorithm has used one or more bad sensors to calculate the average. Performing a second pass eliminates the one bad sensor or determines that multiple sensors are bad. PA0 Note: Failing to pass the deviation check on the second pass indicates that there are two or more simultaneous sensor failures. The algorithm cannot be sure to correctly eliminate only the bad sensors, therefore the algorithm must fail. This ensures that the algorithm does not calculate an incorrect "valid" signal for this case. Normally without two or more simultaneous failures, the algorithm will detect multiple non-simultaneous deviations, sequentially eliminate them from the algorithm and still determine a "valid" signal. PA0 4. (Step applicable if process has a Category 1 PAMI Sensor). If there is no PAMI sensor(s) in this process, the step is not performed, go to step 6. PA0 Does the "valid" signal deviation check against the PAMI sensor(s). PA0 Note: The "PAMI Fault Operator Select Permissive" allows the operator to select any sensor for the "process representation" when the "calculated signal" (i.e., algorithm's "valid" output) does not agree with the PAMI sensor(s). PA0 Failed Validation (Step 5) PA0 5. The algorithm Checks to see if the "calculated signal" on the previous scan was a "Fault Select" sensor. PA0 Note: This step ensures that the algorithm will attempt to validate using all sensors not previously determined "bad" on the next validation attempt. PA0 Note: It is important that the sensor initially fault selected be retained since over time other failed sensors may erroneously appear more accurate. PA0 "Process Representation" Selection (Steps 6, 7) PA0 6. The algorithm checks to see if there is either the "Validation Fault Operator Select Permissive" or the "PAMI Fault Operator Select Permissive". PA0 Note: A validation fault enables one Operator Select Permissive and failure of the "valid" algorithm output to deviation check satisfactorily against "PAMI" gives the other Operator Select Permissive. PA0 7. Check to see if the operator has selected a sensor as the "process representation". PA0 Note: This step outputs the "calculated signal" as the "process representation" when the operator has the option to select a sensor, but does not use that option. PA0 PAMI Check of "Operator Select" Sensor (Step 8) PA0 8. Does the "operator select" sensor deviation check against the PAMI sensor (within sum of PAMI instrument uncertainty and expected process variation). PA0 Bad Sensor Evaluation (Step 9) PA0 9. Is the "process representation" "valid" or "operator select". PA0 Range Check (Step 10) PA0 10. The algorithm checks to see if the "process representation", is at or above the maximum numerical range, or at or below the minimum numerical range for the sensors. PA0 Note: "Out-of-range" informs the operator that the actual process value may be higher or lower than the sensor is capable of measuring. In the case of process measurements with multiple ranges of sensors this check will cause the selection of sensors in a new range. PA0 Note: On the RCS panel, RCP Differential Pressure, SG Differential Pressure and Pressurizer Level Reference Leg Temperature use this generic validation algorithm directly. The T.sub.cold, T.sub.hot, Pressurizer Level and Pressurizer Pressure algorithms this generic algorithm with additional steps and minor modifications to accommodate: PA0 T.sub.cold Validation Algorithm (FIG. 37) PA0 1. Determine a "process representation" temperature in each of the 4 cold legs (1A, 1B, 2A, 2B) through a combination of deviation checking and averaging (the details are described later). PA0 2. From the results in step 1, determine a T.sub.cold "process representation" for each RCS loop (loop 1 and loop 2) by averaging the corresponding A, B data. PA0 3. From the results in step 2, determine a RCS T.sub.cold "process representation" for normal display and alarms by averaging loop 1 and 2 data. PA0 1. The leg 1A, 1B, 2A, 2B, loop 1, 2 and RCS T.sub.cold "process representation" shall always be displayed on the applicable DIAS display and/or CRT page(s) where a single "process representation" is needed as opposed to multiple sensor values. PA0 2. The T.sub.cold algorithm and display processing is identical to the generic validation algorithm with the following modifications: PA0 3. Using a menu (as described in the generic validation algorithm) on DIAS or the CRT the operator may view any of the 12 sensor values or 7 "calculated signals". PA0 Validation Algorithms PA0 Note: To simplify the discussion of sensor tag numbers, the following letters will be used to designate sensors in a cold leg. PA0 A--1st narrow range sensor (safety) (465.degree.-615.degree. F.) PA0 B--2nd narrow range sensor (safety) (465.degree.-615.degree. F.) PA0 C--wide range sensor (PAMI) (50.degree.-750.degree. F.) PA0 D--wide range sensor in opposite cold leg (i.e., when discussing loop 1A, this will be the wide range sensor in loop 1B, PAMI) (50.degree.-750.degree. F.) PA0 Method to Determine Cold Leg 1A, 1B, 2A, or 2B T.sub.cold "Process Representation" PA0 1. Determination of "calculated signal" and faults, as described below (Steps 1-8): PA0 2. "Process Representation" selection (Steps 9, 10) (similar to steps 6 and 7 of the generic validation algorithm). PA0 3. PAMI Check of "operator select" sensor (Step 11) (identical to step 8 of the generic validation algorithm). PA0 4. Bad Sensor Evaluation and Range Check (Step 12, 13) (similar to steps 9, 10 of the generic validation algorithm). PA0 Cold Leg (1A, 1B, 2A or 2B Validation and Display Algorithm Determination of "Calculated Signal" and Faults (Steps 1-8) Narrow Range Validation Attempt (Steps 1-5) PA0 1. The algorithm checks to see if there are two "good" narrow range sensors (A and B). PA0 Note: A sensor is "good" if it was not declared a "bad" sensor on the previous scan. PA0 2. The algorithm averages A and B, go to step 3. PA0 3. Deviation check both "good" narrow range sensors (A and B) against the average (within sum of 1/2 narrow range uncertainty and expected process variation). PA0 Range Selection (Step 4) PA0 4. The algorithm checks to see if the average or selected narrow range sensor is in-range. PA0 Note: Hysteresis is needed to prevent frequent shifts at end-of-range. Out-of-range occurs at 98% and 2% to ensure that no out-of-range sensors are used to calculate a "valid" output (i.e.: worst case sensors would read 100% or 0%). PA0 5. The algorithm deviation checks narrow range sensors (A and B) against sensor C (within sum of wide range instrument uncertainty and expected process variation). PA0 Valid PAMI Check (Step 6) PA0 6. The algorithm checks to see if the "valid" average or selected sensor deviation checks satisfactorily against the PAMI sensor (C). (Within sum of 1/2 wide range uncertainty and expected process variation). PA0 Note: This feature allows the operator to select another sensor for the cold leg "process representation" when the algorithm's "valid" output does not correlate with postaccident monitoring indication (sensor c). PA0 Wide Range Validation Attempt (Step 7) PA0 7. Deviation check C against D (within sum of wide range instrument uncertainty and expected process validation). PA0 Note: To validate the single wide range sensor in a cold leg, the algorithm deviation checks it against the wide range sensor in the other cold leg of that loop (i.e., if in loop 1, 1A wide range sensor is deviation checked against the 1B wide range sensor). PA0 Failed Validation (Step 8) PA0 8. The algorithm checks to see if the "calculated signal" on the previous scan was a "fault select" sensor. PA0 T.sub.C Leg (A or B) "Process Representation" Selection (Steps 9, 10) PA0 9. Step 9 is identical to step 6 of the generic validation algorithm. PA0 10. Step 10 is identical to step 7 of the generic validation algorithm except for the following. The operator may select any sensor A, B or C from that cold leg or A, B, C from the opposite cold leg (A or B) as the "process representation". PA0 PAMI Check of "Operator Select" Sensor (Step 11) PA0 11. This step is identical to step 8 of the generic validation algorithm. PA0 Bad Sensor Evaluation (Step 12) PA0 12. This step is identical to step 9 of the generic validation algorithm except that wide range instrument uncertainties are used on all deviation checks except when narrow range sensors are being deviation checked against a narrow range signal, in this case narrow range instrument certainties will be used. PA0 Range Check (Step 13) PA0 13. This step is identical to step 10 of the generic validation algorithm. PA0 Method to Determine Loop 1 and 2 T.sub.cold "Process Representation" PA0 Note: To simplify the discussion of the cold leg (1A, 1B, 2A or 2B) "process representation" inputs to the loop 1 or loop 2 algorithm, A will designate the input from leg 1A or 2A and B will designate the input from leg 1B or 2B leg T.sub.C. PA0 1. The algorithm averages the "process representation" inputs from the A and B cold legs and outputs the average as the loop (1 or 2) T.sub.C "process representation". PA0 2. The algorithm checks to see if A and B are "valid". PA0 3. The algorithm checks to see if A or B is "operator select". PA0 4. The algorithm checks to see if A or B is "fault select". PA0 5. Deviation check A and B against the average. (Within sum of 1/2 wide range instrument uncertainty and expected process variation). PA0 6. The algorithm checks to see if A and B are narrow range. PA0 7. The algorithm checks to see if either or both inputs is out-of-range. PA0 8. The algorithm checks to see if A and B inputs are PAMI. PA0 Method to Determine RCS T.sub.cold PA0 5. The algorithm checks to see if signal 1 or 2 is "fault select". PA0 Range Check PA0 6. This step is identical to step 10 of the generic validation algorithm. Go to step 1 and repeat the algorithm. PA0 Pressurizer Pressure Validation Algorithm (FIG. 38) PA0 1. The "process representation" pressure shall always be displayed on the applicable DIAS display and/or the CRT page(s) where a single "process representation" is needed as opposed to multiple sensor values. PA0 2. The pressure algorithm and display processing is identical to the generic validation algorithm with the following modifications: PA0 3. Using a menu (as described in the generic validation algorithm) the operator may view any of the 12 sensor values or single "calculated signal". PA0 Validation Algorithm PA0 Pressurizer Pressure Validation and Display Algorithm Determination of Calculated Signal and Faults (Steps 1-13) 1500-2500 psig Range Validation Attempt (Steps 1-4) PA0 1. The algorithm checks to see if there are 2 or more "good" (1500-2500 psig narrow range) sensors. PA0 Note: A sensor is "good" it was not declared a "bad" sensor on the previous pass or a suspect sensor on a previous pass. PA0 2. The algorithm averages all "good" (1500-2500) range sensors (A, B, C, D, E and F). Go to step 3. PA0 3. Deviation check all "good" (1500-2500) range sensors against the average (within sum of 1/2 narrow range uncertainty and expected process variation). PA0 Note: If the deviation check fails on the first pass, the algorithm has used one or more bad sensors to calculate the average. Performing a second pass eliminates the one bad sensor or determines that multiple sensors are bad. PA0 Note: Failing to pass the deviation check on the second pass indicates that there are two or more simultaneous (1500-2500) range sensor failures. The algorithm cannot be sure to correctly eliminate only the bad sensors, therefore the (1500-2500) range validation must fail. The 0-1600 psig range validation is attempted. This ensures that the algorithm does not calculate an incorrect signal for this case. Normally without two or more simultaneous failures, the algorithm will detect multiple non-simultaneous deviations, sequentially eliminate them from the algorithm and still determine a "valid" signal. PA0 Range Selection (Step 4) PA0 4. The algorithm checks to see if the average is in-range. PA0 Note: Hysteresis prevents frequent range shifts. Out-of range occurs at 98% and 2% to ensure that no out of-range sensors are used to calculate a "valid" output (i.e., worst case sensors would read 100% and 0%). PA0 0-1600 psig Range Validation Attempt (Steps 5-8) PA0 5. The algorithm checks to see if there are 2 or more "good" 0-1600 psig range sensors (G, H, I and J) . PA0 6. The algorithm averages all "good" 0-1600 psig range sensors (G, H, I and J). Go to step 7. PA0 7. Deviation check all "good" 0-1600 psig range sensors against the average (within sum of 1/2 of the 0-1600 psig range uncertainty and expected process variation). PA0 Note: If the deviation check fails on the first pass, the algorithm has used one or more bad sensors to calculate the average. Performing a second pass eliminates the one bad sensor or determines that multiple sensors are bad. PA0 Note: Failing to pass the deviation check on the second pass indicates that there are two or more simultaneous 0-1600 psig range sensor failures. The algorithm cannot be sure to correctly eliminate only the bad sensors, therefore the 0-1600 psig range validation must fail. The 0-4000 psig range is attempted. This ensures that the algorithm does not calculate an incorrect signal for this case. Normally, without two or more simultaneous failures, the algorithm will detect multiple non simultaneous deviations, sequentially eliminate them from the algorithm and still determine a "valid" signal. PA0 Range Selection (Step 8) PA0 8. The algorithm checks to see if the average is in range. PA0 0-4000 psig Range Validation Attempt (Steps 9, 10, 11) PA0 9. The algorithm checks to see if both of the 0-4000 psig range sensors (K and L) are "good". PA0 10. The algorithm averages K and L, the 0-4000 psig range sensors. Go to step 11. PA0 11. Deviation check K and L against the average (within sum of 1/2 0-4000 psig range uncertainty and expected process variation). PA0 Valid-PAMI Check (Step 12) PA0 12. Does the "valid" "calculated signal" deviation check against the PAMI sensors. Use method a. if the "valid" "calculated signal" is in the 1500-2500 psig or 0-1600 psig range, and method b. if in the 0-4000 psig range. PA0 Method (a) (within sum of 1/2 0-4000 psig range instrument uncertainty, plus process variation, plus instrument position constant). PA0 Method (b) (within sum of 1/2 0-4000 psig range instrument uncertainty, plus process variation). PA0 Note: The (0-4000 psig) wide range sensors (K and L) are not located on the pressurizer, as are the other pressure sensors. The K and L sensors are positioned at the discharge of the reactor coolant pumps (RCPs) where they measure RCS pressure. During normal operation the pressure at this location is much higher (approximately 110 psi for a System 80 plant) than at the pressurizer, where sensors (A, B, C, D, E, F, G, H, I and J) are located. An additional deviation acceptance criteria (called instrument position constant) will be used when deviation checks are made with or against the K and L (0-4000 psig range) sensors. PA0 Failed Validation (Step 13) PA0 13. The algorithm checks to see if the "calculated signal" output of the previous scan was a "fault select" sensor. PA0 Pressurizer Pressure "Process Representation" Selection (Steps 14, 15) PA0 14. Step 14 is identical to step 6 of the generic validation algorithm. PA0 15. Step 15 is identical to step 7 of the generic validation algorithm PA0 PAMI Check of "Operator Select" Sensor (Step 16) PA0 16. Step 16 is identical to step 8 of the generic validation, except that the deviation criteria are the same as those specified in step 12 of this pressurizer pressure validation and display algorithm. PA0 Bad Sensor Evaluation (Step 17) PA0 17. This step is identical to step 9 of the generic validation algorithm, except that the deviation criteria checks are the same as those specified in step 12 of this pressurizer pressure validation and display algorithm PA0 Range Check (Step 18) PA0 18. The algorithm checks to see if the "process representation" is at or above the maximum numerical range (1600 psig for the 0-1600 psig sensors, 2500 psig for the 1500-2500 psig sensors and 4000 psig for the 0-4000 psig sensors) or at or below the minimum numerical range (0 psig for the 0-1600 psig and 15-4000 psig sensors and 1500 psig for the 1500-2500 psig sensors). PA0 Note: "Out-of-range" informs the operator that the actual pressure may be higher or lower than the sensor is capable of measuring. Information coding on valves is provided by these additional characteristics/representations: F. Display Integration Information associated with safety related concerns is integrated as a part of the control room information to allow the operator to use safety related information, where possible, during normal operation. This is a better design from a human factors view than that of previous control rooms because in stressful situations, people tend to use information that they are most familiar with. In many situations, safety related parameters are only a subset of the parameters that monitor a particular process variable. Operators of present control room designs typically use control or narrow range indications during process control and should use separate safety related indications when monitoring plant safety concerns. In this invention, the parameters typically used for monitoring and control are validated for accuracy against the safety related parameter(s), where available. If a parameter deviates beyond expected values from the associated safety related information, a validation alarm is presented to the operator. In response to an alarm condition, the operator can review the individual channels associated with the parameter on either a diagnostic CRT page or the discrete indicator displaying that parameter. At this time, he can select the most appropriate sensor for display. The operator is informed when the validation algorithm is able to validate the data. The resultant output of the validation algorithms are used on IPSO, the normally displayed format of a discrete indicator, and the higher level display pages on the CRT display system that contain the parameter. The Regulatory Guide 1.97 category 1 information is also displayed, by discrete indication display, at a single location on the safety monitoring panel. Critical Function and Success Path (availability and performance) information is accessible throughout the information hierarchy (see FIGS. 10, 24, 25, 26, 27, 32-35). Alarms provide guidance to unexpected deviation in critical functions as well as success path unavailability or performance problems. Priority 1 alarms alert the operator to the inability to maintain a critical function as well as the inability of a success path to meet minimum functional requirements. Lower priority alarms provide subsystem/train and component unavailability or poor performance. IPSO provides overview information that is most useful for operator assessment of the Critical Functions. Priority 1 alarms associated with the Critical Functions or Success Paths supporting the critical function are presented on IPSO critical function matrix. Supporting information relating to these alarm conditions is available by using the alarm tiles or the critical function section of the CRT display page hierarchy. The critical function section of the display page hierarchy contains the following information: A 2nd level page exists for each of the 12 critical functions. Each page contains: The 3rd level display pages in the critical function hierarchy are a duplicate of display page existing elsewhere in the hierarchy. For example, a safety injection display page display page under Inventory Control also exists within the primary section of the display page hierarchy. III. DISCRETE INDICATOR AND ALARM SYSTEM A. Discrete Indicators The discrete indicators 82 provide an improved method of presenting safety related parameters. Major process parameters such as Regulatory Guide 1.97 Category 1, require continuous validated display and trending on the master control console. The discrete indicators also provide indication and alarms on parameters needed for operation when the Data Processing System (DPS) is unavailable. These include Regulatory Guide 1.97 Category 1, 2 and 3 parameters, parameters associated with priority 1 or priority 2 alarms, and other surveillance related parameters. Though the DPS is a highly reliable and redundant computer system, its unavailability is considered for a period of up to twenty-four hours. The less frequently viewed parameters are available on discrete indicators, with a menu available by operator selection. Each discrete indicator has the capability to present a number of parameters associated with a component, system, or process. The discrete indicators present various display formats that are based on fulfilling certain operator information requirements. When monitoring or controlling a process such as pressurizer pressure, it is desirable that the operator use a "process representation" value in the most accurate range. For this type of information, the discrete indicator 82, such as shown in FIGS. 7 and 8, presents a bold digital value 90 in field 92 and an analog bar graph 94 of the validated average of the sensors in the most accurate range. The preferred validation technique is described in the Appendix, and validated status is indicated in field 96. This validated data is checked against post-accident monitoring indication (PAMI) sensors when applicable. When in agreement with the PAMI, as shown at field 98 the indicator may be used for post-accident monitoring. This has the advantage of continuing to allow the operator to utilize the indicator he is most familiar with and uses on a day-to-day basis. The operator, upon demand, can display any individual channel on the discrete indicator digital display by touching a sensor identification such as 102. The use of validated parameters is a benefit to operators by reducing their stimulus overload and task loading resulting from presentation of multiple sensor channels representing a single parameter. When the parameter cannot be validated, the discrete indicator displays the sensor reading that is closest to the last validated value. A validation alarm is generated for this condition. The discrete indicator continues to display this sensor's value until the operator selects another value for indication. The field 96 on the discrete indicator that usually read "VALID" displays "FAULT SEL" in reverse image. This indicates that the value is not validated and has been selected by the computer. In this circumstance, the operator should review the available sensors that can be used for the "process representation". If the operator makes a sensor selection (which is enabled by a validation fault or failure of the "VALID" signal to agree with PAMI), the field 96 the "FAULT SEL" will be replaced by the message "OPERATOR SELECT", which is displayed in reverse image. When the validation algorithm can validate the data and all faults have cleared, the validation fault alarm will clear and the algorithm will replace the "FAULT SELECT" or "OPERATOR SELECT" "process representation" in field 92 with the "VALID" "calculated signal". Parameters that are required for monitoring the overall performance of plant processes or responding to priority 1 or 2 alarms are provided on discrete indicators. The most representative process parameter is the normally displayed value. Through menu options, the operator can view the other process related parameters. There are ten discrete indicators provided for the RCS panel. The indicators are: FIG. 7 illustrates that two related discrete indicators can be shown on a single display 82. On the left side of the display 82 validated pressurizer pressure is shown whereas at the right, pressurizer level is shown. The pressure display includes the following: digital "process representation" value 90 with units of measurement (2254 psig), quality 96 of the display (VALID), indication 98 that the display is acceptable for post accident monitoring (PAMI), bar chart 94 with the process value, a 30 minute trend 104, normal operating range (NORMAL) 106, instrument range (1500-2500) and units of measurement for the bar chart (psig). In the upper right hand corner of the PRESS display, there are two buttons, "CRT" and "MENU". When touched, the selected button backlights, indicating selection. When the operator removes his hand, the actual selection is processed. The "CRT" button changes the CRT 84 menu options on the CRT located at the same panel as the discrete indicator where the button is pushed, e.g., RCS panel 14 as shown in FIG. 3. This "CRT" option identifies the CRT pages most closely associated the parameters on the discrete indicator. The "MENU" button selects the discrete indicator menu (FIG. 8). The upper section of the menu page is nearly identical to the normal display. It contains the digital "process representation" value 90 with units of measurement (2254 psig), quality of display (valid), indication that the display is acceptable for post accident monitoring (PAMI), CRT and MENU buttons. The lower section of the menu page contains selector buttons, such as 102, for all sensor inputs and "calculated signals" of this discrete indicator. The selector buttons 102 backlight when touched, indicating selection. When the operator removes his finger, the actual processing of the selection takes place. There are 13 buttons for pressure: four for 0-1600 psig pressurizer pressure: P-103, P-104, P-105 and P-106; six for 1500-2500 psig pressure: P-101A, P-101B, P-101C, P-101D, P-100X and P-100Y; two for 0-4000 psig RCS pressure: P-190A and P-190B; and one for the "calculated signal" pressure: CALC PRESS. When selected, the "CALC PRESS" button displays the "calculated signal" (i.e., the output of the algorithm). The "calculated signal" of the algorithm can be a "valid" signal. If the algorithm were to fail and select an individual sensor for the "calculated signal", the "valid" message would be replaced by the message "fault select". This message "fault select" would be displayed in reverse image on the discrete indicator. This message would be displayed on the discrete indicator any time "CALC PRESS" is selected until the algorithm outputs a "VALID" signal to replace the "FAULT SELECT" sensor. To change the display, the operator would touch the button containing the sensor he wished to view. For example: by touching the button marked "P-103", the digital display would display the output from the 0-1600 psig range sensor P-103. The message "VALID" below the digital value would be replaced by the message "P-103". Additionally, the "PAMI" message would be removed because P-103 is not a PAMI sensor. The button "ANAL/ALARMOPER SEL" selects the signal used for the "process representation" in DIAS. It selects whatever sensor is displayed on the digital display. The signal select button gives the operator the option to "operator select" any of the sensors for analog display and alarm processing when a fault exists, such as: If a fault were present and the operator elected to select P-103 for the "process representation", he would select the menu, select P-103 for display and then touch the "ANAL/ALARM OPER SEL" button. The message in field 96 below the digital display would read "P-103 OP SEL" in reverse image. Any time P-103 was selected for display, it would have the message "OP SEL" displayed in reverse image, indicating that the output from P-103 is being used for the "process representation". After selecting an "operator select" sensor for the "process representation", it is expected that the operator will depress the button marked "ANALOG DISPLAY". This would return to the analog 94 and trend display 104 (FIG. 7) for the operator selected sensor with the message "OP SEL" in reverse image. The "ANAL/ALARM OPER SEL" button is not normally displayed on the discrete indicator menu page; it automatically displays when the "operator select permissive" is enabled after a fault. The "ANAL/ALARMOPER SEL" button is removed from the menu page when the "operator select permissive" is disabled after all faults are corrected. The button "ANALOG DISPLAY" removes the menu page and replaces it with the bar graph (analog) and trend display for whatever sensor or "calculated signal" is currently selected as the "process representation" (normally the "valid" "calculated signal" output). Other validated process parameter discrete indicators operate in an identical manner. Menu driven discrete indicators contain all level 1 and 2 displays for a functional group of indication. B. Validation Algorithm Summary To reduce an operator's task loading and to reduce his stimulus overload, a generic validation algorithm is used. This algorithm takes the outputs of all sensors measuring the same parameter and generates a single output representative of that parameter, called the "Process Representation". A generic validation approach is used to ensure that it is well understood by operators. This avoids an operator questioning the origin of each valid parameter. This generic algorithm averages all sensors [(A,B,C and D) (sensor quantity may be parameter specific)] and deviation checks all sensors against the average. If the deviation checks are satisfactory, the average is used as the "Process Representation" and is output as a "valid" signal. If any sensors do not successfully pass the deviation check against the average, the sensor with the greatest deviation from the average is taken out and the average is recalculated with the remaining sensors. When all sensors used to generate the average deviation check satisfactorily against the average, this average is used as the "valid process representation". This "valid process representation" is then deviation checked against the post-accident monitoring system sensors (if present). If this second deviation check is satisfactory, the "process representation" is displayed with the message "Valid PAMI" (Post-Accident Monitoring Indication), indicating that this signal is suitable for monitoring during emergency conditions, since it is in agreement with the value as determined by the PAMI sensors. As long as agreement exists, this indicator may then be utilized for post-accident monitoring rather than utilizing the dedicated PAMI indicator. This provides a Human Factors Engineering advantage of allowing the operator to use the indicator he normally uses for any day-to-day work and which he is most familiar with. The validation process, as described, reduces the time an operator takes to perform the tasks related to key process related parameters. To ensure timely information, all validated outputs are recalculated at least once every two seconds. Additionally, redundancy and hardware diversity are provided in the calculating devices insuring reliability. The following section describes the algorithm and display processing on the DIAS and CRT displays. It should be appreciated that the discrete validation is accomplished using a generic algorithm that is applicable to different parameters. In this manner, the operators understand how the validated reading has been determined for every parameter and, again, this reinforces their confidence. This algorithm always has an output and allows the operator selection for display when validation is not possible. The discrete indicators continuously display all vital information yet allow easy access via a function or organized menu system to enable the operator to access less frequently needed information. There is no need for separate backup displays, since the backups are integrated in the subsidiary levels of retrieval. Such displays vastly reduce the amount of indicator locations required on the panel and yet provide all vital indication in a easy to use format, thereby reducing stimulus overload. The Appendix in conjunction with FIGS. 37 and 38 provide additional details on the preferred implementation of the algorithm. C. Alarm Processing and Display Another feature of the monitoring associated with each panel, is the reduction of the number of alarms that are generated, in order to minimize the operator information overload. Cross channel signal validation is accomplished prior to alarm generation, and the alarm logic and set points are contingent on the applicable plant mode. The alarms are displayed with distinct visual cueing in accordance with the priority of the required operator response. For example, priority 1 dictates immediate action, priority 2 dictates prompt action, priority 3 is cautionary, and priority 4, or operator aid, is merely status information. The types of alarm conditions that exist within each category are described below: Priority 1 Priority 2 Priority 3 1. Sensor deviations. 2. Equipment status deviations. 3. Equipment/process deviations not critical to operation. The alarms are displayed using techniques that help the operator quickly correlate the impact of the alarm on plant safety or performance. These techniques include grouping of displays which highlight the nature of the problem rather than the symptom denoted by the specific alarm condition. Another is the fixed spatial dedication of alarm displays allowing pattern recognition. Another is the plant level pictorial overview display on the IPSO board which shows success paths and critical functions impacted by the priority 1 alarms. To ensure that all alarms are recognized by the operator without task overload, all alarms can be either individually acknowledged, or acknowledged in small functionally related groups. All alarms can be acknowledged at any control panel. Momentary audible alerts for alarm state changes require no operator action to silence. Periodic momentary audible reminders are provided for unacknowledged conditions. The operator can affectuate a global alarm stop flash which will automatically resume in time, to allow for deferred acknowledgement. In addition to alarms, an information notification category "Operator Aids" has been established for information that may be helpful for operations but is not representative of deviations from abnormal conditions. Conditions classified as "Operator Aids" include: channel bypass conditions, approach to interlocks and equipment status change permissive. Some parameters have more than one alarm on the same parameter (i.e., Seal Inlet Temperature Hi Hi and Hi). To limit the operator's required response, the lower priority is automatically cleared without a reset tone or slow flash rate when the higher priority alarm actuates after actuation of the lower priority alarm. The Hi Hi alarm will be acknowledged by the operator; therefore, the operator acknowledgement of the cleared lower priority alarm is unnecessary. When the condition improves to the point where the higher priority alarm clears, the condition will sound a reset tone and the alarm window will flash slowly. The operator will acknowledge that the higher priority alarm has cleared. If the lower priority alarm condition still exists, its alarm tile or indicator will turn on in the acknowledged state after the operator acknowledges that the higher priority alarm has cleared. If the condition improves such that it clears both the high and low priority alarms before operator acknowledgement, then operator acknowledgement of the cleared high priority alarm will also clear the lower priority condition. 1. Mode and Equipment Dependency A key feature of the alarm system is its mode dependent and equipment status dependent logic. These features combine to greatly reduce the number of alarms received during significant events and limit those alarms to conditions that actually represent process or conditions that actually represent process or component deviations pertinent to the current plant state. Mode and equipment dependency is implemented both through alarm logic changes and setpoint changes. An alarm of mode dependency is the reduction in the low pressurizer alarm setpoint to avoid a nuisance alarm on a normal reactor ring. Equipment dependent logic is used to actuate a low flow alarm only when an upstream pump is supposed to be operating. Four modes have been selected which correspond to significant changes in the alarm logic based on the plant state. These modes are: The alarm modes are manually entered by the operator with the exception of the post-trip mode. Upon a reactor trip, the alarm logic automatically switches to the post-trip mode with no operator action required. All equipment dependent alarm features are actuated automatically without operator action. 2. Subfunction Grouping The RCS panel has over 200 conditions that can cause an alarm. To reduce the operator's stimulus overload due to the quantity of alarms and improve his alarm comprehension, many alarms are grouped into subfunctional groups 108, 110, 112 (FIG. 15). The subfunctional group alarm tiles have a variety of related subfunctional group alarm messages that are read on the panel alarm message window 114 (adjacent to the alarm tile) or CRT. In cases where key process related parameters are alarmed, there is a single alarm message for each alarm tile (i.e., RCS Pressure Low). This single alarm message allows the operator to quickly identify the specific process related problem. As shown in FIG. 16, some alarms are grouped by similar component rather than process function, and are augmented by a message such as 116. As shown in FIG. 9, each alarm tile can be in one of the following states: 3. Shape and Color Coding Alarm information is identified by a unique tile color, preferably yellow 118. The parameter/component descriptor or concise message 120 within the tile is shown in blue. Grey color coding is used for the tile color 122 for Return to Normal conditions. Shape coding is used to identify alarm priority, i.e., 1, 2 or 3. A single bright color is used for alarm information to maximize the attention-getting quality of this information. The shape coding used for identifying alarm priorities uses representational features of decreasing levels of salience. Shape coding of alarm priorities also allows retention of priority information for Return to Normal conditions. For priority 1 alarms, the alarm tiles, mimic diagram components, symbols, process parameters, and menu option fields have their descriptor presented in reverse image (i.e., blue letters 120 on a yellow 118 solid rectangular background 124) using the alarm color coding. The descriptor is presented in blue to provide good contrast for readability. In addition, the alarm tiles and menu option fields on the CRT use the same representation. For priority 2 alarms, the alarm tiles, mimic diagram parameters, components, menu options, and symbols have a thin (1 line) box 126 using the yellow alarm color code around their descriptor, which is blue. For priority 3 alarms, the alarm tiles, mimic diagram parameters, components, menu options, and symbols have brackets 128 around their descriptors. For all alarms, English Descriptors on the CRT's message line are also represented with the alarm representation formats when they are in alarm. 4. Alarms on CRT Each CRT page in the data processing system provides the operator with an overview of the existence of any unacknowledged alarm conditions and a general overview of where they exist within the plant. The standard menu provided with each display page contains the IPSO and all first level display pages as menu options (see FIG. 10 menu region 130). These menu option fields provide the existence of unacknowledged alarms in their sector of the display page hierarchy and their alarm status/priority by using the alarm highlighting feature as described above. If an alarm tile (i.e., in the DIAS) is in alarm, a first level display page menu option field, such as 132, in the menu options 130 shows that an alarm condition exists in an associated area of the display page hierarchy. The alarm tiles in menu 130 are categorized into the first level display page set corresponding to the console groupings or by critical function as shown in FIG. 11. In addition to alarm information represented on the first level display page menu options, the following display page features are also used to represent the existence of alarms. Display page menu options 134 that provide access to levels 2 and 3 display pages are lit with the above described alarm representation if information on the corresponding page is in alarm (e.g., if an unacknowledged alarm exists, the display page menu option is highlighted to show the highest priority unacknowledged condition). The operator can by selecting option 136, call up a level 2 display page directory containing a pictorial diagram of the level 3 display pages in a hierarchical format associated with a first level display page (see FIGS. 12 and 15). Each of the level 2 and 3 display pages represented on this diagram provide alarm notification if information on that display page is in an unacknowledged alarm state. This alarm information is most useful for determining where alarms exist within an area of the display page hierarchy. For example, the operator would be notified by the display page menu 130 (FIG. 10) that an unacknowledged alarm(s) exists in the auxiliary systems by grey alarm shape coding (return to normal) and slow flashing of alarm coding on the "PRI" menu option field. He can then access that directory/hierarchy to see what page(s) contains alarm information by touching the menu option "DIRECTORY 136" followed by "PRI". When the Primary display directory comes up (FIG. 12), the field(s) representing the display page(s) that contains the alarm condition(s) (such as PZR LEVEL 138) will be highlighted. The desired page that contains the alarm information (similar to FIG. 15) is accessed by touching the flashing field. The descriptors of components and plant data on the process display pages of the CRT (FIG. 13) are alarm coded and flashed to provide indication of alarms and their acknowledgement status. A component's descriptor can provide this alarm information if a parameter associated with the component is in alarm. This is true even if the parameter in alarm is not represented on the display pages, e.g., low pump lube oil pressure is represented by alarm coding of the associated component's symbol. To view the exact information that is in alarm, the operator can access a lower level display page, or use the alarm system features that are described later. 5. Determination Alarm Conditions and Acknowledging Alarms With reference again to FIG. 16, each category 1 and 2 alarm annunciator tile in the DIAS may notify the operator of more than one possible alarm condition. To quickly determine the actual alarm condition, a message window 114 is provided in the display area 78 on the panel. By depressing an unacknowledged alarming annunciator tile such as 134, an English description 116 of the specific alarm condition is provided on the message window 114. The alarm tile 134 remains flashing until all alarm conditions associated with the alarm tile have been acknowledged. The English descriptors of additional alarms can be accessed by redepressing the alarm tile 134. At the same time that a message appears on the message window of a DIAS alarm display 78, an alarm message is presented on another field 132 at the bottom of the display page 84 on the panel CRT (see FIG. 13). The CRT alarm message contaings the following information: Time, Priority, Severity (e.g., Hi, Hi-Hi), Descriptor, Setpoint, and real time process value (coded as described to show the alarm priority and alarm condition). If additional unacknowledged alarms exist that are associated with the tile, the number of additional unacknowledged alarms is specified within a circle 136 at the right hand side of the message area (see FIG. 13). In addition to this alarm message, menu options/fields appear on the display page menu (Region 4) and provide direct access to the display pages that can be used to obtain supporting or diagnostic information of the alarm condition. The display regions are shown in FIG. 22. The alarm tiles that are in alarm on the DIAS display 78 of a given panel can be accessed and acknowledged on any CRT panel by procedure similar to accessing and acknowledging the alarms via the alarm tiles. By selecting the "Alarm Tiles" menu option followed by an alarming display page menu option, i.e., first level display page set (region 3), the alarm tiles that are in alarm, that are associated with the display page, are provided in region 4 of the display page menu. One tile is depicted and is a touch target that provides access to other tiles. The operator acknowledges and reviews these CRT alarm tiles by touch and obtains alarm messages and supporting display page touch targets in the same format as described above. This means of responding to alarming alarm tiles is most useful for responding to alarms at workstations that are remote to the operator's location. All alarm conditions associated with an annunciator tile in the DIAS display are held in a buffer. The buffer containing alarm conditions is arranged in the following format: ______________________________________ 1. First-In Unacknowledged 2. . . . . . N Last-In Unacknowledged N + 1 First-In Cleared/Return to Normal N + 2 . . . . . . . n Last-In Cleared/Return to Normal n + 1 Acknowledged Alarms n + 2 . . . . . ______________________________________ Depressing an alarm tile provides access to the alarm condition that is at the top of the buffer. Acknowledging unacknowledged alarms moves these alarm conditions to the bottom of the buffer. Acknowledging cleared alarms drops them from the buffer. Previously acknowledged alarm(s) (n+1,n+2, . . . ) can be reviewed when there are no unacknowledged or cleared unacknowledged alarm conditions present. Upon reviewing these alarms, they move to the bottom of the buffer. Alarm messages for priority 3 alarms and operator aids are only generated by the computer and only appear on the message line 132 of the CRT page (FIG. 3); there will be no English descriptor provided on the message window of the DIAS display 78. One annunciator tile is provided at each annunciator workstation for all priority 3 alarms and 1 alarm tile is provided on the workstation for operator aids that are associated with these workstation. When an alarm condition changes priority, the following changes occur in the alarm handling system. When a higher priority alarm comes in on the same parameter, the previous alarm is automatically cleared (i.e., no operator acknowledgement necessary since he will need to acknowledge the higher priority condition) without a reset tone or slow flash rate. When an alarm condition improves to the point where the high priority alarm clears, the operator will need to acknowledge that the higher priority alarm has cleared; however, if the lower priority alarm still exists, it will turn on (upon operator acknowledgement of the higher priority cleared condition) and automatically go to the acknowledged state (i.e., no operator action required). The new lower priority alarm condition will be observed by the operator when reading the alarm message in response to clearing the highest priority alarm. The invention provides a means of listing and categorizing alarms, and accessing supporting display pages accessible from the fields 138 of the DIAS display 78 and 140 of the CRT display 84 shown in FIGS. 15 and 13, respectively. In this system, alarms are provided on alarm listing display pages. The categories of alarms in this listing are as follows (see FIG. 14): A workstation's alarm tiles in alarm are listed by priority. Alarms associated with the alarm tiles are listed as they are contained in the alarm tile's alarm buffer. These alarm categories provide alarm data consistent with operator's information needs in response to alarm conditions. When accessing the Categorized Alarm Listing 78 via page 84 (FIGS. 4 and 12), the operator can easily select the data in the category he wishes to see. Using the "Alarm List" menu option 14 (FIG. 4) followed by a display page feature that represents alarm condition(s) (FIG. 12), the operator can view the specific alarm conditions that he is interested in (FIG. 14). Three examples of accessing alarm data in the categorized list from page 84 (FIG. 4) follow. The display page's menu changes to a representation of the alarm tiles that are in alarm and are associated with the Primary Systems (see FIG. 14). At this time, the operator can request one of two different types of information formats associated with the displayed alarm tiles: Alarm information is also provided on all process display mimic diagrams which contain a component or parameter which is in an alarm condition. Color, and shape coding is used to indicate alarm conditions, as described earlier. Parameters in alarms that are associated with a component can cause the represented component's descriptor to be highlighted to indicate an alarm condition if the parameter is not visible on the display page, e.g., pump lube oil pressure may not be listed on a level two display page, so the pump's descriptor may be alarm coded. If the operator desires to see the exact alarm condition associated with a component, he would access the appropriate lower level display page. Alternatively, he could touch the "Alarm Tiles" menu option followed by touching the component's descriptor and respond to the alarm using alarm tile representations. This action also accesses menu options associated with display pages that provide more detail about the component. The following means of alarm acknowledgement is provided with the invention. Each of these methods of alarm acknowledgement clears unacknowledged alarm indicators in the other alarm formats. When an alarm condition clears, the operator needs to be notified. Notification is accomplished by flashing the annunciator tiles and associated process display page information at a slow rate. Acknowledging or resetting the cleared alarm indications takes place in a mechanism similar to acknowledgement of new alarms, i.e., touching an alarm tile or CRT alarm representation/feature. Distinct sounds/tones are provided in the control room to indicate the following alarm information: An audible alarm, tone 1 or 3, is only present for 1 second and tone 2 will repeat periodically, once every minute, until all new or cleared alarms are acknowledged. In situations where multiple unacknowledged alarms exist, the operator needs to direct his attention at the highest priority new alarm conditions. In this situation, all other unacknowledged alarms, i.e., new priority 2, 3 and all cleared alarm conditions, are added noise that distracts the operator from most important alarm conditions. In the control room, a "STOP FLASH" and "RESUME" button exists at the MCC, ACC and ASC. When the "STOP FLASH" button is depressed, the alarm system's behavior exhibits the following characteristics: The alarm reminder tone informs the operator about any unacknowledged new or cleared alarm conditions that exist. To identify these conditions for acknowledgment, the operator selects a "resume" button which returns all unacknowledged and cleared conditions to their normal representational alarm status. The alarm suppression button is backlit after selection to show that the alarm suppression feature is active. So that the operator can provide quick, direct access to supporting information thereby enhancing the operator response to alarm conditions, a single operator action provides alarm acknowledgement, display of alarm parameters, and selection options for CRT display pages appropriate for the alarm condition. The invention provides redundancy and diversity in alarm processing and display such that the operators have confidence in intelligent alarm processing techniques and such that plant safety and availability are not impacted by equipment failures. Priority 1 and 2 alarms are processed and displayed by two independent systems. Two-system redundancy is invisible to the operators through continuous cross-checking and integrated operator interfaces. FIGS. 16-18 show a schematic alarm response using the tiles in accordance with the invention. The illustrated group of tiles is associated with the reactor coolant pump seal monitoring in the reactor cooling system panel shown in FIG. 3. The priority 2 seal/bleed system trouble alarm is illuminated to alert the operator, who then can read a more complete message in the message window, which indicates a high control bleed-off pressure. Such a message is provided for priority 1 and 2 alarms. The same message in more complete form is displayed on the panel CRT. The CRT also identifies menu options that indicate useful supporting display pages. Alternatively, the operator may directly access a listing of all the alarms in a particular group. Thus, overview of the alarm conditions is provided with the tiles, and the detail is provided with the associated messages. A given alarm is rendered more or less important at a particular point in time, depending on the equipment status and the mode of operation of the NSSS. Alarm handling is reduced by validation of the parameter signals, and clearing automatically lower priority alarms when one of the higher priority alarms is actuated on the same condition. IV. DATA PROCESSING SYSTEM A. The CRT Display The CRT shown 84 in the center of the panel in FIG. 3 is part of the data processing system which processes and displays all plant operational data. Thus, it is linked to all other instrumentation and control systems in the control room. FIGS. 2, 28 and 30 schematically show the relationship of the data processing system with the control system, plant protection system, and discrete indication and alarm system. The data processing system 70 receives from the control system 64, the same sensor data that is used by the control system for executing the control logic. Likewise, it receives from the discrete indication and alarm system 72 the validated sensor data that is used by the discrete indication and alarm system for generating the discrete alarms and displays. The plant protection system 50 does not use internally validated data for its trip logic, and this "raw" signal is for each channel passed along to the data processing system 70 which performs its own signal validation logic 154 on the plant protection system signals, and passes on the internally validated signal to the validated signal comparison logic 156. In that functional area, the validated signals from the control system 64, the plant protection system 50 and the discrete indication and alarm system 72 are compared and displayed on the CRT 84. It should be appreciated that both the validated signal from the comparison logic 156 and the validated signal from the plant protection system are available for display on the CRT 84. Thus, the CRT display within each panel includes signal validation and all CRTs in the plant are capable of accessing any information available to the other CRTs in the plant. Moreover, on any given CRT, the alarm tile images from any other panel may be generated and the alarms acknowledged. Detailed display indicator windows may be accessed as well. The CRTs have a substantially real time response, with at most a two-second delay. The CRT display pages contain all the power plant information that is available to the operator, in a structured, hierarchic format. The CRT pages are very useful for information presentation because they allow graphical layouts of power plant processes in formats that are consistent with operator visualization. In addition, CRT formats can aid operational activities, where appropriate, by providing trends, categorized listing, messages, operational prompts, as well as alert the operator to abnormal processes. The primary method the operator obtains information formats on the CRTs is through a touch screen interface which operates in a known manner. The touch screens are based on infrared beam technology. Horizontal and vertical beams exist in a bezel mounted around the face of each color monitor. When the beams are obstructed by the user, the coordinates are cross-referenced with the display page data base to determine the selected information. Messages and Supporting Display page option touch targets can be accessed onto panel CRTs by touching other panel features, e.g., discrete indicators and alarm tiles. IPSO is available as a display page and forms the apex of the display page hierarchy (See FIGS. 10, 22 and 24). Three levels exist below IPSO, where each level of the hierarchy provides consistent information content to satisfy particular operational needs. The structure of the hierarchical format is based on assisting the operator in the performance of his tasks as well as providing quick and easy access to all information displayed via the CRTs. The display formats on the top level provide information for general monitoring activities, while the lowest level formats contain information that is most useful for supporting diagnostic activities. Level 1 display pages provide information that is most useful for general monitoring activities associated with a major plant process. These display pages inform the operator of major system performance and major equipment status and provide direction to lower level display pages for supportive or diagnostic information. The level 1 display pages are as follows: Level 2 display pages provide information that is most useful for controlling plant components and systems. These pages contain all information necessary to control the system's processes and functions. Parameters which must be observed during controlling tasks appear on the same display, even though they may be parts of other systems. Proposed operating procedures or guides for controlling components are utilized for determining which parameters to display. FIG. 20 is a sample display for Reactor Coolant Pump 1A and 1B Control. The operator would normally monitor the "Primary System" display page to assess RCS performance. If the operator wishes to operate or adjust RCP 1A or 1B, the operator would access the control display page. All information for Reactor Coolant Pump Control is on the control display to preclude unnecessary jumping between display pages. Level 3 display pages provide information that is most useful for diagnostic activities of the component and processes represented in level 2 display pages. Level 3 display pages provide data useful for instrument cross-channel comparisons, detailed information for diagnosing equipment or system malfunctions, and trending information useful for determining direction of system performance changes, degradation or improvement. FIG. 21 shows a diagnostic display of the Seal and Cooling section of RCP1A; the pump portion, the supporting oil system, and the motor section are presented on a separate display page due to display page information density limits. Display page access is accomplished through the use of menus placed on the bottom of the display pages. Each display page contains one standard menu format that provides direct, i.e., single touch, access to all related display pages in the information hierarchy. The menu has fields (see FIG. 10) where display page title are listed. By selecting a field (a thru j), the specified display page is accessed. The menu option fields associated with a display page includes the following (see FIG. 22). To access a display page described by a menu option, the operator would select the menu option (a-k) by touching the desired menu option field on the monitor. The menu option is highlighted (using black letters on a white background) until the display page appears. Since the menu options provide direct access to a minimum set of display pages in the display page hierarchy, alternate means are available for quickly accessing other display pages. Three options are available to the operator: In addition to the menu options described above, menu options exist for "LAST PAGE", "ALARM LIST", "ALARM TILES", "OTHER", and horizontal paging options ("Keys"). The "LAST PAGE" (option j on FIG. 22) provides direct access to the last page that was on the monitor. This is very useful to operators for comparison of information between two display pages, or retrieval of information that the operator was previously involved with. The "ALARM LIST" (option n on FIG. 22) provides for quick access to the alarm listing display pages. The "ALARM TILES" (option m on FIG. 22) provides for quick access toi alarm tile representations of active alarm tiles in the area above Region 4(see FIG. 23) of the workstation's CRT menu. This allows an operator to access alarm information associated with specific tiles on any workstation's CRT. This method of alarm access is further described in Section 5 of this document. The "OTHER" (option k on FIG. 22) provides access to display pages or information that does not fall into the categories of information described by the presently displayed menu options. B. IPSO Another part of the data processing system is the integrated process status overview (IPSO board) 24. Although the number of displays and alarms stimulating the operator at any one time can be considerably reduced using the panels having the discrete alarm, discrete display, and CRT displays described above, the number of stimuli is still relatively high and, particularly during emergency operations, may cause delay in the operator's understanding of the status and trends of the critical systems of the NSSS. A single display is needed that presents only the highest level concerns to the operator and helps guide the operator to the more detailed information as it is needed. Although some attempts have been made in the past to present a large board or display to the operator, such displays to date have not included a significant consolidation of information in the nature to be described below. The IPSO board presents a high level overview of all high level concerns including overview of the plant state, critical safety and power functions, symbols representing key systems and processes, key plant data, and key alarms. IPSO information includes trends, deviations, numeric values of most representative critical function parameters, and the existence and system location of priority 1 alarms including availability and performance status for systems supporting the critical functions. This is otherwise known as success path monitoring. The IPSO board also can identify the existence and plant area location of other unacknowledged alarms. Thus, IPSO bridges the gap between an operator's tendency toward system thinking and a more desirable assessment of critical functions. This compensates for reduction in the dedicated displays to help operators maintain a field plant conditions. It also helps operators maintain an overview of plant performance while being involved in detailed diagnostic tasks. IPSO provides a common mental visualization of the plant process to facilitate better communication among all plant personnel. In FIG. 25, the condition illustrated is a reactor trip. At the instance illustrated, the temperature rise in the reactor is 27.degree. and the average temperature rise is higher than desired and rising as indicated by the arrow and "+". The pressurizer pressure is higher than desired, but it is falling. Likewise, the steam generator water level is higher than desired but falling. FIG. 24 shows a CRT display page hierarchy wherein the IPSO is at the apex, the first level display page set contains generic monitoring information for each of the secondary, electrical, primary, auxiliary, power conversion and critical function systems, the second level of display pages relates to system and/or component control, and the third level of display pages provides details and diagnostic information. IPSO is a continuous display visible from any control room workstation, the shift supervisor's office, and Technical Support Center. The IPSO is centrally located relative to the master control console. The IPSO also exists as a display page format that is accessible from any control room workstation CRT as well as remote facilities such as the Emergency Operations Facility. The IPSO large panel format is 4.5 feet high by 6 feet wide. Its location, above and behind the MCC workstation, is approximately 40 feet from the shift supervisor's office (the furthest viewable point). One of the beneficial aspects of IPSO is the use of IPSO information to support operator response to plant disturbances, particularly when a disturbance effects a number of plant functions. IPSO information supports the operator's ability to respond to challenges in plant power production as well as safety-related concerns. IPSO supports the operator's ability to quickly assess the overall plant's process performance by providing information to allow a quick assessment of the plant's critical safety functions. The concept of monitoring plant power and safety functions allows a categorization of the power and safety-related plant processes into a manageable set of information that is representative of the various plant processes. ______________________________________ The critical functions are: Critical To: Function Power Safety ______________________________________ 1. Reactivity Control X X 2. Core Heat Removal X X 3. RCS Heat Removal X X 4. RCS Inventory Control X X 5. RCS Pressure Control X X 6. Steam/Feed Conversion X 7. Electric Generation X 8. Heat Rejection X 9. Containment Environment Control X 10. Containment Isolation X 11. Radiological Emissions Control X X 12. Vital Auxiliaries X X ______________________________________ A 3.times.4 alarm matrix block 160 containing a box 162 for each critical function exist in the upper right hand corner of IPSO (see FIG. 25 and the CRT display of IPSO in FIG. 10). The matrix provides a single location for the continuous display of critical function status. If a priority 1 alarm condition exists that relates to a critical function, the corresponding matrix box 164 will be highlighted in the priority 1 alarm presentation technique. Critical Function alarms are representative of one of the following priority 1 conditions: Failure to satisfy the safety function status checks, (post-trip). The 3.times.4 matrix representation is an overview summary of the 1st level critical function display page information (FIG. 32). The operator obtains the details associated with critical function and Success Path alarms in the Critical Function section of the display page. Each critical function can be maintained by one or more plant systems. Information on IPSO is most representative of the ability of supporting systems to maintain the critical functions. For some critical functions, the overall status of the critical function can be assessed by a most representative controlled parameter(s). For these critical functions, the process parameter's relationship to the control setpoint(s) and indication of improving or degrading trends is represented on IPSO to the right of the parameter's descriptor. An arrowhead as explained in FIG. 26 is used if the integral of the parameter's value is greater than an acceptable narrow band control value, indicating that the parameter is moving toward or away from the control setpoint. The arrowhead's direction, up or down, indicates the direction of change of the process parameter. If these parameters deviate beyond normal control bounds, a plus or minus sign is placed above or below the control setpoint representation. The following bases were used for the selection of parameters or other indications that are used on IPSO to provide the monitoring of the overall status of the critical functions. 1. Reactivity Control Reactor power is the only parameter displayed on the IPSO as a means of monitoring reactivity. Using Reactor Power, the operator can quickly determine if the rods have inserted. He can also use Reactor Power to determine the general rate and direction of reactivity change after shutdown. Reactor Power is displayed on IPSO with a digital representation 166 because a discrete value of this parameter is most meaningful to both operators and administrative personnel. The IPSO also provides an alarm representation on the reactor vessel if there is a priority 1 alarm condition associated with the Core Operating Limit Supervisory System. 2. Core Heat Removal A representative Core Exit Temperature 168 and Subcooled Margin 170 are the parameters presented on IPSO for determining if Core Heat removal is adequate. If Core Exit Temperature is within limits, then the operator can be assured of maintaining fuel integrity. The Subcooling Margin is used because it gives the operator the temperature margin to bulk boiling. Core Exit Temperature is represented on IPSO by using a dynamic representation (i.e., trending format), since there is a distinct upper bound that defines a limit to core exit temperature, and setpoints for representational characteristics can be easily defined. Subcooled Margin is also represented on IPSO using a dynamic representation since there is a lower bound which defines an operational limit for maintaining subcooling. 3. RCS Heat Removal T.sub.H, T.sub.C, S/G Level 172, and T.sub.ave 174 are used on IPSO to provide the operator the ability to quickly assess the effectiveness of the RCS Heat Removal Function. In order to remove heat from the Reactor Coolant, S/G Level must be sufficiently maintained so that the necessary heat transfer can take place from the RCS to the steam plant. A dynamic representation is used so the operator can observe degradations or improvements in deviant condition at a glance. T.sub.H and T.sub.C are used on IPSO because they are needed by the operator to determine how much heat is being transferred from the reactor coolant to the secondary system. A digital value of these parameters is used since a quick comparison of these parameters is desired for observing the delta T. In addition, an indication of their actual values are used often and would be helpful to an operator in locations where the discrete indicator displaying T.sub.h and T.sub.C is not easily visible. T.sub.ave is presented on IPSO using a dynamic representation to allow quick operator assessment of whether this controlled parameter is within acceptable operating bounds. 4. RCS Inventory Control Pressurizer Level 176 is presented on the IPSO using a dynamic representational indication to allow the operator to quickly access if the RCS has the proper quantity of coolant and observe deviations in level indicative of improving or degrading conditions. 5. RCS Pressure Control Pressurizer Pressure 178 and Subcooled Margin is used as the indications on IPSO to determine the RCS Pressure Control. A dynamic representation is used on IPSO to notify the operator of changing pressure conditions that may indicate RCS depressurization or over pressurization. A dynamic representation is used on IPSO for saturation margin. A saturation condition in the RCS can adversely affect the ability to control pressure by the pressurizer. Also, if pressure is dropping, the subcooled margin monitor representation on IPSO depicts a decrease in the margin to saturation. 6. Steam/Feed Conversion The processes associated with Steam/Feed Conversion can be quickly assessed by providing the following information on IPSO: 7. Electric Generation The processes associated with Electric Generation can be quickly assessed by providing the following information on IPSO: 8. Heat Rejection The processes associated with heat rejection can be quickly assessed by providing the following information on IPSO: 9. Containment Environment Control Containment Pressure and Containment Temperature are the parameters which are used on the IPSO to monitor the control of the Containment Environment. These are presented on IPSO using a dynamic representation to allow assessment of trending and relative values. The Containment Pressure variable is used on the IPSO to warn the operator about an adverse overpressure situation which could be the result of a break in the Reactor Coolant System. The Containment Temperature also helps indicate a possible break in the Reactor Coolant System; it also can indicate a combustion in the Containment Building. 10. Containment Isolation The Containment Isolation Safety function is monitored on the IPSO with a Containment Isolation system symbol representation. This symbol will be driven by an algorithm which presents the effectiveness of the following containment isolation situations when the associated conditions warrant containment isolation: 11. Radiological Emissions Control Radiation symbols exist on IPSO which presents notification of high radioactivity levels such as inside containment, and (2) radiation associated with radioactivity release paths to the environment. these symbols will only be presented on IPSO when high radiation levels exist. These indications are presented in the alarm color in a location relative to the sensor in any of the following situations occurs: 12. Vital Auxiliaries Vital Auxiliaries are monitored on IPSO by providing the following information: The systems represented on IPSO are the major heat transport path systems and systems that are required to support the major heat transport process, either power or safety related. These systems include systems that require availability monitoring per Reg. Guide 1.47, and all major success paths that support the plant Critical Functions. The following systems have dynamic representations on IPSO: System Information presented on IPSO includes systems operational status, change in operational status (i.e., active to inactive, or inactive to active) and the existence of a priority one alarm(s) associated with the system. Alarm information on systems can also help inform an operator about success path related Critical Function alarms. Priority 1 alarm information is also presented on IPSO by alarm coding the descriptors of the representative features on IPSO as described above. V. INTEGRATION OF CONTROL ROOM FIG. 27 presents an overview of the integrated information presentation available to the operator in accordance with the invention. From the integrated process status overview or board, the operator may observe the high priority alarms. If the operator is concerned with parameter trends, he may view the discrete indicators. If he is interested in the system and component status, he may view the settings on the system controls. Thus, the IPSO information is displayed either on the board or at the panel CRT, and the other information from the operator's panel or any other panel, is available to the operator on his CRT. From the IPSO overview, the operator may navigate through the CRT or DIAS display pages. Moreover, the operator has direct access to either of these types of information from any of the control panels and when a system control is adjusted or set, the results are incorporated into the other alarm and display generators in the other panels. As shown in FIGS. 2 and 28-31, in general overview, the integration of the system means that each panel including the main console, the safety console, and the auxiliary console, includes a CRT 84 which is driven by the data processing system 70. The data processing system utilizes the plant main computer and, although being more powerful, it is not as reliable as the DIAS 72 computers (which may be distributed microprocessors-based or mini-computer based). Also, it is slower because it is menu driven and performs many more computations. It is used primarily for conveying the most important information to the operator and thus important alarm tiles can be viewed on each CRT and acknowledged from any CRT. Any information available on one CRT is available at every other CRT. The indicator and alarm system 72 for a given panel is related to the controls, but the discrete (i.e., quick and accurate) aspects of the alarms and indicator displays 78, 82, and controls of that panel are not available at any other panel. Basically, information is categorized in three ways. Category 1 information must be continuously displayed at all times and this is accomplished in DIAS 72. Category 2 information need not be continuously available, but it must nevertheless be available periodically and this is also the responsibility of DIAS 72. Category 3 information is not needed rapidly and is informational only, and that is provided by the DPS 70. In the event of the failure of DPS, some essential information is provided by DIAS. The DPS and DIAS are connected to the IPSO board by a display generator 180. From the IPSO, the operator can obtain detailed information either by going to the panel of concern, or paging through the CRT displays. It should be appreciated that DIAS and DPS do not necessarily receive inputs for the same parameters, but, to the extent they do receive information from common parameters, the sensors for these parameters are the same. Moreover, the validation algorithms used in DIAS and DPS are the same. Furthermore, the algorithms used for the discrete alarm tiles and the discrete indicators include as part of the computation of the "representative" value, a comparison of the DIAS and DPS validated values. FIG. 29 is a block diagram representing the discrete indicator and alarm system in relation to other parts of the control room signal processing. The DIAS system preferably is segmented so that, for example, all of the required discrete indicator and discrete alarm information for a given panel N is processed in only one segment. Each segment, however, includes a redundant processor. The information and processing in DIAS 1 is for category 1 and 2 information which is not normally displayed directly on IPSO. IPSO normally receives its input from the DPS. However, in the event of a failure of DPS, certain of the DIAS information is then sent to the IPSO display generator for presentation on the IPSO board. It should also be appreciated that both DIAS and the DPS utilize sensor output from all sensors in the plant for measuring a given parameter, but that the number of sensors in the plant for a given parameter may differ from parameter to parameter. For example, the pressurizer pressure is obtained from 12 sensors, whereas another parameter, for example, from the balance of plant, may only be measured by two or three sensors. Some systems, such as the plant protection system, do not employ validation because they must perform their function as quickly as possible and employ, for example, a 2 out of 4 actuation logic from 4 independent channels. In the event the validation for a given parameter differs as determined within two or more systems, an alarm or other cue will be provided to the operator through the CRT. One of the significant advantages of the present invention is that the DPS need not be nuclear qualified, yet it can be confidently used because it obtains parameter values from the same sensors as the nuclear qualified DIAS. These are validated in the same manner and a comparison is made between the validated DPS parameters and the validated DIAS parameters, before the DPS information is displayed on the CRTs or the IPSO. The nuclear qualification of the alarm tiles and windows, and the discrete indicator displays in the DIAS are preferably implemented using a 512.times.256 electroluminescent display panel, power conversion circuitry, and graphics drawing controller with VT text terminal emulation, such as the M3 electroluminescent display module available from the Digital Electronics Corporation, Hayward, Calif. The control function of each panel is preferably implemented using discrete, distributed programmable controllers of the type available under the trademark "MODICON 984" from the AEG Modicon Corporation, North Andover, Mass., U.S.A. Thus, the computational basis of the DIAS is with either distributed, discrete programmable microprocessors or mini computers, whereas the computational basis of the DPS is a dedicated main frame computer. The ESF control system and the process component control system are shown schematically in FIG. 31, whereas the plant protection system is preferably of the type based on the "Core Protection Calculator" system such as described in U.S. Pat. No. 4,330,367, "System and Process for the Control of a Nuclear Power System", issued on May 18, 1982, to Combustion Engineering, Inc., the disclosure of which is hereby incorporated by reference. Another aspect of integration is the capability to display the critical functions and success path in IPSO as described above. Since the major safety and power generating signal and status generators are connected to both DIAS and DPS, the operator may page through the critical functions in accordance with the display page hierarchy shown in FIGS. 32 through 35. In FIG. 33, the operator is informed that the emergency feed is unavailable in the reactant coolant system. In FIG. 34, the operator is informed that the emergency feed is unavailable and the reactor is in a trip condition. Under these circumstances, the operator must determine an alternative for removing heat from the reactor core and by paging to the second level of the critical function display page which, although shown for inventory control (FIG. 35), would have a comparable level of detail for heat removal. This type of information with this level of detail and integration is available for all critical functions under substantially all operating conditions, not only during accidents. VI. PANEL MODULARITY It should be appreciated that, as mentioned above, the discrete tile and message technique significantly reduces the surface area required on the panel to perform that particular monitoring function. Similarly, the discrete display portion of the monitoring function, including the hierarchical pages, is condensed relative to conventional nuclear control room systems. The control function on a given panel can be consolidated in a similar fashion. Thus, a feature of the present invention is the physical modularity of each panel constituting the master control console, and more generally, of each panel in the main control room. In essence, the space required for effective interface with the operator for a given panel, becomes independent of the number of alarms or displays or controls that are to be accessed by the operator. For example, as shown in FIG. 3, six locations on each side of the CRT may be allocated for alarm and indicator display purposes. Preferably, the top two on each side are dedicated to alarms 78 and the other four on each side dedicated to the indicator display 82. An identical layout is provided for each panel in the control room. This permits significant flexibility and cost savings during the construction phase of the plant because the hardware can be installed and the terminals connected early in the construction schedule, even before all system functional requirements have been finalized. The software based systems are shipped early with representative software installed to allow preliminary checking of the control room operations. Final software installation and functional testing are conducted at a more convenient point in the construction schedule. This method can accelerate plant construction schedules for the instrumentation and control systems significantly. Since the instrumentation and control requirements for a given plant are often not finalized until late in the plant design schedule, the present invention will in almost every case significantly reduce costly delays during construction. This is in addition to the obvious cost savings in the ability to fabricate uniform panels, both in the engineering phase normally required to select the locations of and lay out the alarms and displays, and in the material savings in fabricating more compact panels. Furthermore, such modularity in the plant facilitates the training of operators and, when operators are under stress during emergencies, should reduce operator error because the functionality of each panel is spatially consistent. Thus, each modular control panel has spatially dedicated discrete indicators and alarms, preferably at least one spatially dedicated discrete controller at 88, a CRT 84, and interconnections with at least one other modular control panel or computer for communication therewith. For example, communication via the DPS includes, among other things, the ability to acknowledge an alarm at one panel while the operator is located at another panel, and the automatic availability at every other panel of information concerning the system controlled at one panel. FIG. 36(a) illustrates the conventional sequence for furnishing instrumentation and control to a nuclear power plant and 36(b) the sequence in accordance with the invention. Conventionally, the input and outputs are defined, the necessary algorithms are then defined, and these specify the man machine interface. Fabrication of all equipment then begins and all equipment is installed in the plant at substantially the same time before system testing can begin. In contrast, the modularity of the present invention permits fabrication of hardware to begin immediately in parallel with the definition of the input/output. Likewise, the hardware can be installed and generically tested in parallel with the definition of the man machine interface and the definition of the algorithms that are plant specific. The hardware and software are then integrated before final testing. In a conventional nuclear installation, the equipment is installed during the fourth year of the entire instrumentation and control activity, whereas with the present invention, equipment can be installed during the second or third year. With further reference to FIG. 2, the process component control system and the engineered safety features component control system 56 use programmable logic controllers similar to the Modicon equipment mentioned above including input and output multiplexors and associated wires and cabling, all of which can be shipped to the plant before the plant specific logic and algorithms have been developed. This equipment is fault tolerant. The data processing system 70 uses redundant plant main frame computers, along with modular software and hardware and associated data links. Such hardware can be delivered and the modular software that is specific to the plant installed, just prior to integration and system testing. The DIAS 72 also uses input/output multiplexors and a fault tolerant arrangement, with programmable logic processors or mini-computers, with the same advantages as described with respect to the process control and engineered safety features control systems. APPENDIX DETAILED EXAMPLES OF VALIDATION ALGORITHM This Appendix describes the details of the generic validation and display algorithm implemented in the DPS and DIAS. Definition of Terms Used in Discussion PAMI--Post Accident Monitoring Instrumentation. Instrument Uncertainty--The performance accuracy of a sensor and its transmitter (i.e., if accuracy is .+-.1%, the instrument uncertainty is 2%). Expected Process Variation--The difference in temperature (or other unit of measurement) between sensors measuring the same process parameter due to expected variation in the process temperature (or other unit of measurement) at different sensor locations. Calculated Signal--A single signal that the algorithm calculates to represent all sensors measuring the same parameter. Process Representation--A single signal that is output for displays and alarms where a single value is needed as opposed to multiple sensor values. The "process representation" will always be the "calculated signal" unless a failure has occurred. After a failure it may be the output of a single sensor selected by the operator or algorithm. Valid--A "calculated signal" that has been verified to be accurate by successfully deviation checking all of its inputs with their average. Valid PAMI--A "valid" "process representation" that deviation checks successfully against the "PAMI" sensors. Validation Fault--A failure of the validation and display algorithm to calculate a "Valid" "Calculated Signal". PAMI Fault--A failure of the "Calculated Signal" to deviation check successfully against the "PAMI" sensors. Fault Select--The "calculated signal" that is the output of the sensor closest to the last "valid" signal at the time validation initially failed. Operator Select--A "process representation" that is the output of the sensor that the operator has selected after a "PAMI Fault" or a "Validation Fault". Good--A label given to a sensor that deviation checks successfully against the "Operator Select" or "Valid" "Process Representation". Bad--A label given to a sensor that fails to deviation check successfully against the "Valid" "Process Representation". Suspect--A label given to the "good" sensor that deviates the most from the average "calculated signal" when any deviation check fails. "Validation Fault Operator Select Permissive"--The permissive that allows the operator to select an individual sensor as the "Process Representation" when the algorithm is unable to calculate a "valid" signal. "PAMI Fault Operator Select Permissive"--The permissive that allows the operator to select an individual sensor as the "Process Representation" when the "valid" "calculated signal" does not deviation check successfully against "PAMI" indication. The sensor inputs (A, B, C, D) are all read and stored at the time the algorithm begins. The algorithm uses these stored inputs to perform all steps (1-10), which comprise a scan. When the algorithm is repeated (after step 10), the sensor inputs are read and stored again, for use on the new scan. Valid--PAMI Check (Step 4) There are 12 sensors used to measure cold leg temperatures in the RCS. During most operational sequences, the operator is looking for a single "process representation" of all cold leg temperatures in the RCS. This value will be provided in the DIAS with a display labeled "RCS T.sub.cold ". For consistency, this value, which is determined by DIAS, is also used on the Integrated Process Status Overview (IPSO) board. To ensure reliability, DPS compares DIAS's RCS T.sub.cold "process representation" with its own RCS T.sub.cold and alarms any deviations (DPS/DIAS RCS T.sub.C Calculation Deviation). A three step validation algorithm is used to determine this value: The three step process determines "valid" "process representation" temperatures for cold legs 1A, 1B, 2A and 2B, cold loop 1 and 2 and RCS T.sub.C. For situations when a "valid" cold leg "process representation" temperature cannot be calculated the algorithm will select the sensor closest to the last "valid" signal as the "fault select" "process representation" temperature. This automatic fault selection ensures a continuous output of the RCS T.sub.cold "process representation" for display and alarms. After a failure the operator may select an individual sensor for that cold leg (1A, 1B, 2A, 2B) "process representation". This selection will allow calculation of loop 1, loop 2 and RCS T.sub.cold "process representation", with "operator select" data. The following section describes the algorithm and display processing on the DIAS and CRT displays. These selections include the following: ______________________________________ T-112CA/122CA 465-615.degree. F. T.sub.cold Loop 1A/2A T-112CB/122CB 465-615.degree. F. T.sub.cold Loop 1B/2B T-112CC/122CC 465-615.degree. F. T.sub.cold Loop 1A/2A T-112CD/122CD 465-615.degree. F. T.sub.cold Loop 1B/2B T-111CA/111CB/ 50-750.degree. F. T.sub.cold Loop 1A/1B/ 123CA/123CB 2A/2B, PAMI Loop 1A Tc Calculated Signal Loop 1B Tc Calculated Signal Loop 2A Tc Calculated Signal Loop 2B Tc Calculated Signal Loop 1 Tc Calculated Signal Loop 2 Tc Calculated Signal RCS Tc Calculated Signal ______________________________________ The algorithms described below are calculated and displayed independently by both DPS and DIAS. The determination of the Cold Leg "Process Representation" will be performed in four parts: There are 12 sensors used to measure pressurizer and RCS pressure. During most operational sequences, the operator is looking for a single "process representation" of all pressurizer/RCS pressure readings. This value will be provided in DIAS with a display labeled "PRESS". For consistency, this value, which is determined by DIAS, is also used on the IPSO board. To ensure reliability, DPS compares DIAS's Press "process representation" with its own Press "process representation" and alarms any deviations (DPS/DIAS Press Calculation Deviation). The algorithm determines a "valid" "process representation" for pressurizer/RCS pressure. For situations when a "valid" pressure "process representation" cannot be calculated, the algorithm will select the sensor closest to the last "valid" signal as the "fault select" "process representation" pressure. This automatic fault selection ensures continuous output of the pressurizer/RCS "process representation" pressure for displays and alarms. After a failure the operator may select an individual sensor for the pressure "process representation" as the "fault select" "process representation". The following section describes the algorithm and display processing on the DIAS and CRT displays. ______________________________________ P-103,104,105,106 0-1600 psig Pressurizer Pressure P-101A,101B,101C, 1500-2500 psig Pressurizer Pressure 101D,100X,100Y 0-4000 psig RCS Pressure, P-190A,190B PAMI CALC PRESS Calculated Signal ______________________________________ To simplify the discussion of sensor tag numbers, the following letters will be used to designate pressure sensors: P--101A--A P--101B--B P--101C--C P--101D--D P--100X--E P--100Y--F P--103--G P--104--H P--105--I P--106--J P--190A--K P--190B--L The algorithm described below is calculated and displayed independently by both DPS and DIAS. The pressurizer pressure "calculated signal" will be calculated using sensors A, B, C, D, E, F, G, H, I, J, K and L. An attempt will be made to use the narrow 1500-2500 psig range sensors (A, B, C, D, E and F) (pressure is normally in this range). If pressure is outside the 1500-2500 psig range, the 0-1600 psig range sensors (G, H, I and J) will be used. If pressure cannot be calculated using these sensors, the 0-4000 psig range sensors (K and L) will be used. In the event that the validation fails all of these three ranges, the algorithm will select the sensor closest to the last "valid" signal as the "fault select" "calculated signal". This "fault select" "calculated signal" will be used as the "process representation" until the operator selects an "operator select" sensor to replace it or the algorithm is able to validate data. |
050874080 | summary | BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a primary containment vessel for enveloping the core of a nuclear reactor constituted by a light water reactor of the boiling water type, and, more particularly, to a primary containment vessel which permits improvement of its inherent safety through a static cooling system replacing a pressure suppression pool water cooling system and of the economic efficiency by streamlining facilities and equipment. In addition, the present invention relates to a natural heat-radiating type primary containment vessel which is suitable for cooling the primary containment vessel and/or reducing the emission of radioactive substances at the time of the loss of a coolant. Furthermore, the present invention relates to a natural heat-radiating type primary containment vessel which is suitable for removing to outside the system by a natural force over an extended period of time thermal energy which is produced by core decay heat released to the primary containment vessel at the time of an emergency when the loss of a coolant has occurred. The present invention also relates to a nuclear power plant provided with a condensate storage pool in a reactor building. The present invention also relates to a primary containment vessel reinforcing ring which is suitable for cooling the inside of a primary containment vessel at the time of the occurrence of damage to the piping in the primary containment vessel. Moreover, the present invention relates to a natural circulation-type nuclear reactor, and, more particularly, to a natural circulation-type nuclear reactor provided with an emergency reactor cooling system which is suitable for use in a boiling water reactor and capable of assuring the cooling of a core by such as maintaining the core immersing water over an extended period of time at the time of the occurrence of a loss-of-coolant accident and/or at the time of an emergency when control rods cannot be inserted. 2. Description of the Related Art As an example of the prior art, there is a primary containment vessel having a pressure suppression of boiling water reactor facilities, as shown in FIG. 20. A primary containment vessel 201 envelops a reactor pressure vessel 202, and the upper space therein surrounding the reactor pressure vessel 202 is called a dry well 203, while a container disposed at a lower portion thereof and filled with pool water 204 is called a pressure suppression 205. The dry well 203 and the pressure suppression 205 are constructed such as to communicate with each other by means of a vent pipe 206. An open end of the vent pipe 206 is immersed in the water of a pressure suppression pool 204 stored in the pressure suppression 205. In the dry well 203 are disposed the piping containing a high-temperature and high-pressure coolant, machines and instruments of a primary system of the reactor, in addition to the reactor pressure vessel 202. Furthermore, containment spray headers 207 for spray the cooling water are provided in the container 201. In addition, a residual heat removal pump 208, a residual heat removal system heat exchanger 209 for removing residual heat, and piping from the pressure suppression pool to the spray header 207 via these machines are provided to supply the cooling water to the spray head 207. Furthermore, piping for returning the cooling water from the heat exchanger 209 for removing residual heat to the pressure suppression pool 204 is also provided. Incidentally, reference numeral 210 denotes a building constituting a biological shield. If an emergency is assumed to have occurred in which the piping of the primary system of the reactor is fractured, the high-temperature, high-pressure coolant of the primary system of the reactor is released into the dry well 203, and a mixture of released steam and water is led to the pressure suppression pools 204 via the vent pipes 206. The released steam is cooled and condensed in the pressure suppression pools 204, thereby suppressing an internal pressure rise of the dry well 203. When the efflux of the coolant from a fracture is completed, the high-temperature and high-pressure steam inside the primary containment vessel 201 is condensed by operating the spray headers 207, which causes the internal pressure of the primary containment vessel 201 to decrease rapidly. When the water temperature of the pressure suppression pools 204 rises by the blow-down of steam, the pressure suppression pool water is cooled by the heat exchangers 209 for removing residual heat. As described above, should the piping of the primary system of the reactor be fractured, when the accident takes place over a short period, the conventional primary containment vessel 201 attains the suppression of pressure by condensation of steam in the water of the pressure suppression pools 204. Meanwhile, when the accident takes place over a long period, the primary containment vessel 201 attains the suppression of pressure by condensation of steam by sprinkling from the spray headers 207 and inhibits a temperature rise of the water of the pressure suppression pool. Since the pressure suppression function in the pressure suppression pool 204 in the former case is constituted by the guiding function of the vent pipes 206 alone, this pressure suppression function is sufficient in ensuring inherent safety as well. On the other hand, to cool the primary containment vessel 201 over a long period of time and cool the pressure suppression pools 204, such dynamic machines as the residual heat removal system pumps 208, the heat exchangers 209, electrically-operated valves, etc., become necessary. In the above-described conventional example, it has been necessary to retain in the pressure suppression pools a large quantity of water for cooling and condensing steam released at the time of a loss-of-coolant accident, and the heat exchangers for removing residual heat have been necessary for cooling the pressure suppression pools over a long period of time. In addition, in the primary containment vessel of a boiling water reactor of the above-described conventional art, a residual heat removal system is provided to cope with the removal of core decay heat over a long period of time after the ECCS is operated subsequent to the accident of loss of the coolant and after the core is submerged with water. As a result, there have been drawbacks in that the costs become high, that the pool water containing fission products is led outside the primary containment vessel, and that it is troublesome to carry out, somewhat periodically, the operation test of dynamic machines such as pumps and heat exchangers to check the operation of the machines installed. In contrast to the pressure suppression pool water-cooling system employing the configuration of dynamic machines and facilities such as the one described above, if as static a heat removing system as possible can be devised as a system having a similar cooling function in place of the facilities which dynamically function, such as rotary equipment, including pumps, large heat exchangers, and large piping loops, it is considered that substantial improvement will be made in the safety and reliability of the system per se through a reduction in the functional requirements for dynamic structural parts, and that the economic efficiency of the plant will be enhanced in conjunction with the streamlining of the facilities per se. As a prior art concerning a cooling system for a primary containment vessel employing such a static system, it is possible to cite a primary containment vessel cooling system based on a heat pipe system disclosed in, for instance, Japanese Unexamined Patent Publication No. (Japanese Patent Application Laid-Open (Kokai) No.) 125483/1980. The arrangement of this system is such that a multiplicity of cylindrical heat pipes with a low-boiling-point liquid sealed therein are installed on the outer surface of a dry well steel plate of the primary containment vessel. This is a heat removing system in which heat retained in a gas inside the container dry well is statically allowed to escape to outside the primary containment vessel via these heat pipes. It is technically feasible to apply the heat pipes of this idea to the above-described water cooling system of the container pressure suppression pools, and a static safety cooling system can be arranged. However, since the heat pipes with the low-boiling-point liquid incorporated therein are installed as a large-scale structure, the heat pipes substantially affect as obstructions the layout of facilities installed on the outer biological shield wall of the primary containment vessel and an external space thereof. In addition, the structure of this system is bound to become large in size in view of the requirements of antiseismic design are an important facility relating to a safety system. Thus, this system has numerous problems in terms of its facilities, its structure does not have an economic advantage, with the result the system is not very realistic. As another example of the prior art relating to a similar primary containment vessel cooling system, it is possible to cite a system disclosed in Japanese Unexamined Utility Model Publication No. 11689/1984 in which the primary containment vessel is filled with a liquid by filling the space between a primary containment vessel concrete wall and a liner with the liquid. In this system, however, the gap between the concrete wall and the liner is 2 mm or thereabout, and since the gap is too small to statically cool the pressure suppression pool water with the liquid of this pertinent portion, a circulating flow of the cooling external liquid does not occur. Consequently, this system is so unrealistic that a high static cooling efficiency cannot be obtained, and that it is impossible to expect its effect. A conventional boiling water reactor plant disclosed in Japanese Unexamined Patent No. 137596/1979 has a condensate storage tank installed outdoors of a reactor building such as to be adjacent to the reactor building and a turbine building. This condensate storage tank is used as a water source for a fuel pool replenishing water system, and a control rod driving hydraulic system, as well as for adjustment of the holding water quantity. In addition, the condensate storage tank is also used as a water source for a cooling system at the time of isolation of the reactor as well as a high-pressure core spraying system, both of which are safety systems. In a conventional boiling water reactor plant, the condensate storage tank is installed on an antiseismic foundation mat (concrete mat). For this reason, a large amount of concrete is required in structuring a special antiseismic mat described above, so that a long period of time has been necessary in constructing the entire foundation mat of a boiling water reactor plant. As a structure for injecting cooling water into a primary containment vessel by making use of gravity at the time of a loss-of-coolant accident, a nuclear reactor disclosed in Japanese Unexamined Patent Publication No. 69289/1982 is proposed. As shown in FIG. 21, this reactor is arranged as follows: A core 211 is disposed in such a manner that a cooling water level 214 formed in a cooling water tank 213 is located above a cooling water level 212 formed in a reactor pressure vessel 202 incorporating the core 211. At the time when a loss-of-coolant accident has occurred, separation valves 215, 216 in a piping 214 communicating with a vapor phase portion of the reactor pressure vessel 202 and a cooling water tank 213 are opened to set the pressure of the two spaces at the same level. A pressure relief valve 218 is provided between a main steam pipe 217 and a pressure suppression 205. At the time of an accident when the coolant has been lost, steam inside the reactor pressure vessel 202 is released to a pressure suppression pool 204 via the pressure relief valve 218 so as to decrease the pressure. When the internal pressure of the reactor pressure vessel 202 has been decreased and dropped below that of the cooling water tank 213, separation valves 220, 221 of a piping 219 communicating with the bottom of the cooling water tank 213 and the reactor pressure vessel 202 are opened so as to supply the cooling water contained in the cooling water tank 213 into the reactor pressure vessel 202 by virtue of the operation of gravity. In addition, the pressure suppression 205 is located above the cooling water level 212 formed inside the reactor pressure vessel 202 so as to be able to constitute an emergency core cooling system of the gravity dropping type. At the time of a loss-of-coolant accident, with the opening of a valve 222, the pool water 204 in the pressure suppression 205 is led into the reactor pressure vessel 202 by means of gravity. A similar structure of a nuclear reactor is disclosed at page 13 of Nuclear Engineering Vol. 31, No. 383 (June, 1986) as well. This example of the prior art is provided with the pressure suppression 205 above the liquid level 212 inside the reactor pressure vessel 202. At the time of a loss-of-coolent accident, when the supply of the pool water 204 to the reactor pressure vessel 202 by the action of gravity is completed, there is a possibility that the pool water 204 inside the pressure suppression disappears. For this reason, the capabilities of condensing steam introduced by a vent pipe 223 disadvantageously decline. SUMMARY OF THE INVENTION Accordingly, a primary object of the present invention is to provide a natural heat-radiating type primary containment vessel which eliminates the use of a heat exchanger for removing residual heat by allowing the heat of the primary containment vessel to escape into the atmosphere through primary containment vessel wall surfaces, and which is capable of effecting cooling for a long period of time after the occurrence of a loss-of-coolant accident. The aforementioned object can be obtained by expanding an annulus portion between the primary containment vessel and a reactor building, by providing a container-outer-periphery pool with water accommodated therein, by providing a vent pipe communicating with an upper gaseous phase portion of the annulus portion to the outside of the reactor building, and by transferring the heat in the primary containment vessel to the outer-periphery pool through a container wall surface and allowing the heat to escape to the atmosphere. The characteristic feature of the present invention lies in that heat is transferred from a pressure suppression pool to the outer-periphery pool through the primary containment vessel wall surface without using any driving force, and is ultimately released into the atmosphere. In addition, since the heat inside the primary containment vessel can be allowed to escape to the atmosphere, a residual heat removal system which has hitherto been employed to allow the heat to escape to a sea becomes unnecessary, so that malfunctioning can be overcome and reliability can be improved. Another object of the present invention is to provide a primary containment vessel which has, as a cooling system for container pressure suppression pool water, a heat removal system which is capable of effecting static cooling for a long period of time with a high heat removal efficiency after the occurrence of an accident, in place of dynamic facilities such as rotary equipment such as a pump, a large heat exchanger, and a large piping loop. The aforementioned object can be attained by providing a pool area around the outer periphery of a container in a space portion formed between a wet well of the primary containment vessel and a biological shield wall surrounding the same, said pool area being arranged such that a ratio between the depth L of a suppression pool inside the wet well and a gap distance d of a cylindrical portion between the primary containment vessel and a biological shield wall is set to such a value that will facilitate the occurrence of natural circulating flow for enhancing the efficiency of statically cooling the suppression pool water; facilities for injecting noncontaminated water from a water injection tank for injecting the cooling water for the outer-periphery pool through a water injection line; and a vent line provided between a gaseous phase portion of the upper space of the outer-periphery pool and an outside atmosphere portion of reactor facilities and designed to radiate the heat of the heat-sinking outer-periphery pool. Still another object of the present invention is to provide a natural heat-radiation type container which is capable of effecting cooling for a long period of time after the occurrence of a loss-of-coolant accident without needing to provide a heat exchanger for removing residual heat. Specifically, the foregoing object can be attained by providing primary containment vessel facilities comprising: a pipeline led from a dry well to the side of a reactor building by penetrating a dry well wall; a partition plate disposed below a penetrating portion of the pipeline and above the water level of the outer-periphery pool and adapted to divide the outer-periphery pool into upper and lower spaces; a discharge channel having an inlet in a gaseous layer in the lower space and led to the outside; and an emergency gas treatment system having an inlet on the inside of the upper space. The characteristic of the present invention in accordance with this aspect lies in that heat is transferred from a pressure suppression pool to the outer-periphery pool through the container wall surface without needing to use a dynamic driving force, generated steam is ultimately allowed to escape to the atmosphere, and radioactive substances leaking from the container are released after being treated with an emergency gas treatment system. In the present invention, at the time when the heat inside the primary containment vessel is allowed to escape to the atmosphere, heat is transferred from the wall surface of the reactor pressure vessel made of steel to the outer-periphery pool, and the heat is further transferred to the atmosphere through the steam of the outer-periphery pool, thereby attaining the removal of residual heat without any dynamic equipment. With respect to the removal of radioactive substances, since these radioactive substances can be treated by the emergency gas treatment system by differentiating the atmosphere leaking from the reactor pressure vessel from steam generated in the clean pool, the capacity of the facilities can be made smaller. A further object of the invention is to provide a nuclear power plant which is capable of reducing a construction period. The above object can be attained by providing a nuclear power plant in which an outer-periphery pool disposed between a container and a tubular biological shield surrounding the outer periphery thereof is used as a condensate storage pool. The outer periphery pool (condensate storage pool) is disposed around the outer periphery of the container, and a water injection pump, a pump driving turbine, a main steam pipe, and a water injection line are arranged in the primary containment vessel and the reactor building. Consequently, routes of highly important piping in the reactor building, including safety systems such as a reactor isolation cooling system and an emergency core cooling sytem (ECCS), a drive water system such as a control rod driving apparatus, and a fuel pool replenishing water system can be made very short, since the connection of these facilities can be made adjacent to the outer-peripheral pool. In addition, since the outer-peripheral pool is disposed in an excess space portion formed between the primary containment vessel and the biological shield wall (concrete wall) of the reactor building, the pool is installed on an antiseismic concrete mat in the reactor building. Accordingly, since an antiseismic concrete mat used exclusively for a condensate storage tank required in a conventional reactor plant becomes unnecessary, the amount of placed concrete necessary for the antiseismic foundation mat in accordance with this invention can be reduced substantially, with the result that a period of construction of the foundation mat and, hence, the period of construction of the reactor plant can be reduced. When an outer-periphery pool having the function of a conventional condensate storage tank is installed in a reactor building, approximately 2000 m.sup.3 of more of water is retained in the reactor building. Accordingly, it is necessary to pay consideration to the detection and prevention of water leaking to other facilities, such as an emergency core cooling facilities at the time of leakage of the pool water. The outer-periphery pool has a lining pool structure. In the case where this lining pool structure is adopted, it is readily possible to detect leakage from lining welds, and the like by installing the same facilities as conventional facilities for detecting the leakage of a spent fuel pool. In addition, even when a large amount of water held has leaked due to the large damage to the outer-periphery pool, the biological shield wall functions as a flooding water preventing wall. Therefore, no situation occurs where other equipment that is disposed on portions other than the biological shield wall and is important in terms of safety is subjected to flooding water. Furthermore, in order to use the outer-periphery pool as substituent facilities for a condensate storage tank, it is necessary to maintain the water quality at a predetermined value. Unlike the suppression pool in the primary containment vessel, the outer-periphery pool is free from the exhausting of a main steam relief safety valve and the inflow of flashing water and the like from a residual heat removal system, with the result that there is no factor deteriorating the water quality. Accordingly, with respect to the water quality of the outer-periphery pool, its cleanness can be maintained sufficiently throughout the life of the plant, so that the outer-periphery pool is capable of sufficiently attaining the function as a condensate storage tank. A still further object of the present invention is to provide a container reinforcement ring whose mechanical strength is high and which is capable of maintaining the heat radiating characteristics of the outer-periphery pool at a high level. The aforementioned object can be attained by providing a disk type reinforcement ring which is provided on a container and whose thickness is small at opposite ends thereof and large at a central portion thereof. In the case of this invention, by providing a reinforcement ring having a small thickness at the opposite ends thereof and a large thickness at the central portion thereof, the strength of a reinforcement ring having an identical sectional area increases against a tensile force caused by the deformation of the container at the time the occurrence of a container-fracture accident, the thickness of the container can be made thinner by that margin. Consequently, the reinforcement rings are formed into such a configuration as to promote the natural convection. Hence, the relative flow rate of a fluid in the vicinity of the container surface can be increased, which in turn increases the coefficient of heat transfer from the container surface to the water wall, thereby obtaining a high effect of heat removal. A further object of the invention is to provide a primary containment vessel which is capable of substantially increasing natural heat radiation from the wall of a conventional primary containment vessel and of enhancing the economic efficiency and inherent safety. The above object can be attained by a means in which a plurality of projections (fins) are disposed on an inner wall of the primary containment vessel. This means is capable of increasing the area of heat transfer from the projections and of alleviating the hindrance to heat transfer caused by the formation of a film layer on a wall surface by a noncondensible gas (air), with the result that the rate of steam condensation and transfer on the wall surface can be increased. Hence, natural heat radiation from the primary containment vessel wall is promoted. A further object of the present invention is to provide a nuclear reactor which, at the time of a loss-of-coolant accident, is capable of effecting the condensation of steam released into the container and of maintaining submergence of the core in water. An additional object of the present invention is to provide a nuclear reactor which is capable of efficiently effecting submergence of a core. The former object of the present invention can be attained by providing a nuclear reactor comprising: a pressure suppression disposed in the container in such a manner as to surround the outer periphery of the reactor vessel and filled with a coolant in such a manner that a liquid level is formed above an upper end of a core inside the reactor vessel; a submergence line provided with a valve and adapted to introduce the coolant contained in the pressure suppression into the reactor vessel; and a return channel having an opening in a space formed between the reactor vessel and the pressure suppression above the liquid level and communicating with the pressure suppression. The latter object of the present invention can be attained by adding to the foregoing features a gas discharge pipe communicating with a gaseous phase portion above the liquid level in the pressure suppression and having a valve. In the case of a loss-of-coolant accident, the coolant inside the pressure supporession is supplied to the primary containment vessel through the submergence line. In addition, the coolant discharged into the container from the fracture at the time of the loss-of-coolant accident and accumulated in a lower portion thereof is led to the pressure suppression by a return channel. Thus, at the time of occurrence of a loss-of-coolant accident, since the coolant circulates from the pressure suppression back to the same via the submergence line, the reactor vessel, the fracture, the container, and the return channel, the steam condensing capabilities by the coolant in the pressure suppression does not decrease, and the submergence of the core by the supply of the coolant into the primary containment vessel can be effected efficiently. In addition, according to the feature of the latter, since the gas in the gaseous phase in the pressure suppression is released to the outside by means of the gas discharge pipe, the coolant accumulating in the lower portion of the container can be introduced efficiently into the pressure suppression via the return channel. Consequently, since the coolant level of the pressure supporession is increased, the action for supplying the coolant into the primary containment vessel increases. Another object of the present invention is to provide an emergency core cooling system which, by combining a pressure relief valve in the reactor pressure vessel and a plurality of tanks having pressurized water or boric acid water, is capable of reducing the number of dynamic machines and equipment and of thereby improving the reliability, and which has an effective combination for safely shutting down the core and cooling the same at the time of a loss-of-coolant accident and should control rods be incapable of being inserted. The foregoing object can be attained by the following two points; 1) The number of dynamic machines and equipment is reduced and the reliability is enhanced by combining pressure relief valves and pressurized tanks, or tanks disposed above the pressure vessel in of gravity drop. 2) A measure is taken to cope with a loss-of-coolant accident or a case where control rods are incapable of being inserted by adopting a suitable combination of pressure tanks and gravity-drop water tanks and by filling some tanks with boric acid water. By adopting a suitable combination of pressure relief valves and pressurized tanks or gravity-drop water tanks, the pressure relief valve is opened at the time of the occurrence of a loss-of-coolant accident to allow the steam in the pressure container to be released to decrease the internal pressure of the reactor pressure vessel, and by lowering the pressures of the respective tanks to their working pressures, the water in the pressurized tank and then the water in the gravity-drop tank can be consecutively injected into the reactor pressure vessel. In this injection, since the natural force of the pressure of the tank or gravity drop is employed, reliability can be improved as compared with the injection of water using a pump. In addition, since boric acid water is filled in some tanks among the plurality of tanks, boric acid water can be injected into the pressure vessel in the case where the control rod(s) cannot be inserted, thereby making it possible to safely shut down the reactor. |
claims | 1. A nuclear reactor, comprising:a nuclear fuel rod comprising a zirconium alloy tube;cladding on the nuclear fuel rod,the cladding comprising a layer coated on an outer surface of the zirconium alloy tube,wherein, under standard operating conditions that include a temperature of about 300° C., the layercomprises a homogenous polycrystalline diamond layer formed by chemical vapor deposition,is from 100 nm to 50 μm thick,includes crystalline cores, sizes thereof being in the range from 10 nm to 500 nm,has a maximum content of non-diamond carbon of 25 mol %,has a maximum total content of non-carbon impurities of 0.5 mol %,has a RMS surface roughness value less than 40 nm, andhas a thermal conductivity of the layer ranges from 1000 to 1900 W·m−1·K−1, andwherein, when the nuclear reactor is operated at a temperature above 850° C., the polycrystalline diamond layer undergoes a phase change and is transformed into a mixture of crystalline graphite, amorphous carbon, and graphene,wherein the transformation is configured to consume environment energy to lower environment temperature. 2. The nuclear reactor as set forth in claim 1, wherein the mixture forms a carbide. 3. The nuclear reactor as set forth in claim 1, wherein, after operation at a temperature above 1100° C., a thermally transformed carbon layer comprises a mixture of carbon, oxygen, and atoms from the zirconium alloy tube. 4. A method of protecting a nuclear reactor against corrosion, comprising:providing in the nuclear reactor a nuclear fuel rod comprising a zirconium alloy tube;providing cladding on the nuclear fuel rod,the cladding comprising a layer coated on an outer surface of the zirconium alloy tube,wherein, under standard operating conditions that include a temperature of about 300° C., the layercomprises a homogenous polycrystalline diamond layer formed by chemical vapor deposition,is from 100 nm to 50 μm thick,includes crystalline cores, sizes thereof being in the range from 10 nm to 500 nm,has a maximum content of non-diamond carbon of 25 mol %,has a maximum total content of non-carbon impurities of 0.5 mol %,has a RMS surface roughness value less than 40 nm, andhas a thermal conductivity of the layer ranges from 1000 to 1900 W·m−1·K−1;wherein, when the nuclear reactor is operated at a temperature above 850° C., the polycrystalline diamond layer undergoes a phase change and is transformed into a mixture of crystalline graphite, graphene, amorphous carbon, and atoms from the zirconium alloy tube,wherein the transformation is configured to consume environment energy to lower environment temperature,operating the nuclear reactor so that the polycrystalline diamond layer is still present and shows partial graphitization and amorphization. 5. The method as set forth in claim 4, comprising operating the nuclear reactor at a temperature above standard operating conditions so that the polycrystalline diamond layer undergoes a phase change and is transformed into a mixture of crystalline graphite, graphene, amorphous carbon, and atoms from the zirconium alloy tube. 6. The method as set forth in claim 5, wherein the mixture includes a carbide. 7. The method as set forth in claim 5, wherein the temperature above standard operating conditions is above 850° C. |
|
description | A method for measuring the drop time of a control and/or a shutdown rod in a nuclear power plant is disclosed. To describe the method of the present invention, it is useful to refer again to FIG. 3 which illustrates a conventional rod position indication system. In the present invention, the drop time of the rod 12 in nuclear reactor 10 is measured with the coils 22 remaining energized. In the preferred embodiment, the drop time is measured for all of the rods 12 simultaneously in a single test. FIG. 4 illustrates the signal generated at the coils 22 which includes both the rod drop trace and the coil power for each rod 12. By applying a filter, the rod drop trace, such as that illustrated in FIG. 5, is separated from the coil power producing the same drop time results as would be obtained using the prior art method. Those skilled in the art will recognize that the filter can be either analog, digital, or a combination of both and be accomplished using either hardware or software. A number of filtering approaches may be used to isolate the rod drop trace from the coil power measurement. The filter may be a fixed filter based upon known characteristics of either the rod drop trace or the coil power or a variable or programmable filter using actual coil power measurements to separate the coil power from the rod drop trace. In the preferred embodiment, the actual coil power is continuously monitored for use by the filter. Using the rod drop trace of FIG. 5, the operator is able to check for the proper and timely insertion of the rods 12 into the reactor core 14. The total rod drop time consists of a first time period 26 which is the time taken for the rod 12 to travel from the top of the reactor core 14 to the bottom and a second time period 28 which is the time taken for the rod 12 to come to rest after bouncing in the dashpot 16 at the bottom of the reactor core 14. Once the rod drop trace has been isolated from the coil power, the drop time is calculated and a report is automatically generated which may include the date and time of the event, the measured signal of FIG. 4, the rod drop trace of FIG. 5, and the calculated drop time. The test data is stored for later use. The reporting capabilities include the ability to overlie one plot upon another. This allows a direct graphical comparison of previous drop time measurements with instant measurements or of one rod 12 with another within a single test. Accordingly, a method for measuring the drop time of the control and shutdown rods in a nuclear power plant has been disclosed. The measurement is taken while the coils encircling the rod housing remain energized and allows the drop time of all control and shutdown rods to be measured together in one test when the rods are dropped together into the reactor simultaneously. The method allows drop time measurements to be taken automatically in the event of an actual mishap or whenever the reactor is automatically shut down or scrammed (tripped). Additionally, unnecessary wear and tear on the switchgears controlling the coil power is avoided by eliminating the need to turn of the coil power. Finally, test results are available in real time providing the operator with an indication of the rod position while the test is in progress. Also, there is no need for a lengthy procedure to turn the power off to the rod position indication coils and the test can be performed on as many rods as desired at one time. This will reduce the test time which is of much interest in nuclear power plants from an economic standpoint. While a preferred embodiment has been shown and described, it will be understood that it is not intended to limit the disclosure, but rather it is intended to cover all modifications and alternate methods falling within the spirit and the scope of the invention as defined in the appended claims |
|
060350105 | description | Referring now to FIGS. 1 and 2, the monitor according to the invention comprises a lead block 1 in which a gamma detector 2 for gamma spectroscopy as well as two neutron detectors 3 are located. The block has a front face 8 which is the measurement face of the monitor and intended to be as close as possible to the fuel pin or assembly to be measured. A collimator 4 extends from the front face 8 through the block to the gamma detector 2 in the rear part of the block. The bore hole of the collimator has a diameter of about 2 or 3 mm and the block height is about 270 mm, the dimensions of the front face 8 being for example 200 mm.times.200 mm. In accordance with the state of the art, the gamma detector 2 contains a crystal which operates at room temperature, for example a CdTe crystal or a CdZnTe crystal. A preamplifier is integrated into the detector and outlet conductors (not shown) are connected to nuclear instrumentation for treating and analysing the measurement results. In such a detector, an energy resolution (FWHM) of 7.2 keV at 662 keV is achieved which permits gamma spectroscopy of fission products in the spent fuel. The collimator 4 may be equipped with a removable tube made of tungsten or the like in order to enhance the shielding of the detector. This tube may be removable in order to adapt the bore hole diameter or the material of this tube to the required collimator function. The two cylindrical neutron detectors 3 are disposed perpendicularly to the scanning direction (in FIG. 2 along the pin axis) as well as parallel to each other and parallel to the front face 8 in the block close to this front face on either side of the collimator 4. The distance between the axis of each detector 3 and the axis of the collimator 4 must respond to contradictory requirements: On the one hand, this distance should be large enough in order not to weaken the shielding effect of the lead block around the collimator hole by the presence of the neutron detectors and moderating material, and on the other hand this distance should be as small as possible, so that the neutron and gamma detectors are as close as possible to the point under investigation. Due to the fact that the neutron emission is measured by two detectors disposed on either side of the ideal location which is occupied by the collimator 4, the measurement results of these two neutron detectors can be combined and a mean value can be established which corresponds with high precision to the neutron emission activity along the axis of the collimator 4. The neutron detectors can be .sup.235 U fission chambers which are sensitive to thermal neutrons but very insensitive to the intense gamma radiation emitted by the spent fuel. The incident fast neutrons are thermalized by a moderator material 5 which surrounds the detectors. In a first embodiment as shown in FIGS. 1 and 2, this material completely surrounds the detectors and has a cylindrical tubular shape. According to a second embodiment of the invention shown in FIG. 3, the moderator material 5' occupies only a sector of about 90.degree. out of the entire periphery around the detector axis. This sector begins in a plane parallel to the collimator axis and extends towards the collimator. Such a configuration enhances the contribution of neutrons coming from a reduced length range of the pins 7 on both sides of the collimator axis and reduces the contribution of neutrons from outside that range. Thus, a higher precision is obtained. According to an additional feature, at least one of the neutron detectors together with its moderator is associated to mechanical means 9 for insertion of a cadmium sheet 6 around the moderator material or for withdrawing it therefrom. The detector with the cadmium sheet in place responds mainly to fast neutrons which pass through the cadmium in the detector while thermal and low energy neutrons are absorbed. Such a measure gives an additional information which facilitates the characterization of the fuel, under wet storage. The cadmium sheet 6 is inserted during a separate scanning cycle performed after a main scanning cycle in which both neutron detectors are used without shielding by a cadmium sheet. The monitor as shown above can be used for either passive or active neutron measurements. In this latter case, a neutron source 10 such as an isotopic neutron source or a neutron generator must be placed in alignment with the collimator axis beyond the fuel pin or assembly. An arm must then be provided (not shown) which holds the source 10 and which is rigidly connected to the lead block 1. A moderating material can then be placed between the source 10 and the fuel pin or assembly for thermalising the incident neutrons. The invention is not restricted to the embodiments as described and shown in the drawings. The lead block may have rectangular or circular cross-section. The collimator may be constituted purely by a bore hole in the lead block, or an insert tube may be used, made of tungsten or another appropriate material to shield the gamma radiation. The neutron detectors may be of any other appropriate type such as .sup.3 He, BF.sub.3. The monitor can be used in a dry storage environment as well as in a storage pool, if an appropriate water-tight casing is used. Finally, the monitor according to the invention can be used not only for scanning fuel pins parallel to their axis, but also for monitoring the burn-up profile of a fuel assembly. In this latter case, a relative scanning movement is performed by which the lead block turns around the assembly. The monitor could incorporate additional features for optimising the measurements: for example, to achieve repeatable counting geometries and to define the respective measuring position. |
abstract | In a demineralization apparatus, a mixed bed of a gel type cation exchange resin having a moisture holding capacity of 41% or less or a degree of crosslinkage of 12% or greater is employed along with a porous type anion exchange resin. As a result, oxidation degradation of the cation exchange resin due to hydrogen peroxide can be inhibited and the performance of the ion exchange resins and of the condensate water demineralizer can be stabilized and maintained for a long period of time. |
|
048779628 | claims | 1. An ion implantation method comprising: positioning a generally planar silicon substrate having a (100) orientation in a first position in which said substrate is tilted with respect to an incident ion beam and said substrate is rotated in its own plane by an angle of 15.degree. to 75.degree. to a first position from a position in which the (110) crystal planes of said substrate are aligned with said ion beam; irradiating said substrate in said first position with the ion beam with a dose of ions equal to approximately 1/4 of the total desired dose of ions to be implanted into said substrate; rotating said substrate in its plane to a second position which is 90.degree. removed from the first position and irradiating said substrate with said ion beam with a dose of ions equal to approximately 1/4 of the total desired dose of ions; rotating said substrate in its plane to a third position which is 180.degree. removed from the first position and irradiating said substrate with said ion beam with a dose of ions equal to approximately 1/4 of the total desired dose of ions; and rotating said substrate in its plane to a fourth position which is 270.degree. removed from the first position and irradiating said substrate with said ion beam with a dose of ions equal to approximately 1/4 of the total desired dose of ions. 2. An ion implantation method as claimed in claim 1 wherein said angle of said first position is about 45.degree.. 3. An ion implantation method as claimed in claim 1 wherein said substrate is tilted with respect to said ion beam by about 7.degree.. |
description | The present patent application is a national phase application of International Application No. PCT/US2004/022347 filed Jul. 14, 2004, which is a claims priority to U.S. Provisional Application No. 60/486,566 filed Jul. 11, 2003. This invention relates to metrology systems and methods for determining features of sub-micron structural elements such as lines, contacts, trenches and the like, of measured objects such as, but not limited to, semiconductors wafers and reticles. Integrated circuits are very complex devices that include multiple layers. Each layer may include conductive material and isolating material, while other layers may include semi-conductive materials. These various materials are arranged in patterns, usually in accordance with the expected functionality of the integrated circuit. The patterns also reflect the manufacturing process of the integrated circuits. Integrated circuits are manufactured by complex multi-staged manufacturing processes. During this multi-staged process, resistive material is (i) deposited on a substrate layer, (ii) exposed by a photolithographic process, and (iii) developed to produce a pattern that defines some areas to be later etched. Various metrology, inspection and failure analysis techniques have evolved for inspecting integrated circuits both during the fabrication stages, between consecutive manufacturing stages, either in combination with the manufacturing process (also termed “in line” inspection techniques) or not (also termed “off line” inspection techniques). Various optical as well as charged particle beam inspection tools and review tools are known in the art, such as the VeraSEM™, Compluss™ and SEM Vision™ of Applied Materials Inc. of Santa Clara, Calif. Manufacturing failures may affect the electrical characteristics of the integrated circuits. Some of these failures result from unwanted deviations from the required dimensions of the patterns. A “critical dimension” is usually the width of a patterned line, the distance between two patterned lines, the width of a contact and the like. One of the goals of metrology is to determine whether the inspected objects include deviations from these critical dimensions. This inspection is usually done by charged particle beam imaging that provides the high resolution required to measure said deviations. A typical measured structural element is a line that has two opposing sidewalls. The measurement of the bottom width of the line involves measuring the top width of the line as well as measuring its sidewalls. Measurement of a structural element line's critical dimensions using only a top view (in which the electron beam that scans the line is perpendicular to the substrate) may result in faulty results, especially when one of the sidewalls has a negative sidewall angle such that an upper end of the sidewall obscures a lower end of that sidewall. In order to address said inaccuracies, CD-SEM tools that enable electronic tilt of an electron beam were introduced. NanoSem 3D, of Applied Materials from Santa Clara, is a fully automated CD-SEM that has a column that allows electronic tilting as well as mechanical tilting of the scanning electron beam to scan the wafer surface with various tilt angles from several directions. Critical dimension measurement may involve illuminating a test object by multiple tilted beams and processing the detected waveforms to define critical dimensions. Multiple measurements have some disadvantages. First, they reduce the throughput of the inspection system, especially when the measurement involves changing the tilt of the scanning electron beam. Such a change may require a de-Gauess stage, as well as an electron beam stabilization stage. A further disadvantage of multiple measurements results from degradation (for example shrinkage and carbonization) of the measured structural element, as well as unwanted charging of the measured structural element. Due to various reasons, such as process variations, measurement inaccuracies and the like, the height and accordingly the measured height of structural elements varies across measured objects. In order to determine the structural height of a measured structural element, there is a need to perform at least two measurements of said structural element, at two different tilt angles. The height of the structural element may be estimated, usually in response to multiple height measurements of structural element across the measured object. The estimation may be associated with height measurement errors, as well as estimation errors, that can affect the critical dimension measurements. The invention provides various scanning schemes that enable selectively reducing the amount of measurements required for determining cross sectional features of structural elements. The invention provides methods and systems that allow accurate measurement of cross-dimensional features, with high accuracy, without knowing the height of the structural element. The invention provides methods and systems that allow measurement of the whole cross-section of structural elements with a reduced number of scans and amount of measurements. The invention provides a method for determining a cross sectional feature of a measured structural element having a sub-micron cross section, the cross section defined by an intermediate section that is located between a first and a second traverse sections. The method includes a first step of scanning a portion of at least one reference structural element and a portion of the measured structural element to determine one or more relationships between the at least one reference structural element and the measured structural element. The first scanning step may be followed by additional scanning steps. According to an embodiment of the invention, at least one additional scanning step is mandatory. According to other embodiments of the invention, one or more additional scanning steps are optional, and their execution may be responsive to a fulfillment of various conditions, such as an uncertainty associated with the results of the previous scan. The uncertainty may be related to a steepness or orientation of a portion of a section of a measured structural element, to a relationship between a width of a section of the measured element and to a width of an electron beam that scans that section. The conditions may also reflect the required signal to noise ratio of the measurement, the accuracy of the measurement, and, optionally or alternatively, to the topography of the measured object. If additional scans are performed, additional relationships may be determined. Usually, the scans are performed at different scan states. According to an aspect of the invention, one or more reference structural elements are provided. If multiple reference structural elements are provided, reference structural elements may be positioned on both sides of a measured structural element, but this is not necessarily so. The invention provides a system for determining a cross sectional feature of a structural element having a sub-micron cross section, the cross section defined by an intermediate section that is located between first and second traverse sections, the system including means for directing an electron beam towards an inspected object, at least one detector that is positioned so as to detect electrons emitted from the beam; and a processor, coupled to the at least one detector. The system is capable of performing at least one measurement, at one or more tilt states, to determine one or more relationships between one or more structural elements and portions of a measured structural element. At least two relationships help to determine the cross sectional feature. A typical CD-SEM includes an electron gun, for generating an electron beam, deflection and tilt units as well as focusing lens, for enabling scanning of a specimen with an electron beam, that may be in a certain tilt condition, while reducing various aberrations and misalignments. Electrons, such as secondary electrons, emitted as result of an interaction between the specimen and the electron beam are attracted to a detector that provides detection signals which are subsequently processed by a processing unit. The detection signals may be used to determine various features of the specimen, as well as form images of the inspected specimen. The invention may be implemented on CD-SEMs of various architectures that may differ from each other by the number of parts as well as the arrangement of said parts. For example the number of deflection units, as well as the exact structure of each unit may vary. The CD-SEM may include in-lens as well as out-of-lens detectors or a combination of both. A block diagram of a critical dimension scanning electron microscope (CD-SEM) 100 is shown schematically in FIG. 1a. CD-SEM 100 includes an electron gun 103 emitting an electron beam 101, which is extracted by the anode 104. The objective lens 112 focuses the electron beam on the specimen surface 105a. The beam is scanned over the specimen using the scanning deflection unit 102. An alignment of the beam to the aperture 106 or a desired optical axis respectively can be achieved by the deflection units 108 to 111. Instead of deflection unit coils, electrostatic modules in the form of charged plates or a combination of coils and electrostatic deflectors can be used. In-lens detector 16 is able to detect secondary electrons that escape from the specimen 105 at a variety of angles with relatively low energy (3 to 50 eV). Measurements of scattered or secondary corpuscles from a specimen can be conducted with detectors in the form of scintillators connected to photomultiplier tubes or the like. Since the way of measuring the signals does not influence the inventive idea in general, this is not to be understood as limiting the invention. It is noted that the CD-SEM may include, additionally or alternatively, at least one out-of-lens detector. Detection signals are processed by a processing unit (that may be a part of controller 33, but this is not necessarily so) that may have image processing capabilities and is able to process the detection signals in various manners. A typical processing scheme includes generating a waveform that reflects the amplitude of the detection signal versus the scan direction. The waveform is further processed to determine locations of at least one edge, and other cross sectional features of inspected structural elements. The different parts of the system are connected to corresponding supply units (such as high voltage supply unit 21) that are controlled by various control units, most of them omitted from the figure for simplifying the explanation. The control units may determine the current supplied to a certain part, as well as the voltage. CD-SEM 100 includes a double deflection system that includes deflection units 110 and 111. Thus, the beam tilt introduced in the first deflection unit 110, can be corrected for in the second deflection unit 111. Due to this double deflection system, the electron beam can be shifted in one direction without introducing a beam tilt of the electron beam with respect to the optical axis. FIG. 1b is a perspective view of an objective lens 120, according to another embodiment of the invention. In FIG. 1b, the tilt deflection is performed below (downstream direction) of the objective lens. Objective lens differs from objective lens 112 by having a pole-piece arranged in a quadrupole formation, positioned between the objective lens and specimen, for controlling the tilt condition of the electron beam. The polepieces are electrically connected to a ring and a core that bears additional coils (not shown) that are arranged so as to concentrate a magnetic flux in the space between the polepieces, through which the electron beam passes. Modern CD-SEMs are able to measure structural elements that have cross sections that have sub-micron dimensions, with an accuracy of several nanometers. The size of these cross sections is expected to reduce in the future, as manufacturing and inspection processes continue to improve. Various features of the cross section may be of interest. These features may include, for example, the shape of the cross section, the shape of one or more sections of the cross section, the width and/or height and/or angular orientation of the cross section sections, as well as the relationship between cross section sections. The feature can reflect typical values, as well as maximal and/or minimal values. Typically the width of the bottom of a line is of interest, but this is not necessarily so, and other features may be of interest. FIG. 2a illustrates a perspective as well as a cross sectional view of line 210 and a reference structural element, such as bump 250. Line 210 has a cross section 230 that includes a top section 224 and two substantially opposing traverse sections 222 and 226 (that correspond to the top section 214 as well as to two sidewalls 212 and 216 of line 210) that are both positively oriented at substantially opposing angles, such that the bottom of the line is not obscured by the top section 214. Bump 250 is much smaller than line 210 and has at least one point, such as certain point 251, that is located substantially at the same plane as the lower points 233 and 237 of first and second traverse sections 222 and 226. Bump 250 is located so as to be viewed by electron beams that are used to scan the first traverse section as well as electron beams used to scan the second traverse section. The location of bump 250, and especially the relationship between its height (which is usually much smaller than the height of line 210) and the distance between bump 250 and line 210 are calculated to allow the bump 250 to be viewed by both types of electron beams. The distance can be responsive to the maximal tilt angle of the scanning electron beam. As a rule of thumb, the distance between bump 250 and line 210 has to be greater than Hmax × tangent(Amax), in which Hmaxis the maximal height of line 210 and Amax is the maximal tilt angle of a scanning electron beam. The inventors found that a bump can be generated relatively quickly using a charged electron beam that interacts with an object to provide a bump based upon the carbonization phenomena. For example, the inventors generated a 0.5 micron long carbonization line in less than a minute. Very good results were achieved by generating the bump by scanning the object multiple times (such as, but not limited to 10-50 scans) with a relatively low current electron beam (such as, but not limited to 20, 50, 100pA and the like). The inventors also found that such bumps can be achieved by using various acceleration voltages, in particular using 200v provided better results than using 500v or 1000v. Longer bumps usually required to increase the number of scans. It is noted that inaccuracies of the bumps can reflect the accuracy of the critical dimension measurements. The inventors found that the added error is less than 1 nano-meter, and that using several bumps and averaging the results for all bumps may improve the precision of the measurement. FIG. 2b illustrates a cross section 230′ of another line (not depicted) that has a top section 234, a first traverse section 232 that is positively oriented and a negatively oriented second traverse section 236. FIG. 2b also illustrates the convention of positive angles, negative angles and zero angle. In some cases, a line may be positioned between first and second reference structural elements (e.g., two bumps similar to bump 250). The distance between the reference structural elements may be measured by performing multiple scans of said reference structural elements. The inventors found that using reference elements that are positioned at opposing sides of a measured structural element may be useful in various cases, such as when a single structural element cannot be viewed by electron beams that scan the measured structural element from both of its sides. This can occur when other structural elements are positioned in a very close proximity to the measured structural element, such as to obscure the reference structural element. Thus, in a dense array of lines 210, reference structural elements (e.g., bump 250) may be positioned between pairs of lines 210. In other embodiments of the invention, multiple reference structural elements are positioned in proximity to a measured structural element, so as to allow multiple measurements of the relationship between the measured structural element and the multiple reference structural elements and to allow statistical processing of the multiple relationships to provide better results. Said statistical processing may average out or otherwise reduce errors and/or inaccuracies associated with a single measurement. This may result from an increment of the overall signal to noise ratio. In some cases, an array of reference structural elements (e.g., similar to bump 250) may be positioned at a first side of a line (e.g., similar to line 210), while in other cases, an array of reference structural elements may be positioned on both sides of a measured structural element. The bottom CD of a line can be responsive to multiple distances measured with respect to these reference structural elements. It is noted that although the above discussion refers to line shaped measured structural elements and reference structural elements, the method and system are applicable to determine cross sectional features (such as top CD, bottom CD, maximal CD, and the like) of various structural elements, such as contacts, recesses and the like. The reference structural elements may have other shapes. FIGS. 3a -3c are schematic illustrations of waveforms 260-262 that represent a relatively wide positively oriented traverse section, a relatively narrow traverse section and a negative oriented traverse section respectively. As can be seen from these figures, the waveform portion associated with steep sidewalls, as well as negative oriented sidewalls is relatively narrow and corresponds to the width of the scanning electron beam. FIG. 4 illustrates a method 400 for determining a location of a traverse section. When a waveform is obtained from scanning a structural element, such as a line, by an electron beam, a portion of a derivative of this waveform may be obtained. For example, a waveform used to provide an estimate of the measure of a traverse section may include 3 points. An intermediate point has a maximal derivative value. The intermediate point is located between an upper point and a lower point, each associated with lower derivative values. The inventors have used an upper point that has a derivative value of about 80% of the maximal derivative value, and a lower point that has a derivative value of about 30% of the maximal derivative value, but other values can be used. A linear estimate of the traverse section is drawn between the upper and lower points. The intersection between said linear estimate and a height threshold provides a location point of the traverse section. The inventors used a height threshold of 35% of the maximal height of waveform 410, but other values can be used. Referring to FIG. 4, method 400 starts by step 470 of obtaining a waveform, said waveform representative of detection signals generated as a result of an interaction between a scanning electron beam and a structural element. Step 470 is followed by step 472 of calculating at least three points—a first point that is characterized by a maximal derivative value, and a lower point and an upper point that are characterized by a predefined derivative values. Step 472 is followed by step 474 of determining a location point in response to an intersection between a height threshold and a line that is drawn between the upper and lower points. According to an embodiment of the invention, the relationship between a reference structural element and a traverse section is actually the relationship between a certain point of the reference structural element and a location point of that traverse section. The certain point of the reference structural element may also be a location point. It is noted that other points, such as an upper point, an intermediate point, a lower point and/or other points of waveforms 260-262 may be used in determining a relationship between a traverse section and a reference structural element. FIG. 5 is a flow chart of method 500 for determining a cross sectional feature of a measured structural element having a sub-micron cross section, the cross section defined by an intermediate section located between first and second traverse sections. Method 500 measures a feature of a structural element. The measured structural element is a part of a measured object, such as a wafer, die or dice. If appropriate reference structural elements are not positioned at the vicinity of the measured element, they may be added by use of prior art methods for adding and/or removing material, such as Focused Ion Beam (FIB) based methods, electron beam or even laser based methods. These methods may also require the provision/injection of gas/plasma in a manner known in the art. A system that implements some of these techniques is the SEM Vision G2 FIB of Applied Materials Inc. of Santa Clara, Calif. Method 500 starts at step 520 of scanning, at a first tilt state, a first portion of a reference structural element and at least the first traverse section of the measured structural element, to determine a first relationship between the reference structural element and the first traverse section. Conveniently, the first relationship is a distance between a certain point of the reference structural element and a first edge of the first traverse section. As illustrated by FIG. 2a, method 500 can be responsive to the relationship between a measured structural element and a single reference structural element, but may be responsive to additional relationships between the measured structural element and multiple reference structural elements. Conveniently, the bottom of the measured structural element and the certain point of the reference structural element are substantially located on the same plane. Usually the height of the reference structural element, and especially the height of a certain reference point, is much smaller than a height of the measured structural element. It is noted that the invention can be adapted to handle other shaped and/or sized structural elements. The height of the reference structural element may be taken into account, for example by using elementary geometrical equation to compensate for a height difference between the bottom of the measured structural element and the reference structural element. Step 520 is followed by step 530 of scanning, at a second tilt state, a second portion of a reference structural element and at least the second traverse section of the measured structural element, to determine a second relationship between the reference structural element and the second traverse section. Step 530 is followed by step 540 of determining a cross sectional feature of the measured structural element in response to the first and second relationships. According to another embodiment of the invention, if one or more additional relationships were determined during steps 520 and 530, then the determination of step 540 is further responsive to at least one of the additional relationships. It is noted that during a tilt stage the electron beam may be tilted in relation to an imaginary plane that is perpendicular to the measured object. The tilt angle can be positive or negative. According to an embodiment of the invention, during the first tilt state the electron beam is tilted at a positive angle, while during the second tilt state the electron beam is tilted at a negative angle. According to another embodiment of the invention, during the first tilt state the electron beam is tilted at a negative angle, while during the second tilt state the electron beam is tilted at a positive angle. According to an embodiment of the invention, during the first or second tilt state the electron beam is tilted at substantially zero degrees. It is noted that large tilt angles, such as 15 degrees and even more, can be achieved by using prior art tools such as the NanoSem 3D or the VERASem of Applied Materials Inc. FIG. 6 is a flow chart of method 600 for determining a cross sectional feature of a measured structural element having a sub-micron cross section, the cross section defined by an intermediate section located between first and second traverse sections. Method 600 starts by step 620 of scanning, at a first tilt state, a first portion of a reference element and at least the first traverse section of the measured structural element, to determine a first relationship between the reference structural element and the first traverse section. Step 620 is followed by query step 625 of determining whether to perform an additional scanning step. If the answer is yes, method 600 proceeds to step 630, else the method proceeds to step 640. The determination of step 625 may be responsive to various parameters, such as, but not limited to, top, bottom, maximal and/or minimal width of the measured structural element, estimated or measured orientation of a traverse section and/or estimated or measured width of a traverse section. The estimation can involve processing of detection signals and comparison with detection signals originating from other structural elements. The comparison may involve die to die comparison, die to data base comparison and the like. An additional scanning step may be required if one traverse section (or both) is (are) suspected to be either steep (for example above a steepness threshold) or to be negatively oriented. This orientation may be estimated from waveforms acquired during the detection of signals resulting from the scan of the measured structural element. Steep traverse sections, as well as negative oriented traverse sections, are associated with certain waveforms. The inventors found that such traverse sections are suspected if the width of the waveform substantially equals the width of the electron beam. The inventors also found that a comparison of waveforms to previously recorded waveforms of known elements may also be used. Step 630 includes scanning, at a second tilt state, a second portion of a reference element and at least the second traverse section of the measured structural element, to determine a second relationship between the reference structural element and the second traverse section. Step 630 is followed by step 640 of determining a cross sectional feature of the measured structural element in response to at least the first relationship. According to another embodiment of the invention, if one or more additional relationships were determined during steps 620 and 630, then the determination of step 640 is further responsive to at least one of the additional relationships. FIG. 7 is a flow chart of method 700 for determining a cross sectional feature of a measured structural element having a sub-micron cross section, the cross section defined by an intermediate section located between a first and a second traverse sections. Method 700 starts at step 720 of scanning, at a first tilt state, at least a point of a first reference structural element and at least the first traverse section of the measured structural element, to determine a first relationship between the first reference structural element and the first traverse section. Step 720 is followed by step 730 of scanning, at a second tilt state, at least a point of a second reference structural element and at least the second traverse section of the measured structural element, to determine a second relationship between the second reference structural element and the second traverse section. Step 730 is followed by step 740 of determining a cross sectional feature of the measured structural element in response to the first and second relationships. According to an embodiment of the invention, the measured structural element is positioned between the first and second reference structural elements. The relationship (usually distance and optionally height difference) between the first and second reference elements must be measured/ estimated. Inaccuracies in this measurement may affect the measurement of the cross sectional feature. Step 700 may include a preliminary step of either estimating or receiving this reference relationship, but it may also include a step 710 of measuring it. The measurement may take place in locations other than the location in which the measured element is being measured, so that various contamination, carbonization or shrinkage effects will not affect the measurement of the measured structural element. Step 710 may include one or more scans of an area that includes the first and second points of the first and second reference elements and the measured structural element. Step 710 may also include preventing the electron beam from illuminating the measured structural element. This can be implemented by blanking the beam while it is supposed to illuminate the measured structural element. FIG. 8 is a flow chart of method 800 for determining a cross sectional feature of a measured structural element having a sub-micron cross section, the cross section defined by an intermediate section located between a first and a second traverse section. Method 800 starts at step 820 of scanning, at a first tilt state, a portion of a reference element and at least the first and second traverse sections, to determine at least one relationship between the reference element and the at least one scanned traverse element. During this step the electron beam can be substantially perpendicular to the measured object that includes the measured structural element. Step 820 is followed by query step 825 for determining whether an additional scanning step is required for determining an additional relationship between the reference structural element and the at least one traverse section. If the answer is positive, method 820 proceeds to step 830, else it proceeds to step 840. This determination may be responsive to an estimated width of a traverse section, to an estimated orientation of a traverse section, to an estimated cross sectional feature of the measured structural element, and the like. Step 830 includes performing at least one additional scanning step. Conveniently, the tilt state differs from the first tilt state of step 820. Step 840 involves determining a cross sectional feature of the measured structural element in response to at least one relationship. FIG. 9 is a flow chart of method 900 for determining a cross sectional feature of a measured structural element having a sub-micron cross section, the cross section defined by an intermediate section located between a first and a second traverse sections. Method 900 starts by step 920 of scanning, at a first tilt state, first portions of a set of reference structural elements and at least the first traverse section of the measured structural element, to determine a first set of relationships between reference structural elements of the set of reference structural elements and the first traverse section. Step 920 is followed by step 930 of scanning, at a second tilt state, second portions of the set of reference structural elements and at least the second traverse section of the measured structural element, to determine a second set of relationships between reference structural elements of the set of reference structural elements and the second traverse section. Step 930 is followed by step 940 of determining a cross sectional feature of the measured structural element in response to the first and second sets of relationships. Step 940 may include statistical processing of the relationships of the first set to provide a first relationship. It may also include statistical processing of the relationships of the second set to provide a second relationship. According to another embodiment of the invention, method 900 may include a query step for determining a necessity of additional scanning steps, in addition to step 920, and to determine whether step 930 (or even additional scanning steps) is required. Is noted that the intermediate section, which may be a top section in the case of an elevated structural element, may be determined from each of the scanning steps. It is further noted that given the first and second traverse section cross sectional features the cross section of the structural element as well as any feature (such as, but not limited to, top CD, bottom CD, maximal CD) of said cross section can be determined. A typical cross sectional feature is the horizontal projection of a traverse section. In cases where the tilt angle is relatively small, it is assumed that the tilt angle is approximately equal to the tangent of this angle. It is noted that some of the measurements may be repeated, and that additional tilted scans of the structural element (with the same and/or differing tilt angles) may be performed for various reasons, such as averaging out statistical noise, and the like. Accordingly, methods 400 and 500 may include multiple measurements of one or more cross sectional features, even if the height of the structural elements were known or estimated and even if a certain cross sectional feature were measured. The present invention can be practiced by employing conventional tools, methodology and components. Accordingly, the details of such tools, component and methodology are not set forth herein in detail. In the previous descriptions, numerous specific details are set forth, such as shapes of cross sections of typical lines, number of deflection units, etc., in order to provide a thorough understanding of the present invention. However, it should be recognized that the present invention might be practiced without resorting to the details specifically set forth. Only exemplary embodiments of the present invention and but a few examples of its versatility are shown and described in the present disclosure. It is to be understood that the present invention is capable of use in various other combinations and environments and is capable of changes or modifications within the scope of the inventive concept as expressed herein. |
|
abstract | A sanitizing apparatus for writing utensils comprising a housing assembly and an ultraviolet and ozone generating assembly housed therein. The housing assembly comprises a top wall, a base and four walls. The first and second walls are perpendicularly disposed with respect to the third and fourth walls. The third and fourth walls are lateral walls. The housing assembly also comprises an angled wall that protrudes outwardly beyond the top wall and beyond the first wall defining a tray that terminates with a lip for preventing the writing utensil from falling off. A battery compartment is also housed within the housing assembly. The ultraviolet and ozone generating assembly radiates the writing utensil within the housing assembly with rays and ozone, to effectively sterilize bacteria and biological germs existing on the writing utensil. A visual indicator notifies a user when the ultraviolet and ozone generating assembly is operating. |
|
description | The invention relates to equipment for use in a nuclear reactor and, more particularly, to a debris trap for catching debris falling through a fuel bundle orifice in a nuclear reactor. A typical fuel assembly in a boiling water nuclear reactor includes a lower tie plate, an upper tie plate and a matrix of sealed fuel rods supported between upper and lower tie plates. The fuel rods contain nuclear fuel pellets in sealed containment for supporting a required critical reaction for the generation of steam. A channel surrounds the tie plates and fuel rods. Periodically, the locations of fuel bundles are changed, and when the bundles are moved, debris can fall from the moving bundle into other bundles. Such debris may affect operation of the reactor and may cause failures, and it is thus desirable to prevent any debris from falling into other bundles. In an exemplary embodiment, a debris trap is provided for catching debris falling through a fuel bundle orifice in a nuclear reactor. The debris trap includes a shaft including a pivot actuator at one end thereof, and a debris capture tray pivotally attached to an opposite end of the shaft. The debris capture tray includes a tray cavity sized larger than the fuel bundle orifice, and the pivot actuator is operable to pivot the debris capture tray between an insertion position and a capture position. In another exemplary embodiment, the debris trap includes a shaft and a debris capture tray attached to the shaft. The debris capture tray includes a conical tray cavity having a maximum diameter larger than a width of the fuel bundle orifice. In yet another exemplary embodiment, a method of inserting a debris trap in a fuel bundle includes the steps of lowering the debris trap to a position adjacent a bottom of the fuel bundle; and (b) positioning the debris capture tray under the fuel bundle. With reference to the drawings, a debris trap 10 serves to catch debris falling through a fuel bundle orifice in a nuclear reactor. The debris trap 10 includes a shaft 12 having a pivot actuator 14 (shown schematically via arrows in FIG. 1) at one end thereof. A debris capture tray 16 is pivotally attached to an opposite end of the shaft 12. A pivot mechanism (described below) connected between the debris capture tray 16 and the shaft 12 is actuated by the pivot actuator 14 to pivot the debris capture tray 16 via the pivot mechanism between an insertion position (shown in FIG. 3) and a capture position (shown in FIGS. 1 and 2). The debris capture tray 16 includes a tray cavity 17 that is sized larger than the fuel bundle orifice. Preferably, the tray cavity 17 is conical to reduce the possibility of debris exiting the tray 16. A length of the shaft 12 is preferably sufficient to extend from a refueling bridge of the nuclear reactor to a bottom of the fuel bundle. Of course, the length of the shaft 12 may vary depending on desired use, size of the reactor, etc. A pivot shaft 18 is slidably coupled with the shaft 12 and is connected to the pivot actuator 14. The pivot mechanism may be any number of mechanical arrangements that enable the debris capture tray 16 to pivot between the insertion position and the capture position. As shown, the pivot mechanism includes a tray bracket 20 secured to the debris capture tray 16 and a link 22 connected between the tray bracket 20 and the pivot shaft 18. A shaft connector 24 may be connected between the shaft 12 and the debris capture tray 16. The shaft connector 24 is pivotally connected to the debris capture tray 16 via a pivot joint 26, where relative movement of the pivot shaft 18 to the shaft 12 causes the debris capture tray 16 to pivot between the insertion position and the capture position. As shown in FIG. 3, in the insertion position, the debris capture tray 16 may be oriented substantially in alignment with the shaft 12. The pivot actuator 14 may be of any suitable construction to effect relative positioning of the pivot shaft 18 to the shaft 12. The actuator 14 may include a manual actuator or an automated actuator such as a pneumatic actuator or the like. The debris capture tray 16 preferably also includes a vacuum port 28 that is attachable to a vacuum source. If included, the vacuum system serves to remove the debris from the tray while also pulling additional debris from the bundle. In order to insert the debris trap 10 under a fuel bundle of a nuclear reactor, with the debris capture tray 16 in the insertion position (FIG. 3), the debris trap 10 is lowered to a position adjacent a bottom of the fuel bundle. The debris trap may be lowered from the refueling bridge in the reactor. Once the fuel bundle is lifted by the mast, the debris capture tray 16 is pivoted to the capture position (FIGS. 1 and 2). The debris capture tray 16 is then positioned under the fuel bundle, completely covering the orifice in the bottom of the bundle so that any debris falling through the orifice will fall in the cavity 17 of the debris capture tray 16. Preferably, the debris trap 10 remains in place until the mast is at the new bundle location. While the invention has been described in connection with what is presently considered to be the most practical and preferred embodiments, it is to be understood that the invention is not to be limited to the disclosed embodiments, but on the contrary, is intended to cover various modifications and equivalent arrangements included within the spirit and scope of the appended claims. |
|
abstract | There is provided a contact force evaluation method for evaluating a contact force against a supporting member of a tube bundle positioned in a fluid and supported by the supporting member, including a contact force setting step of setting a contact force of the tube bundle, a probability density function calculation step of calculating a probability density function of a reaction force received by the supporting member from the tube bundle in response to a predetermined input, using a vibration analysis model of the tube bundle and the supporting member, a probability calculation step of calculating a probability that a reaction force equal to or higher than the set contact force occurs, based on the calculated probability density function, and an evaluation step of evaluating the set contact force, based on the calculated probability. |
|
claims | 1. An apparatus for an automated production of lead-212 in a closed system, comprising:a sealed box;a generator comprising a solid medium and radium-224 to produce lead-212 by decay of the radium-224, the radium-224 being bound to the solid medium; anda collector for collecting the purified lead-212;the sealed box comprising:an extractor for extracting the lead-212 from the generator to form an aqueous solution A1;a liquid chromatography column for purifying the lead-212 comprised by the aqueous solution A1 from radiological and chemical impurities;a first connector for connecting the generator with the extractor;a second connector for connecting the extractor with the liquid chromatography column;a third connector for connecting the liquid chromatography column with the collector; andan electronic processor for commanding the extractor, the liquid chromatography column and the first, second and third connectors;the generator and the collector being outside the sealed box, the sealed box comprising an inlet port for allowing the first connector to connect the generator with the extractor, and an outlet port for allowing the third connector to connect the liquid chromatography column with the collector. 2. The apparatus of claim 1, wherein the extractor comprises a circulator for circulating an aqueous solution in the generator. 3. The apparatus of claim 2, wherein the circulator comprises a pump to draw in the aqueous solution and to inject the drawn aqueous solution into the generator. 4. The apparatus of claim 1, wherein the liquid chromatography column comprises a stationary phase which selectively retains the lead-212 from the aqueous solution A1. 5. The apparatus of claim 4, wherein the liquid chromatography column further comprises an eluter for eluting the lead-212 from the stationary phase. 6. The apparatus of claim 5, wherein the eluter for eluting the lead-212 from the stationary phase comprises a circulator for circulating an aqueous solution A3 in the chromatography column. 7. The apparatus of claim 6, wherein the circulator comprises a pump to draw in the aqueous solution A3 and to inject the drawn aqueous solution A3 into the liquid chromatography column. 8. The apparatus of claim 3, wherein the pump is further provided for drawing in an aqueous solution A2 and for injecting the drawn aqueous solution A2 into the liquid chromatography column to wash the stationary phase. 9. The apparatus of claim 6, wherein the collector comprises a flask. 10. The apparatus of claim 9, further comprising a bacteriological purification filter which is placed between the flask and the liquid chromatography column. 11. The apparatus of claim 1, wherein the sealed box further comprises a plurality of inlet ports, each inlet port being provided to be connected to an aqueous solution source, and a fail-safe device to prevent connecting an aqueous solution source to a port with which it is not associated. |
|
050698613 | summary | This invention relates to an apparatus and a process for the remote unscrewing and extraction of an assembly screw, the head of which is damaged, particularly of a screw of which the head is separated from the threaded body by breakage. The fuel assemblies of nuclear reactors cooled with light water and, in particular, the fuel assemblies of pressurized-water nuclear reactors comprise a bundle of parallel fuel rods arranged in a framework having end connectors. The fuel assemblies, in the operating position and during their deactivation storage, are placed in a position in which the fuel rods are arranged vertically, the fuel assemblies resting on a support by means of their lower connector. The upper connector has leaf springs, on which the upper plate of the reactor core comes to rest when the assemblies are in operation in the vessel of the nuclear reactor. The leaf springs are fastened to the upper connector by means of flanges which are screwed to a frame forming the upper part of the connector. The flanges are brought to bear on the end part of the springs and have countersinks, into which it is possible to introduce the assembly screws passing through the end parts of the springs and possessing a threaded part screwed into an internally threaded hole passing through the frame of the connector. When the assembly screws have been tightened, the heads of these screws are seated completely in the countersinks above the springs, and their end part opposite the head projects slightly relative to the lower surface of the connector frame. The springs of the upper connector of the fuel assemblies ensure that these assemblies are retained in the operating reactor as a result of the bearing force of the upper core plate transmitted to their framework by means of the springs. In the operating reactor, the springs, called anti-flyoff springs, can experience some damage, with the result that they no longer satisfactorily perform their function of retaining the fuel assembly. In particular, the springs can suffer breaks or lose their elasticity characteristic under irradiation. It is then necessary to replace the damaged spring leaves and, for that purpose, remove the flange of the upper connector of the assembly. This operation is carried out remotely, the assembly being in the storage position in the bottom of a pool, by using a tool of conventional type having a hexagonal socket interacting with the head of the fastening screw of the spring. The hexagonal socket of the tool is fastened to the end of a pole actuated by operators working from the operating platform of the pool. After a certain operating time of the fuel assembly, the fastening screws of the springs are corroded and the threaded shank of the screw can be jammed in the thread of the socket. Furthermore, the screws have detent pins ensuring that they are blocked in terms of rotation. In order to extract a screw, it is necessary beforehand to machine the detent pin of the screw with a bell-type cutter and then unscrew it by means of a tool which ensures that the screw is gripped at the end of the removal operation. The screw is injected into a discharge container held underwater in the fuel-assembly storage pool. This procedure therefore makes it necessary to employ suitable cutting and unscrewing tools of complex structure and use. Likewise, to ensure the installation, tightening and locking of the new fastening screw of the flange and springs, suitable tools have to be used. Moreover, it may be necessary to exert a considerable tightening torque on the tool, with the result that the screw head is liable to break in a zone forming an incipient breaking point at the intersection of the head and the shank of the screw, part of which is threaded. Studies have been conducted to prevent, as far as possible, the screws from breaking and in particular it has been proposed to use nickel alloys resistant to corrosion and to the effects of irradiation in order to produce these screws. The replacement of the screws of older type by screws of the more recent nickel-alloy type can be carried out during the shutdowns of the reactor for the refueling of the core. If the head of a screw has undergone a break or damage making it impossible to exert the unscrewing torque on the screw head, the customary procedure for removing and extracting the screw is no longer practicable. On the other hand, there are known devices for the unscrewing and extraction of screws with a damaged head, which comprise a C-shaped frame and an extraction screw terminating in a punch and engaged in an internally threaded hole passing through one branch of the frame. A bearing piece is fastened to the frame branch opposite the branch in which the extraction screw is engaged. However, such apparatus as described in U.S. Pat. Nos. 1,380,071, 2,535,638 and 1,639,111 are not suitable to be put in place and actuated remotely, for example in order to carry out the unscrewing and extraction of a fastening screw of an element of a fuel assembly of a nuclear reactor from the platform of the fuel storage pool of the reactor. The object of the invention is, therefore, to provide an apparatus for the remote unscrewing and extraction of a fastening screw of an element of a fuel assembly of a nuclear reactor, the head of which is damaged, the screw being engaged by means of its head part in a bore of a first piece of the assembly and by means of its threaded body in an internally threaded bore passing through a second piece, this apparatus comprising a C-shaped frame having two branches substantially parallel to one another and adjoining part between the two branches, and an extraction screw engaged in an internally threaded hole passing through one branch of the frame and having one end forming a punch directed towards the inside of the frame, and a bearing and centring piece, the diameter of which is smaller than the diameter of the internally threaded bore of the second piece and which is fastened to the second branch of the frame and is directed towards the inside of the frame in the axial direction of the extraction screw, this apparatus being usable whatever the state of the screw head, and, in particular, when this screw head is separated from the body completely by breakage. To achieve this, the apparatus according to the invention also possesses: a pole of great length, to the end of which the C-shaped frame is fastened, a ring for centring the extraction screw relative to the screw to be extracted, arranged round the extraction screw over a smooth part of this screw located between its threaded part and its end part forming the punch, and a means for the remote actuation of the extraction screw by screwing or unscrewing. In a preferred embodiment, the apparatus furthermore possesses a bearing and centring piece, the diameter of which is smaller than the diameter of the threaded bore of the second piece and which is fastened to the second branch of the frame and is directed inwards in the axial direction of the extraction screw. |
048291919 | claims | 1. A switchable neutron generating device comprising: a. a first plate having an alpha emitting portion thereon, said first plate having a central aperture therein; b. a second plate adjacent to said first plate and having a target portion thereof, said second plate also having a central aperture therein; c. a shaft passing through and connected at the central aperture of one of said plates and passing through but not connected to the central aperture of the other of said plates; and d. rotating means for rotating said shaft and thereby one of said plate with respect to the other plate whereby neutrons can be generated from the target portion of said second plate. a. a capsule; b. a first plate surface having an alpha emitting portion, said first plate surface located inside said capsule; c. a second plate surface adjacent to said first plate surface and having a target portion, said second plate surface also located inside said capsule; d. an armature located inside said capsule and connected to one of said plate surfaces, and; e. rotating means located outside said capsule adjacent to said armature, said rotating means capable of inducing movement of said armature through said capsule by magnetism whereby the alpha emitting portion of said first plate surface and the target portion of said second plate surface can be proximately aligned thereby generating neutrons from the target portion of said second plate surface. 2. The apparatus of claim 1 in which said first plate has a plurality of alpha emitting portions. 3. The apparatus of claim 2 in which said second plate has a plurality of target portions. 4. The apparatus of claim 2 in which the alpha emitting portions of said first plate are selected from the group consisting of .sup.242 curium, .sup.241 americium, .sup.238 plutonium, .sup.227 actinium, .sup.228 thorium, and .sup.210 polonium. 5. The apparatus of claim 3, in which the target portions of said second plate are selected from the group consisting of beryllium, boron, and lithium. 6. The apparatus of claim 3 in which the alpha emitting portions of said first plate are pie shaped segments of equal size and equally spaced about the plate. 7. The apparatus of claim 6 in which the target portions of said second plate are pie shaped segments of equal size and equally spaced about the plate. 8. The apparatus of claim 7 in which said first plate includes target portions positioned between the alpha emitting portions and said second plate includes alpha emitting portions positioned between said target portions. 9. The apparatus of claim 8 in which the alpha emitter portions and the target portions of said first and said second plates are separated by inert portions. 10. The apparatus of claim 9 including a plurality of first and second plate pairs identical to said first and said second plates and affixed so that all the alpha emitting portions and target portions of said first plates are in alignment and all the target portions and alpha emitting portions of said second plates are in alignment. 11. The apparatus of claim 10 in which the alpha emitting portions and the target portions of said first and said second plates are on both sides of each of said plates and adjacent to the like portion on the opposite side of each of said plates. 12. The apparatus of claim 11 including a capsule enclosing all said first and said second plates and said shaft. 13. The apparatus of claim 12 in which said plates that are not connected to said shaft are connected to said capsule. 14. The apparatus of claim 12 wherein said capsule is portable. 15. A switchable neutron generating device comprising: 16. The device of claim 15 in which said capsule is completely sealed and airtight. 17. The device of claim 16 in which said device is double-walled. 18. The device of claim 17 in which said armature is connected to one of said plate surfaces by a shaft. 19. The apparatus of claim 18 in which said first plate surface is a circular disk and said second plate surface is a circular disk. 20. The apparatus of claim 19 wherein one of said plate surfaces is connected to said shaft at a central aperture in said plate surface and the other of said plate surfaces has a central aperture through which passes said shaft. 21. The apparatus of claim 20 in which said first plate surface has a plurality of alpha emitting portions. 22. The apparatus of claim 21 in which said second plate surface has a plurality of target portions. 23. The apparatus of claim 21 in which the alpha emitting portions of said first plate surface are selected from the group consisting of .sup.242 curium, .sup.241 americium, .sup.238 plutonium, .sup.227 actinium, .sup.228 thorium, and .sup.210 polonium. 24. The apparatus of claim 22 in which the target portions of said second plate surface are selected from the group consisting of beryllium, boron, and lithium. 25. The apparatus of claim 22 in which the alpha emitting portions of said first plate surface are pie shaped segments of equal size and equally spaced about the plate surface. 26. The apparatus of claim 25 in which the target portions of said second plate surface are pie shaped segments of equal size and equally spaced about the plate surface. 27. The apparatus of claim 26 in which said first plate surface includes target portions positioned between said alpha emitting portions and said second plate surface includes alpha emitting portions positioned between said target portions. 28. The apparatus of claim 27 in which the alpha emitter portions and the target portions of said first and said second plate surface are separated by inert portions. 29. The apparatus of claim 28 including a plurality of said first and said second surface plate pairs identical to said first and said second plate surfaces and affixed so that all the alpha emitting portions and target portions of said first plate surfaces are in alignment and all the target portions and alpha emitting portions of said second plate surfaces are in alignment. 30. The apparatus of claim 29 in which the alpha emitting portions and the target portions of said first and said second plate surfaces are on both sides of each of said plate surfaces and adjacent to the like portion on the opposite side of each of said plate surfaces. 31. The apparatus of claim 30 in which said plate circular disks that are not connected to said shaft are connected to said capsule. 32. The apparatus of claim 30 wherein said capsule is portable. 33. The apparatus of claim 32 in which said capsule is made of stainless steel. |
abstract | Methods for converting toxic waste, including nuclear waste, to quasi-natural or artificial feldspar minerals are disclosed. The disclosed methods may include converting, chemically binding, sequestering and incorporating the toxic waste into quasi-natural or artificial Feldspar minerals. The quasi-natural or artificial feldspar minerals may be configured to match naturally occurring materials at a selected disposal site. Methods for the immediate, long term, quasi-permanent disposal or storage of quasi natural or artificial feldspar materials are also disclosed. |
|
abstract | Provided is a laser processing device capable of preventing laser light from leaking out to the outside of the housing by emitting the laser light to the processing target with the camera detached. The laser processing device includes a laser generator; a scanning optical system for scanning the laser light with respect to a workpiece; a housing frame for accommodating the scanning optical system; a camera for photographing the workpiece, the camera being removably attached to the housing frame and having a light receiving axis branched from an emission axis of the laser light; a camera cover removably attached to the housing frame to cover the camera; a limit SW for detecting detachment of the camera cover from the housing frame; and a laser output control section for prohibiting the emission of the laser light to the workpiece based on a cover detection signal. |
|
047327057 | claims | 1. In a method of packaging radioactive anion and/or cation exchange resin particles for shipment or storage wherein the resin particles are added to a cement mixture so as to form a cement matrix and the cement matrix is then allowed to solidify, the improvement comprising adding a chemically affecting additive to said resin particles and/or heating said resin particles prior to or during the solidification of said cement matrix to reduce their swelling factor to below 1.7, said swelling factor representing the quotient of the settled volume of said resin particles in a water-moistened, swelled state and the settled volume of said resin particles in a dry state, thus reducing the swelling and shrinking properties of said resin particles to such an extent that an increased amount of said resin particles can be added to said cement mixture per unit volume without reducing the water resistance of the solidified cement matrix to an unacceptable level. 2. A method according to claim 1, wherein said improvement comprises adding a chemically affecting additive to said resin particles. 3. A method according to claim 2, wherein said chemically affecting additive treats anion resin particles and consists of inorganic or organic anions or organic anion-active compounds. 4. A method according to claim 2, wherein said chemically affecting additive treats cation resin particles and consists of inorganic or organic cations or organic cation-active compounds. 5. A method according to claim 2, wherein said chemically affecting additive treats both anion and cation resin particles and is a compound which includes both anions and cations. 6. A method according to claim 2, wherein said chemically affecting additive contains organic or inorganic groups which can be cross linked with each other or with at least a portion of said resin particles. 7. A method according to claim 2, wherein said chemically affecting additive is selected from the group consisting of inorganic and organic mono and polysulfides, mono and polyfunctional carboxylic acids, their salts and their derivatives, sulphuric acid monoester, sulphonates and phosphoric acid mono and diesters. 8. A method according to claim 2, wherein said chemically affecting additive is selected from the group consisting of primary, secondary, tertiary and quartenary basic amines, which may also contain two or more amino groups, basic organic phosphonium compounds, basic organic sulphonium compounds, barium.sup.++ and iron.sup.++ compounds. 9. A method according to claim 1, wherein the improvement comprises heating said resin particles to between 50.degree. C. and 250.degree. C. 10. A method according to claim 9, including the step of passing a gas around said heated resin particles in order to remove volatile decay products emanating therefrom. |
description | This application is the U.S. national phase application of International Application No. PCT/NL2008/050820, which claims the benefit of priority of U.S. provisional application 61/006,117, which was filed on Dec. 19, 2007, and which is incorporated herein in its entirety by reference. The present invention relates to a radiation source and method, a lithographic apparatus and a method for manufacturing a device. A lithographic apparatus is a machine that applies a desired pattern onto a substrate, usually onto a target portion of the substrate. A lithographic apparatus can be used, for example, in the manufacture of integrated circuits (ICs). In that instance, a patterning device, which is alternatively referred to as a mask or a reticle, may be used to generate a circuit pattern to be formed on an individual layer of the IC. This pattern can be transferred onto a target portion (e.g. comprising part of, one, or several dies) on a substrate (e.g. a silicon wafer). Transfer of the pattern is typically via imaging onto a layer of radiation-sensitive material (resist) provided on the substrate. In general, a single substrate will contain a network of adjacent target portions that are successively patterned. Lithography is widely recognized as one of the key steps in the manufacture of ICs and other devices and/or structures. However, as the dimensions of features made using lithography become smaller, lithography is becoming a more critical factor for enabling miniature IC or other devices and/or structures to be manufactured. A theoretical estimate of the limits of pattern printing can be given by the Rayleigh criterion for resolution as shown in equation (1): CD = k 1 * λ NA PS ( 1 ) where λ is the wavelength of the radiation used, NAPS is the numerical aperture of the projection system used to print the pattern, k1 is a process dependent adjustment factor, also called the Rayleigh constant, and CD is the feature size (or critical dimension) of the printed feature. It follows from equation (1) that reduction of the minimum printable size of features can be obtained in three ways: by shortening the exposure wavelength λ, by increasing the numerical aperture NAPS or by decreasing the value of k1. In order to shorten the exposure wavelength and, thus, reduce the minimum printable size, it has been proposed to use an extreme ultraviolet (EUV) radiation source. EUV radiation sources are configured to output a radiation wavelength of about 13 nm. Thus, EUV radiation sources may constitute a significant step toward achieving small features printing. Such radiation is termed extreme ultraviolet or soft x-ray, and possible sources include, for example, laser-produced plasma sources, discharge plasma sources, or synchrotron radiation from electron storage rings. In certain radiation sources, a pinch is located at/near one electrical discharge electrode. This may be a disadvantage for debris mitigation systems (such as position-sensitive foil traps) and self-shading electrodes. It is desirable to improve the radiation source. For example, in case of EUV lithography, the power radiated by an EUV radiation source depends on the source size. Generally, it is desirable to collect as much power radiated by the source as possible because a large collection efficiency of the radiated power means that the power provided to the source can be reduced, which will be beneficial to the lifetime of the source. The source size together with the collection angle form the etendue of the source. Only radiation emitted within the etendue of the source may be taken into account and used for illuminating the patterning device. According to an embodiment, there is provided a radiation source configured to generate radiation. The radiation source includes a first electrode and a second electrode configured to produce an electrical discharge during use to generate radiation-emitting plasma from a plasma fuel. The radiation source also includes a fuel supply configured to supply a plasma fuel to a fuel release area that is associated with the first electrode and the second electrode. The radiation source further includes a fuel release configured to induce release of fuel, supplied by the fuel supply, from the fuel release area. The fuel release area is spaced-apart from the first electrode and from the second electrode, wherein the first electrode and the second electrode form anodes and the fuel supply is an electrical discharge cathode, wherein the first electrode and the second electrode form cathodes and the fuel supply is an electrical discharge anode, or wherein the fuel supply is not part of the first electrode or the second electrode. The fuel supply may be a rotating wheel, or is a rotationally symmetrical or cylindrical fuel supplying unit, or is connected to a high voltage electrical power source, or any combination thereof. According to an embodiment, there is provided a radiation source configured to generate radiation. The radiation source includes a fuel evaporation system configured to generate an evaporated plasma fuel. The radiation source also includes a first rotatable electrode and a second rotatable electrode configured to produce an electrical discharge there-between, during use, to generate radiation-emitting plasma from the evaporated plasma fuel. The radiation source further includes a cooling medium reservoir that includes cooling medium configured to cool the first rotatable electrode and the second rotatable electrode. The evaporation system may be provided with a fuel droplet generator or a fuel jet generator. The fuel evaporating system may be provided with a fuel supply. The source may include a drive configured to rotate the fuel supply. The fuel supply may be constructed and arranged to transport fuel from a fuel reservoir to an evaporation area by way of rotation of the fuel supply, the fuel evaporating system being configured to evaporate the plasma fuel at the evaporation area. The source may include a cooling bath configured to cool the first rotatable electrode and/or the second electrode. According to an embodiment, there is provided a method to generate radiation. The method includes providing at least a first electrode and a second electrode, and transporting fuel to a fuel release area that is spaced-apart from the first electrode and the second electrode with a fuel supply. The method also includes inducing release of the fuel from the fuel release area towards an electrical discharge path associated with the first electrode and the second electrode. The method further includes generating an electrical discharge to generate radiation-emitting plasma from fuel that has been released from the fuel release area. The fuel supply may be a third electrode, wherein electrical discharges are evoked between each of the first and second electrode on one hand and the third electrode on the other hand. According to an embodiment, there is provided a method to generate radiation. The method includes providing a first movable or rotatable electrode and a second movable or rotatable electrode, rotating or moving each electrode through a heat removing medium, and evaporating a plasma fuel near the electrodes. The method also includes generating an electrical discharge between the electrodes to generate radiation-emitting plasma from evaporated plasma fuel. The evaporating may include generating fuel droplets or a fuel jet, and irradiating the generated droplets or jet with a laser beam. According to an embodiment, there is provided a device manufacturing method that includes generating a beam of radiation, patterning the beam of radiation to form a patterned beam of radiation, and projecting the patterned beam of radiation onto a substrate. Generating the beam of radiation includes providing at least a first electrode and a second electrode, transporting fuel to a fuel release area that is spaced-apart from the first electrode and the second electrode with a fuel supply, inducing release of the fuel from the fuel release area towards an electrical discharge path associated with the first electrode and the second electrode, and generating an electrical discharge to generate radiation-emitting plasma from fuel that has been released from the fuel release area. According to an embodiment, there is provided a device manufacturing method that includes generating a beam of radiation, patterning the beam of radiation to form a patterned beam of radiation; and projecting the patterned beam of radiation onto a substrate. Generating the beam of radiation includes providing a first movable or rotatable electrode and a second movable or rotatable electrode, rotating or moving each electrode through a heat removing medium, evaporating a plasma fuel near the electrodes, and generating an electrical discharge between the electrodes to generate radiation-emitting plasma from evaporated plasma fuel. According to an embodiment, there is provided a lithographic apparatus that includes a radiation source configured to generate radiation. The radiation source includes a first electrode and a second electrode configured to produce an electrical discharge during use to generate radiation-emitting plasma from a plasma fuel, a fuel supply configured to supply a plasma fuel to a fuel release area that is associated with the first electrode and the second electrode, and a fuel release configured to induce release of fuel, supplied by the fuel supply, from the fuel release area. The fuel release area is spaced-apart from the first electrode and from the second electrode. The lithographic apparatus also includes a patterning device configured to pattern the radiation, and a projection system configured to project the patterned radiation onto a target portion of a substrate. According to an embodiment, there is provided a lithographic apparatus that includes a radiation source configured to generate radiation. The radiation source includes a fuel evaporation system configured to generate an evaporated plasma fuel, a first rotatable electrode and a second rotatable electrode configured to produce an electrical discharge there-between, during use, to generate radiation-emitting plasma from the evaporated plasma fuel, and a cooling medium reservoir comprising cooling medium configured to cool the first rotatable electrode and the second rotatable electrode. The lithographic apparatus also includes a patterning device configured to pattern the radiation, and a projection system configured to project the patterned radiation onto a target portion of a substrate. FIG. 1 schematically depicts a lithographic apparatus according to one non-limiting embodiment of the invention. The apparatus comprises: an illumination system (illuminator) IL configured to condition a radiation beam B (e.g. EUV radiation); a support structure (e.g. a mask table) MT constructed to support a patterning device (e.g. a mask or a reticle) MA and connected to a first positioner PM configured to accurately position the patterning device; a substrate table (e.g. a wafer table) WT constructed to hold a substrate (e.g. a resist-coated wafer) W and connected to a second positioner PW configured to accurately position the substrate; and a projection system (e.g. a reflective projection lens system) PS configured to project a pattern imparted to the radiation beam B by patterning device MA onto a target portion C (e.g. comprising one or more dies) of the substrate W. The illumination system may include various types of optical components, such as refractive, reflective, magnetic, electromagnetic, electrostatic or other types of optical components, or any combination thereof, for directing, shaping, or controlling radiation. The support structure holds the patterning device in a manner that depends on the orientation of the patterning device, the design of the lithographic apparatus, and other conditions, such as for example whether or not the patterning device is held in a vacuum environment. The support structure can use mechanical, vacuum, electrostatic or other clamping techniques to hold the patterning device. The support structure may be a frame or a table, for example, which may be fixed or movable as required. The support structure may ensure that the patterning device is at a desired position, for example with respect to the projection system. The term “patterning device” should be broadly interpreted as referring to any device that can be used to impart a radiation beam with a pattern in its cross-section such as to create a pattern in a target portion of the substrate. The pattern imparted to the radiation beam may correspond to a particular functional layer in a device being created in the target portion, such as an integrated circuit. The patterning device may be transmissive or reflective. Examples of patterning devices include masks, programmable mirror arrays, and programmable LCD panels. Masks are well known in lithography, and include mask types such as binary, alternating phase-shift, and attenuated phase-shift, as well as various hybrid mask types. An example of a programmable mirror array employs a matrix arrangement of small mirrors, each of which can be individually tilted so as to reflect an incoming radiation beam in different directions. The tilted mirrors impart a pattern in a radiation beam which is reflected by the mirror matrix. The term “projection system” may encompass any type of projection system, including refractive, reflective, catadioptric, magnetic, electromagnetic and electrostatic optical systems, or any combination thereof, as appropriate for the exposure radiation being used, or for other factors such as the use of an immersion liquid or the use of a vacuum. It may be desired to use a vacuum for EUV or electron beam radiation since other gases may absorb too much radiation or electrons. A vacuum environment may therefore be provided to the whole beam path with the aid of a vacuum wall and vacuum pumps. As here depicted, the apparatus is of a reflective type (e.g. employing a reflective mask). Alternatively, the apparatus may be of a transmissive type (e.g. employing a transmissive mask). The lithographic apparatus may be of a type having two (dual stage) or more substrate tables (and/or two or more mask tables). In such “multiple stage” machines the additional tables may be used in parallel, or preparatory steps may be carried out on one or more tables while one or more other tables are being used for exposure. Referring to FIG. 1, the illuminator IL receives a radiation beam from a radiation source SO. The source and the lithographic apparatus may be separate entities, for example when the source is an excimer laser. In such cases, the source is not considered to form part of the lithographic apparatus and the radiation beam is passed from the source SO to the illuminator IL with the aid of a beam delivery system comprising, for example, suitable directing mirrors and/or a beam expander. In other cases the source may be an integral part of the lithographic apparatus, for example when the source is a mercury lamp. The source SO and the illuminator IL, together with the beam delivery system if required, may be referred to as a radiation system. The illuminator IL may comprise an adjuster for adjusting the angular intensity distribution of the radiation beam. Generally, at least the outer and/or inner radial extent (commonly referred to as σ-outer and σ-inner, respectively) of the intensity distribution in a pupil plane of the illuminator can be adjusted. In addition, the illuminator IL may comprise various other components, such as an integrator and a condenser. The illuminator may be used to condition the radiation beam, to have a desired uniformity and intensity distribution in its cross-section. The radiation beam B is incident on the patterning device (e.g., mask) MA, which is held on the support structure (e.g., mask table) MT, and is patterned by the patterning device. After being reflected from the patterning device (e.g. mask) MA, the radiation beam B passes through the projection system PS, which focuses the beam onto a target portion C of the substrate W. With the aid of the second positioner PW and position sensor IF2 (e.g. an interferometric device, linear encoder or capacitive sensor), the substrate table WT can be moved accurately, e.g. so as to position different target portions C in the path of the radiation beam B. Similarly, the first positioner PM and another position sensor IF1 can be used to accurately position the patterning device (e.g. mask) MA with respect to the path of the radiation beam B. Patterning device (e.g. mask) MA and substrate W may be aligned using mask alignment marks M1, M2 and substrate alignment marks P1, P2. The depicted apparatus could be used in at least one of the following modes: 1. In step mode, the support structure (e.g. mask table) MT and the substrate table WT are kept essentially stationary, while an entire pattern imparted to the radiation beam is projected onto a target portion C at one time (i.e. a single static exposure). The substrate table WT is then shifted in the X and/or Y direction so that a different target portion C can be exposed. 2. In scan mode, the support structure (e.g. mask table) MT and the substrate table WT are scanned synchronously while a pattern imparted to the radiation beam is projected onto a target portion C (i.e. a single dynamic exposure). The velocity and direction of the substrate table WT relative to the support structure (e.g. mask table) MT may be determined by the (de-)magnification and image reversal characteristics of the projection system PS. 3. In another mode, the support structure (e.g. mask table) MT is kept essentially stationary holding a programmable patterning device, and the substrate table WT is moved or scanned while a pattern imparted to the radiation beam is projected onto a target portion C. In this mode, generally a pulsed radiation source is employed and the programmable patterning device is updated as required after each movement of the substrate table WT or in between successive radiation pulses during a scan. This mode of operation can be readily applied to maskless lithography that utilizes programmable patterning device, such as a programmable mirror array of a type as referred to above. Combinations and/or variations on the above described modes of use or entirely different modes of use may also be employed. FIG. 2 shows the projection apparatus in more detail, including a radiation system 42, an illumination optics unit 44, and the projection system PS. The radiation system 42 includes the radiation source SO which may be formed by a discharge plasma. EUV radiation may be produced by a gas or vapor, for example Xe gas, Li vapor or Sn vapor in which a very hot plasma is created to emit radiation in the EUV range of the electromagnetic spectrum. The very hot plasma is created by causing an at least partially ionized plasma by, for example, an electrical discharge. Partial pressures of, for example, 10 Pa of Xe, Li, Sn vapor or any other suitable gas or vapor may be required for efficient generation of the radiation. The radiation emitted by radiation source SO is passed from a source chamber 47 into a collector chamber 48 via a gas barrier or contaminant trap (for example foil trap) 49 which is positioned in or behind an opening in source chamber 47. The contaminant trap 49 may include a channel structure. The contaminant trap 49 may also include a gas barrier or a combination of a gas barrier and a channel structure. In an embodiment, as discussed in FIG. 3, a tin (Sn) source is applied as an EUV source. The collector chamber 48 includes a radiation collector 50 which may be formed by a grazing incidence collector. Radiation collector 50 has an upstream radiation collector side 50a and a downstream radiation collector side 50b. The radiation collector 50 includes reflectors 142, 143 and outer reflector 146, as shown in FIG. 2. Radiation passed by collector 50 can be reflected off a grating spectral filter 51 to be focused in a virtual source point 52 at an aperture in the collector chamber 48. From collector chamber 48, a beam of radiation 56 is reflected in illumination optics unit 44 via normal incidence reflectors 53, 54 onto the patterning device MA positioned on the support MT. A patterned beam 57 is formed which is imaged in projection system PS via reflective elements 58, 59 onto substrate table WT. More elements than shown may generally be present in illumination optics unit 44 and projection system PS. Grating spectral filter 51 may optionally be present, depending upon the type of lithographic apparatus. Further, there may be more mirrors present than those shown in FIG. 2, for example there may be 1-4 more reflective elements present than reflective elements 58, 59. It should be appreciated that radiation collector 50 may have further features on the external surface of outer reflector 146 or further features around outer reflector 146, for example a protective holder, a heater, etc. Reference number 180 indicates a space between two reflectors, e.g. between reflectors 142 and 143. Each reflector 142, 143, 146 may comprise at least two adjacent reflecting surfaces, the reflecting surfaces further from the source SO being placed at smaller angles to the optical axis O than the reflecting surface that is closer to the source SO. In this way, a grazing incidence collector 50 is configured to generate a beam of (E)UV radiation propagating along the optical axis O. Instead of using a grazing incidence mirror as collector mirror 50, a normal incidence collector may be applied. Collector mirror 50, as described herein in an embodiment in more detail as nested collector with reflectors 142, 143, and 146, and as schematically depicted in, amongst others, FIG. 2, is herein further used as example of a collector (or collector mirror). Hence, where applicable, collector mirror 50 as grazing incidence collector may also be interpreted as collector in general and in a specific embodiment also as normal incidence collector. Further, instead of a grating 51, as schematically depicted in FIG. 2, a transmissive optical filter may be applied. Optical filters transmissive for EUV and less transmissive for or even substantially absorbing UV radiation are known in the art. Hence, “grating spectral purity filter” is herein further indicated as “spectral purity filter,” which includes gratings or transmissive filters. Not depicted in FIG. 2, but also included as optional optical element may be EUV transmissive optical filters, for instance arranged upstream of collector mirror 50, or optical EUV transmissive filters in illumination unit 44 and/or projection system PS. As will be appreciated, the contaminant trap 49, and/or radiation collector 50 and/or the spectral purity filter 51 may be part of the illumination optics 44. Similarly, the reflective elements 53 and 54 may be part of the radiation system 42. In the embodiment of the FIGS. 1 and 2, the lithographic apparatus 1 is a maskless apparatus in which the patterning device MA is a programmable mirror array. One example of such an array is a matrix-addressable surface having a viscoelastic control layer and a reflective surface. The basic principle behind such an apparatus is that, for example, addressed areas of the reflective surface reflect incident radiation as diffracted radiation, whereas unaddressed areas reflect incident radiation as undiffracted radiation. Using an appropriate filter, the undiffracted radiation can be filtered out of the reflected beam, leaving only the diffracted radiation behind. In this manner, the beam becomes patterned according to the addressing pattern of the matrix addressable surface. An alternative embodiment of a programmable mirror array employs a matrix arrangement of tiny mirrors, each of which can be individually tilted about an axis by applying a suitable localized electric field, or by employing piezoelectric actuators. Once again, the mirrors are matrix addressable, such that addressed mirrors will reflect an incoming radiation beam in a different direction to unaddressed mirrors. In this manner, the reflected beam is patterned according to the addressing pattern of the matrix-addressable mirrors. The required matrix addressing can be performed using suitable electronics. In both of the situations described hereabove, the patterning device can comprise one or more programmable mirror arrays. More information on mirror arrays as here referred to can be seen, for example, from U.S. Pat. Nos. 5,296,891 and 5,523,193, and PCT Publication Nos. WO 98/38597 and WO 98/33096. In the case of a programmable mirror array, the support structure may be embodied as a frame or table, for example, which may be fixed or movable as required. The size(s) of the mirrors in a programmable mirror array is/are generally larger than the critical dimension of a pattern present on a conventional (reflective or transmissive) mask. As such, maskless lithographic apparatus generally requires a projection lens that has a higher de-multiplication factor than that of a non-maskless apparatus. For example, the de-multiplication factor of maskless lithographic apparatus is about 100, whereas the de-multiplication factor of non-maskless lithographic apparatus is about 4. Therefore, for a given numerical aperture of the projection system, the patterned radiation beam collected by the projection system PS in a maskless apparatus is much smaller than that of a lithographic apparatus using a conventional (reflective or transmissive) mask. This, in turn, limits the etendue of the maskless apparatus. Existing EUV sources SO that are developed for conventional patterning device applications may have a source etendue that is significantly larger than that of a maskless lithographic apparatus. If the etendue of the source is larger than the etendue of the lithographic apparatus, radiation may be lost. As a result, the exposure time of each substrate may be longer. This may affect substrate throughput. Due to the small etendue of a maskless apparatus, it is therefore desirable that all the radiations emitted by the plasma radiation source SO be collected by the lithographic apparatus 1 to limit radiation loss. In order to ensure that substantially all the radiation emitted by the source SO illuminates the patterning device MA and is collected by the projection system PS, it is desirable to match the etendue of the source SO with that of the lithographic apparatus 1. For example, in the embodiments of FIGS. 1 and 2, it is desirable to limit the etendue of the source in a range lower than about 0.03 mm2 steradian. FIG. 3 schematically shows a radiation source configured to generate radiation. For example, the source can be used as an above-mentioned source SO of a lithographic apparatus. The source can also be used in a field different from lithography. In the embodiment of FIG. 3, the source has a first rotating electrode 111 and a second rotating electrode 112. Rotation axes of the electrodes 111, 112 are indicated by dashed lines Xr1, Xr2, respectively. Lower parts of the electrodes 111, 112 extend into respective cooling baths 14, 15, to be cooled by cooling medium contained in the baths 14, 15. One of the baths 111 also functions as a plasma fuel reservoir (i.e., the respective cooling medium also serves as plasma fuel). For example the plasma fuel reservoir bath 14 can contain plasma fuel in a liquid state, for example liquid tin (Sn). For example, in the present application, a plasma fuel can be tin (Sn), xenon (Xe), lithium (Li), Gadolinium (Gd), Terbium (Tb) or any suitable compound or combination or alloy of such elements, or a different suitable fuel. During operation, the plasma fuel in respective bath 14 can wet the respective rotating electrode 111 (i.e., a layer of fuel sticks to an outer surface of the rotating electrode 111). The other bath 15 can contain the same material as the fuel bath 14, or a different material (particularly a liquid). The source is also provided with a high voltage electrical power source 22 that is connected to the electrodes 111, 112 via suitable high tension connecting lines 23, to induce electrical discharges Ed between the electrodes 111, 112. For example, the connection lines 23 can be in direct contact with the electrodes 111, 112 (for example via respective rotation axes, or via suitable low friction contacts), as in FIGS. 3-4. Alternatively, the connection lines 23 can be in indirect contact with the electrodes 111, 112, for example via the baths 14, 15 and particularly via a (cooling) medium contained in these baths 14, 15 (see also FIG. 5a) in case that medium is electrically conductive. A fuel release 21, or fuel release inducer, is provided. The fuel release 21 is configured to induce evaporation of fuel, supplied by the fuel supplying electrode 111, from a fuel release area, to generate radiation-emitting plasma RP. In the present embodiment, the fuel release area is a part or section of the wetted surface of the rotating plasma fuel supplying electrode 111, which part is defined by the fuel release 21. Particularly, the fuel release can be a laser source that emits a laser beam Le to the wetted surface of the electrode 111 (thereby defining the fuel release area), to effect the release of the fuel from the area. For example, the laser beam Le can be sufficiently powerful to thermally dislodge at least part of fuel from the electrode 111. In this embodiment, the laser beam illuminated fuel release area is part of one of the electrodes 111. During operation, the electrodes 111, 112 are preferably located in a low pressure environment, for example a vacuum, so that released fuel can evaporate swiftly from the fuel release area, and such that electrical discharges can be generated between the electrodes using the release fuels. During operation of the source according to FIGS. 4a, 4b, fuel that is released from that area can reach the opposite rotating electrode 112, providing a current path between 0 the electrodes. The high tension between the electrodes 111, 112, provided by the power source 22, ignites an electrical discharge Ed (shown by a dashed line) between the electrodes 111, 112 via the current path, which discharge Ed generates an radiation-emitting plasma RP that emits radiation (for example EUV radiation in case the fuel is tin, or an other fuel suitable to emit EUV radiation). As is indicated in FIG. 3, in this embodiment, the plasma RP has an ellipsoid shape, which results in a relatively large etendue. The density of plasma in the FIG. 3 embodiment will not be symmetrical with respect to the electrodes during a discharge (i.e., plasma density will be higher near the fuel evaporating electrode 111 compared to plasma density near the other electrode 112). FIG. 7 depicts an embodiment that is similar to the FIG. 3 embodiment, and contains two rotating electrodes 111′, 112′ and respective baths 14′, 15′; in this case, each electrode 111′, 112′ has a sharply inclined edge, each electrode 111′, 112′ being positioned vertically, and being in mutual alignment. In the embodiment of FIG. 7, the electrodes 111′, 112′ are “self-shading” for emission of ballistic debris from the electrode surface (with respect to a downstream part of a desired radiation emission path). In other words: the electrodes 111′, 112′ are arranged such that they physically block part of a debris emission path, in a general desired radiation emission direction RD (along the optical axis). Particularly, in source design with rotating disk electrodes (see for example FIGS. 3, 7), the discharge is triggered by laser evaporation of liquid fuel (for example tin) from one of the electrodes (for example the cathode). This leads to a non-symmetrical distribution of the fuel plasma at the moment of the discharge, i.e. the plasma density is highest near the electrode 111, 111′ where it was evaporated. As a result, the (for example EUV) pinch is not established halfway between the electrodes, but very close to the cathode. This short distance requires a very close spacing of foils of an optionally downstream contamination mitigation device 49, in order to resolve the pinch and the cathode. Another application where laser evaporation from the cathode 111, 111′ is not optimal is the afore-mentioned self-shading electrodes. This is because the plasma expands in a direction perpendicular to the electrode surface, and this direction is not parallel to the discharge direction in the case of the self-shading electrodes. This limits the protection angle of the self-shading electrodes. FIGS. 4a, 4b schematically show an improved radiation source embodiment that can provide a relatively small etendue. Also, the embodiment according to FIGS. 4a, 4b can provide a more homogeneous plasma, or at least a plasma having a more symmetrically distributed density. The embodiment of FIGS. 4a, 4b differs from the embodiment of FIG. 3 in that the fuel release area is spaced-apart from each of the first and second rotating electrode 14, 15. The embodiment of FIGS. 4a, 4b can provide a decreased source etendue, by providing a decreased the axial size of an EUV emitting region (i.e, the plasma RP) of the source. The adjusted (e.g. reduced) etendue of the plasma source can lead to a matching of the etendue of the source with the etendue of the lithographic apparatus 1. In a further embodiment, the fuel supply 13 comprises or is part of a fuel transport system is that configured to transport fuel from a fuel reservoir to the fuel release area. Also, according to an embodiment, the radiation source can include one or more drive mechanisms 19 configured to rotate the fuel supply 13. In the embodiment of FIGS. 4a, 4b, particularly, the fuel supplying electrode 13 is not part of the at least first and second electrical discharge electrodes 11, 12. Particularly, the embodiment of FIGS. 4a, 4b is provided with at least three rotating electrodes 11, 12, 13 (three, in the present embodiment), configured to produce electrical discharges Ed during use to generate radiation. In this case, two outer electrodes 11, 12 are provided, and one third electrode 13 that is located of extends between the outer electrodes 11, 12. Each of the electrodes 11, 12, 13 is a rotating electrode, which rotated about a respective axis of rotation Xr1, Xr2, Xr3 during operation. Drive means to drive (i.e. rotate) the electrodes 11, 12, 13 are not shown. Such drive means can be configured in various ways, and can include suitable bearings, motors that are directly or indirectly connected to the electrodes 11, 12, 13 (for example hub motors 19 that carry the respective electrode 13, or other motor types), transmissions, electrode carriers, and/or other suitable electrode rotation inducers, as will be appreciated by the skilled person. In an embodiment, the electrodes 11, 12, 13 can be interconnected to each other, such that a single drive unit can drive the electrodes 11, 12, 13 at the same time. The electrodes 11, 12, 13 can be driven independently from each other. In one embodiment, the electrodes 11, 12, 13 can have the same rotation speeds during operation. In an embodiment, for example, the rotation speed of the third electrode is higher or lower than a rotation speed of the outer electrodes 11, 12. The electrodes 11, 12, 13 can each be configured and shaped in various ways. For example, each of the electrodes 11, 12, 13 can be a rotating wheel. Each of the electrodes 11, 12, 13 can be a rotationally symmetrical or cylindrical unit. Each electrode 11, 12, 13 can consist of or comprise electrically conductive material, for example one or more metals. Preferably, each electrode 11, 12, 13 is made of material that can operate under high thermal and electrical loads. The outer electrodes 11, 12 can be configured and shaped similar, or different with respect to each other. Also, the third (or inner) rotation electrode 13, can be configured and shaped similar, or different with respect to one or each of the outer electrodes 11, 12. In the present embodiment, for example, the inner electrode 13 has a smaller diameter than diameters of the outer electrodes 11, 12. Alternatively, the diameter of the inner electrode 13 can be the same as, or larger than, diameters of the outer electrodes 11, 12. In an embodiment, for example, rotation axes of the electrodes can be parallel with respect to each other. In the present embodiment, however, the rotation axes Xr1, Xr2, Xr3 extend in different directions. Also, for example the rotation axes Xr1, Xr2, Xr3 can all extend in the same virtual vertical plane (as in the present embodiment), or in different virtual vertical planes. In the present embodiment, the outer electrodes 11, 12 are tilted with respect the third electrode 13, such that distances between lower ends of the electrodes are larger than distances between opposite (upper) electrical discharge ends of the electrodes 11, 12, 13. In the present embodiment, the third electrode 13 is arranged to position a respective fuel release area (see below) in a symmetrical relationship with respect of electrical discharge areas of the at least first and second electrode 11, 12. Particularly, to this aim, a (during operation fuel releasing) top of the third electrode 13 is separated by the same distance L1 from nearby tops of each of the outer electrodes 11, 12 (see FIG. 4b). In the present embodiment, (lower) parts of the electrodes 11, 12, 13 extend into (i.e. dip into, make contact with) liquid that is contained in respective first baths 14, 15, and second bath 16. The second bath 16 relating to the third electrode 13 acts as a plasma fuel reservoir, i.e., the liquid contained in that bath 16 also serves as plasma fuel. For example the plasma fuel reservoir bath 16 can contain plasma fuel in a liquid state, for example liquid tin, or a different suitable fuel. For example, the laser 21 in combination with the third electrode 13 and fuel reservoir 16 can be called a “a fuel evaporating system 13, 16, 21”, configured to generate evaporated plasma fuel. In an embodiment, a fuel evaporation system can be provided that does not include a fuel bath through which a fuel delivery unit 13 moves or rotates, for example in the case that the evaporation system is provided with a fuel droplet generator or a fuel jet generator (examples are described below, see FIG. 9). During operation, the plasma fuel 16 can wet the respective rotating third electrode 13 (i.e., a layer of fuel sticks to an outer surface of the rotating electrode 13). The other (first) baths 14, 15 can contain the same material as the fuel bath 16, or a different material (particularly a liquid). In the present embodiment, three different baths 14, 15, 16 are associated with the three rotating electrodes 11, 12,13. Alternatively, for example a smaller number of baths can be provided, for example a single bath containing a medium, each of the electrodes 11, 12, 13 partly extending into that medium, or one plasma fuel reservoir 16 providing fuel to the third electrode 13, and one second reservoir containing a medium that contacts the outer electrodes 11, 12. In the present embodiment, the liquid contained in each of the baths 14, 15, 16 acts as a cooling medium for the respective rotating electrode 11, 12, 13. Also, the baths 14, 15, 16 can be provided with a cooling medium temperature conditioning system (not shown), for example a refrigerating system and/or a cooling medium recirculation system, to condition the temperature of the cooling medium contained in the baths, as will be appreciated by the skilled person. The embodiment of FIGS. 4a, 4b is also provided with a high voltage electrical power source 22 that is connected to the electrodes 11, 12, 13 via suitable high tension connecting lines 23, to induce electrical discharges Ed between the outer electrodes 11, 12 and the third electrode 13, respectively. For example, the first and second electrodes 11, 12 can act as anodes, and the third electrode can act as a cathode, or vice-versa. A fuel release 21, or fuel release inducer, such as a laser device, may be provided. The fuel release 21 may be configured to emit a laser beam Le to the wetted surface of the third electrode 13 (thereby defining the fuel release area from a respective fuel wetted outer surface of the electrode 13), to effect the release of the fuel from the area. During operation, the electrodes 11, 12, 13 may be located in a low pressure environment, for example a vacuum, so that released fuel can evaporate swiftly from the fuel release area, and electrical discharges can be generated between the electrodes using the release fuels. Operation of the source of FIGS. 4a, 4b can be part of a lithographic device manufacturing method, but is not essential. Operation of the source can be a method to generate radiation, wherein the rotating fuel supply electrode 13 supplies fuel (from the reservoir 16) to a respective fuel release area that is spaced-apart from the first and second electrode 11, 12. The laser unit 21 induces release of the fuel from the fuel release area towards electrical discharge paths associated with the other electrodes 11, 12. Then, the electrical discharge Ed between the electrodes 11, 12, 13 generates radiation-emitting plasma RP from the released fuel. Particularly, electrical discharges are evoked between each of the first and second electrode 11, 12 on one hand and the third electrode 13 on the other hand. Also, during use, the electrodes 11, 12, 13 have been positioned relative to each other so that, in use, the discharge paths extending between the electrodes are substantially curved so as to create a force that compresses the radiation-emitting plasma RP. In an embodiment, at least part of each of the electrodes 11, 12, 13 is rotating or continuously moving through a heat removing medium, held by the respective bath 13, 14, 15. For example, during operation of the source according to FIGS. 4a, 4b, all electrodes 11, 12, 13 rotate, and are cooled by cooling fuel (wetting the electrodes via the cooling baths 14, 15, 16). The third electrode 13 may act as a fuel transport device, to transport fuel from the respective bath 16 to the area (i.e. electrode top part, in the present embodiment) that can be illuminated by the laser 21. Upon laser illumination, fuel is released from the third rotating electrode 13. The fuel that is released by/from the third electrode 13 can reach the surfaces of nearby parts of the outer electrode 11, 12, thereby providing a plurality of current paths Ed there-between. The high tension between the electrodes 11, 12, 13, provided by the power source 22, ignites electrical discharges Ed (shown by the dashed lines) between the electrodes 11, 12, 13 via the current paths, which discharges Ed generate the radiation-emitting plasma RP that emits radiation (for example EUV radiation in case the fuel is tin, or an other fuel suitable to emit EUV radiation). Control of the radiation emission can be achieved for example by controlling the laser 21 and/or the power source 22. For example, the source can be operated to provide radiation continuously, semi-continuously, intermittently, in a pulse-like manner (a pulsed source) periodically, and or in a different manner, as will be appreciated by the skilled person. As is indicated in FIG. 4a, in the present embodiment, the plasma RP has a reduced axial shape with respect to the shape shown in FIG. 3. It is believed that the embodiment of FIG. 4a, 4b can provide curved electrical paths for curved electrical discharges Ed (see FIG. 4a), leading to axial confinement of radiation-emitting plasma RP via electromagnetic interaction. Thus, a desired relatively small source etendue can be achieved. Thus, the present embodiment can provide a field configuration that prevents a pinch propagation from one electrode to another. Also, according to a further embodiment, etendue can be matched so that relatively high amount of useful radiation power can be delivered for the same input (electrical) power, thereby increasing throughput, and decreasing heat load and infrastructure requirements. FIGS. 5a, 5b show another non-limiting example of an embodiment of a radiation source. The source configuration of FIGS. 5a, 5b is substantially the same as the configuration of FIGS. 4a, 4b. A difference is, that the third rotating element (i.e. the fuel supply) 63 is not an electrode, or at least is not electrically connected to the high tension power source 22 during radiation emission operation. In the FIGS. 5a, 5b embodiment, the respective fuel release area, provided by supply unit 63 is also spaced-apart from each of the rotating first and second electrode 61, 62. Particularly, in this case, the fuel supply 63 is not part of the first and second electrical discharge electrodes 61, 62. The embodiment of FIG. 5 may provide an improved radiation source embodiment that can provide a relatively large protection angle regarding the electrodes 61, 62 (see also FIGS. 8, 9), and a relatively symmetrical distribution of plasma with respect to the electrodes 61, 62. The embodiment of FIGS. 5a, 5b is provided with at least three rotating units 61, 62, 63 (three, in the present embodiment), wherein the outer units 61, 62 are configured to produce electrical discharges Ed there-between during use to generate radiation. Drive means to drive (i.e. rotate) the electrodes 61, 62 are indicated schematically with reference numeral 19′. Such drive means can be configured in various ways, and can include suitable bearings, motors that are directly or indirectly connected to the units, as will be appreciated by the skilled person. In the embodiment illustrated in FIGS. 5a, 5b, (lower) parts of the (two) electrodes 61, 62 extend into (i.e. dip into, make contact with) a cooling liquid that is contained in respective first baths 64, 65. A second bath 66 relating to the third rotating unit 63 acts as a plasma fuel reservoir, i.e., the liquid contained in that bath 66 also serves as plasma fuel. During operation, the plasma fuel 66 can wet the respective rotating third unit 63. The other (first) baths 64, 65 can contain the same material as the fuel bath 66, or a different material (particularly a liquid). In the present embodiment, three different baths 64, 65, 66 are associated with the three rotating units 61, 62, 63. In an embodiment, a smaller number of baths can be provided (as is mentioned above). In the present embodiment, the liquid contained in each of the baths 64, 65 acts as a cooling medium for the respective rotating electrodes 61, 62. As discussed above, for example, the cooling baths 64, 65 can be provided with a cooling medium temperature conditioning system (not shown), for example a refrigerating system and/or a cooling medium recirculation system, to condition the temperature of the cooling medium contained in the baths, as will be appreciated by the skilled person. The fuel bath 66 associated with the rotating fuel supply unit 63 does not have to act as a cooling bath to cool that unit, or can have a lower cooling capacity than cooling capacity provided by the other bath(s) 64, 65. The embodiment of FIGS. 5a, 5b is also provided with a high voltage electrical power source 22 that is connected to the electrodes 61, 62 via suitable high tension connecting lines 23, to induce electrical discharges Ed between the electrode 61, 62. In this embodiment, for example, the connection lines 23 are indirectly electrically coupled to the electrodes 61, 62, via the baths 64, 65, particularly via electrically conductive cooling medium (for example a liquid metal, for example tin) contained in these baths 64, 65. Again, a fuel release 21, or fuel release inducer, such as a laser device, is provided and is configured to emit a laser beam Le to the wetted surface of the rotating fuel supply 63, to effect evaporation of the fuel from the area. The rotating units 61, 62, 63 can each be configured and shaped in various ways. For example, each of the electrodes 61, 62 can be a rotating wheel. Each of the electrodes 61, 62 can be a rotationally symmetrical or cylindrical unit. Each electrode 61, 62 can consist of or comprise electrically conductive material, for example one or more metals. The electrodes 61, 62 can be configured and shaped similar, or different with respect to each other. In the present embodiment, the third (or inner) rotating unit 63 has smaller diameter than diameters of the electrodes 61, 62. As illustrated in FIG. 5b, a top of the third unit 63 is located at a level below the tops of the electrodes 61, 62. A top of the third unit 63 may be located at a level below the level of rotation axes of the electrodes 61, 62. Also, a fuel supply area that is provided by the third unit 63 is located below the level of the rotation axes of the electrodes 61, 62. As illustrated in FIG. 5a, the rotation axes of the two electrodes 61, 62 extend in different directions, and the electrodes 61, 62 may be tilted with respect to opposite surfaces of the fuel supply 63, such that distances between front (electrical discharge) parts of the two electrodes 61, 62 are larger than distances between opposite (back) parts of the electrodes 61, 62. The fuel supply 63 may be arranged to position a respective fuel release area in a symmetrical relationship with respect of electrical discharge areas of the at least first and second electrode 61, 62. Particularly, to this aim, a (fuel releasing) surface (during operation) of the fuel supply 63 may be separated by the same distance from nearby edges of each of the electrodes 61, 62 (as in the drawings). The source may be provided with one or more shields 80 (shown by a dashed rectangle in FIG. 5a), for example plates or other elements, to at least partly shield a fuel evaporation location (i.e. the fuel release area that is illuminated by the laser beam Le) from a downstream radiation collection field (indicated by an angle β in FIG. 5b). A top of the radiation collection field (which can be a cone shaped collection field, or a field having a different arrangement or shape) can coincide with a central of a radiation emission plasma RP (see FIG. 5a). It has been found that such a shield may be advantageous for certain applications, e.g. a position-sensitive contaminant trap 49 and the self-shading electrodes (as discussed below). Such shielding can prevent microparticles generated by the laser evaporation from traveling into the collection angle/field β. For example, according to a non-limiting embodiment, the source system can comprise disk-shaped electrodes 61, 62 rotating through respective tin (Sn) baths 64, 65 during operation, a disk-shaped target 63 rotating through another Sn bath 66, and a laser beam Le. The target 63 and the bath 66 are preferably electrically isolated from the electrodes 61, 62 and their baths 64, 65. The target 63 and the laser beam Le can be configured in such a way that the ablated fuel reaches both electrodes 61, 62 at a desired location of discharge. This may be done symmetrically so that the vapor reaches both electrodes 61, 62 simultaneously and a ‘pinch’ RP may be established halfway between the electrodes 61, 62. Furthermore, the target unit 63 is preferably positioned outside the collection angle β so that it does not obstruct collectable radiation. As is mentioned before, liquid in the baths 64, 65 (used for protecting and cooling the electrodes 61, 62) does not need to be the same liquid as in fuel bath 66 (used as fuel for the source). For example, in an embodiment, the liquid in electrode baths 64, 65 may be another low-melting metal, e.g. Ga, In, Sn, Bi, Zn or an alloy of these metals. Operation of the embodiment of FIGS. 5a, 5b can be a method to generate radiation, wherein the rotating fuel supply 63 supplies fuel (from the reservoir 66) to a respective fuel release area that is spaced-apart from the first and second electrode 61, 62. The laser beam Le defines a fuel release area on a fuel film containing surface of the rotating fuel supply unit 63, to evaporate fuel towards an electrical discharge path that extends (in a substantially straight line) between the two rotating electrodes 61, 62 (evaporated fuel is indicated by dotted clouds in the FIGS. 5a-8.) Then, the electrical discharge Ed between the electrodes 61, 62 generates radiation-emitting plasma RP from part of the evaporated fuel that has reached the electrical discharge path. Each of the electrodes 61, 62 may rotate (or continuously move) through a heat removing medium that is held by the respective bath 64, 65. A high tension between the electrodes 61, 62, provided by the power source 22, ignites an electrical discharge Ed (shown by the dashed lines) between the electrodes 61, 62 via the current path, which discharge Ed produces the radiation-emitting plasma RP that emits radiation. The resulting plasma has a good symmetrical density distribution with respect to the electrodes 61, 62. Thus, the embodiment of FIGS. 5a, 5b can provide evaporation of plasma fuel from a dedicated target 63 rather than from one of the electrodes 61, 62. For example, the dedicated target 63 can be a third disk 63 rotating through a separate liquid tin (Sn) bath. As shown in FIG. 9, the target is a tin (Sn) droplet or jet injected to reach an evaporation area that is located between the rotating electrodes 61, 62 (and that is spaced apart from each of the electrodes 61, 62). In the latter case, for example the system can be provided with a fuel evaporating system 21, 41 configured to generate the evaporated plasma fuel, wherein the evaporation system includes a fuel droplet or fuel jet generator 41 (or dispenser), and a laser 21 to at least partly evaporate the fuel that has been dispensed by the fuel droplet or fuel jet generator 41, and has reached the evaporation area. For example, the target can comprise Sn droplets 45 (preferably mass-limited) generated by a droplet generator 41 that is synchronized with the laser 21, so that the droplets 45 are evaporated at the desired location (between the rotating electrodes 61, 62, to generate the radiation-emitting plasma RP). In yet another embodiment, the target consists of a continuous jet of Sn. The embodiment of FIGS. 5a, 5b may be used in combination with a downstream contaminant mitigation system 49 (see FIGS. 1, 2), for example a foil trap. For example, in case the contaminant trap 49 is a foil trap, certain foil spacing requirements can be relaxed so that the fuel handling can be improved and the optical transmittance can be increased significantly (typically from 60% to 90%). The so-called protection angle (indicated by φ in FIG. 8) can be extended to cover the entire collection angle β, and the electrodes 61, 62 can become less susceptible to erosion. The aforementioned protection angle φ is associated with the (self-shading) electrodes 61, 62, and is defined by the orientation of electrode surfaces at which certain debris is generated. In certain systems (such as the system according to FIG. 7), the protection angle may be limited to fairly small values (e.g. <45°) because the fuel vapor evaporated from one electrode 111′ should be directed towards the other electrode 112′. Therefore, a desired large protection angle φ may not be possible. The present embodiment of FIGS. 5a, 5b (see also FIG. 8), can provide an equal plasma fuel distribution viewed from the inclined edges of the electrodes 61, 62, leading to significantly larger protection angles φ. The source system can be used in combination with a (position-sensitive) contaminant mitigator 49, for example a foil trap. In that case, the source pinch RP can be located in or near a center, for example centrally on a desired optical path OP, of an electrode gap (extending between nearest edges or parts of the electrodes 61, 62), as illustrated in FIG. 6, rather than close to one of the electrodes. Thus, the spacing between the pinch RP and the debris emitting surfaces of the electrodes 61, 62 increases. This allows for a larger spacing of contaminant mitigation foils of a downstream foil trap 49 (located concentrically with respect to the optical path OP), resulting in improved optical transmission and improved source fuel handling. For example, according to a non-limiting embodiment, typically, a filter width (s in FIG. 6) can be increased to a relatively high value (for example a value higher than 1 mm) The filter width s can be related to foil trap dimensions and source arrangement by s = 2 r 2 r 2 - r 1 d wherein r1 is the distance measured along the optical axis between the pinch RP and an upstream foil trap end, r2 is the distance measured along the optical axis between the pinch RP and a downstream foil trap end, and d is the foil spacing at the upstream foil trap end (measured normally with respect of the optical axis) Thus, the spacing of the foils is proportional to the filter width. Therefore, when the filter width is increased by a factor of 4, so is the foil spacing, and hence the optical losses on the front of the foils are reduced by a factor of 4. For example, in a typical configuration, the optical transmittance may be increased from about 60% to more than 90%. FIG. 8 shows an embodiment, similar to the embodiment of FIGS. 5a, 5b. The FIG. 8 embodiment is provided with two rotating electrodes 61′, 62′ and respective baths 64′, 65′. In this case, each electrode 61′, 62′ has a sharply inclined edge, each electrode 61′, 62′ for example being positioned vertically, and being in mutual alignment (next to one another). In this embodiment the electrodes 61′, 62′ are self-shading. In addition, in the embodiment of FIG. 8, the source comprises a dedicated rotating target unit 63′ having a respective fuel bath 66′. Fuel vapor, emanating from the target unit 63′ reaches both electrodes 61′, 62′ during operation. In the FIG. 8 embodiment, the protection angle φ can be so large as to span the entire collection angle β. An additional advantage of this configuration is that it makes the self-shading electrodes 61′, 62′ less susceptible to erosion. For example, in the FIG. 7 embodiment, electrical discharge takes place near the sharp corner of the electrodes 14′ 15′, because of the small electrode gap and the high electric field. Consequently, the corner becomes blunt over the course of time, which may deteriorate the performance of the electrodes 14′ 15′ in terms of debris suppression. In the FIG. 8 embodiment, the position of the discharge on the electrode surface can be better controlled and thus can be arranged to be further away from the sharp corner. Thus, embodiments of the present invention can provide a specific (preferably symmetric) plasma density distribution, particularly with rotating disk electrodes that rotate through a liquid fuel bath. The present invention can lead to “relaxed” filter distance requirement in case of application of a position-sensitive debris mitigation device 49, and can provide an increase of the protection angle in case of application of the self-shading electrodes. Although specific reference may be made in this text to the use of lithographic apparatus in the manufacture of ICs, it should be understood that the lithographic apparatus described herein may have other applications, such as the manufacture of integrated optical systems, guidance and detection patterns for magnetic domain memories, flat-panel displays, liquid-crystal displays (LCDs), thin-film magnetic heads, etc. Although specific reference may have been made above to the use of embodiments of the invention in the context of optical lithography, it will be appreciated that the invention may be used in other applications, for example imprint lithography, and where the context allows, is not limited to optical lithography. The terms “radiation” and “beam” used herein encompass all types of electromagnetic radiation, including ultraviolet (UV) radiation (e.g. having a wavelength of or about 365, 355, 248, 193, 157 or 126 nm) and extreme ultra-violet (EUV) radiation (e.g. having a wavelength in the range of 5-20 nm), as well as particle beams, such as ion beams or electron beams. While specific embodiments of the invention have been described above, it will be appreciated that the invention may be practiced otherwise than as described. For example, the invention may take the form of a computer program containing one or more sequences of machine-readable instructions describing a method as disclosed above, or a data storage medium (e.g. semiconductor memory, magnetic or optical disk) having such a computer program stored therein. The descriptions above are intended to be illustrative, not limiting. Thus, it will be apparent to one skilled in the art that modifications may be made to the invention as described without departing from the scope of the claims set out below. |
|
claims | 1. A patterning device holding apparatus for use in charged particle beam imaging, said patterning device comprising a patterning area at the center and a margin area surrounding said patterning area, comprising:a support platform unit for supporting said patterning device thereon, said support platform unit comprising a plurality of first positioning projections;a gripper unit for fixing said patterning device in place through rotation of said gripper unit about a pivot substantially parallel with a center axis of a rolling member set at a base portion of said gripper unit, said gripper unit further comprising a head portion and a plurality of second positioning projections disposed on said head portion,wherein when said patterning device is fixed in place, said plurality of first positioning projections abut against two edges of said patterning device and said plurality of second positioning projections abut against the other two edges of said patterning device. 2. The patterning device holding apparatus of claim 1, further comprising:a rotation motion provider assembly for causing said gripper unit to rotate about said pivot, said rotation motion provider assembly comprising a reciprocating member, a resilient member and a reciprocating motion generator, said reciprocating member comprising a fixed end and a mobile end, said reciprocating member being in contact with said rolling member such that said rolling member rolls freely on a surface of said reciprocating member, said resilient member being fixed at one end and connected to said head portion of said gripper unit at the other end for providing a pulling force to said gripper unit constantly towards a pulling direction, said reciprocating motion generator being connected to said reciprocating member at said mobile end,wherein said reciprocating motion generator causes said mobile end of said reciprocating member to perform a reciprocating motion thereby varying the slope of said surface of said reciprocating member, resulting in said rolling member rolling along said surface of said reciprocating member,when said rolling member moves in a direction substantially the same as said pulling direction, said head portion of said gripper unit moves in a direction substantially opposite to said pulling direction, leading said plurality of second positioning projections away from said the other edges of said patterning device, and when said rolling member moves in a direction substantially opposite to said pulling direction, said head portion of said gripper unit moves in a direction substantially the same as said pulling direction, leading said plurality of second positioning projections towards or to abut tighter against said the other edges of said patterning device. 3. The patterning device holding apparatus of claim 1, further comprising a position sensor unit for determining the position of said patterning device via detecting interaction of a position detection signal with a surface of said patterning device, and outputting a position sensor signal to a control unit,wherein said position sensor unit comprises a plurality of position detection signal transmitters and receivers correspondingly disposed above, under or on the side of said patterning device, with said position detection signal transmitters emitting said position detection signal towards said patterning device, and with said position detection signal receivers receiving said position detection signal reflected by said surface of said patterning device. 4. The patterning device holding apparatus of claim 3, wherein said position sensor unit further comprises a beam splitter for guiding emitted said position detection signal to said surface of said patterning device and to said position detection signal receiver. 5. The patterning device holding apparatus of claim 3, wherein when said position detection signal transmitters and receivers are correspondingly disposed above or under said patterning device, said position detection signal hits said surface of said patterning device at a location right outside said patterning area of said patterning device. 6. The patterning device holding apparatus of claim 3, wherein if said position sensor detects strong reflection of said position detection signal from said detected surface of said patterning device, then it is determined said patterning device is successfully fixed in place by said gripper unit and said position sensor signal is outputted to said control unit indicating the same, otherwise it is determined said patterning device is unsuccessfully fixed in place by said gripper unit and said position sensor signal is outputted to said control unit indicating the same. 7. The patterning device holding apparatus of claim 3, wherein said position detection signal comprises one selected from the group consisting of the following, or any combination thereof: visible light, UV, IR, laser, supersonic wave, visible or invisible signals, LED light. 8. The patterning device holding apparatus of claim 2, wherein said reciprocating motion generator comprises one selected from the group consisting of the following, or any combination thereof: air cylinder, liquid cylinder, vacuum producer, motor, spring. 9. The patterning device holding apparatus of claim 1, wherein said support platform unit further comprises a set of lifters for receiving said patterning device from previous stage of said charged particle beam imaging process and a set of seats for supporting said patterning device through contact at said margin area of said patterning device. 10. The patterning device holding apparatus of claim 1, wherein when said patterning device is fixed in place, said plurality of first positioning projections abut against two neighboring edges of said patterning device and said plurality of second positioning projections abut against the other two neighboring edges of said patterning device. 11. A patterning device imaging system involving charged particle beam imaging, comprising:a support member for supporting said patterning device thereon;a charged particle beam generator for generating a primary charged particle beam;a condenser lens module for condensing generated said primary charged particle beam;a probe forming objective lens module for focusing condensed said primary charged particle beam into a charged particle beam probe;a charged particle beam deflection module for scanning said charged particle beam probe across a surface of said patterning device;a secondary charged particle detector module for detecting secondary charged particles generated from said patterning device surface when being bombarded by said charged particle beam probe; anda patterning device holding apparatus for holding said patterning device on said support member, said patterning device holding apparatus comprising:a support platform unit for supporting said patterning device thereon, said support platform unit comprising a plurality of first positioning projections;a gripper unit for fixing said patterning device in place through a rotation motion of said gripper unit about a pivot substantially parallel with a center axis of a rolling member set at a base portion of said gripper unit, said gripper unit further comprising a head portion and a plurality of second positioning projections disposed on said head portion of said gripper unit,wherein when said patterning device is fixed in place, said plurality of first positioning projections abut against two edges of said patterning device and said plurality of second positioning projections abut against the other two edges of said patterning device. 12. The patterning device imaging system of claim 11, further comprising:a rotation motion provider assembly for causing said gripper unit to rotate about said pivot, said rotation motion provider assembly comprising a reciprocating member, a resilient member and a reciprocating motion generator, said reciprocating member comprising a fixed end and a mobile end, said reciprocating member being in contact with said rolling member such that said rolling member rolls freely on a surface of said reciprocating member, said resilient member being fixed at one end and connected to said head portion of said gripper unit at the other end for providing a pulling force to said gripper unit constantly towards a pulling direction, said reciprocating motion generator being connected to said reciprocating member at said mobile end,wherein said reciprocating motion generator causes said mobile end of said reciprocating member to perform a reciprocating motion thereby varying the slope of said surface of said reciprocating member, resulting in said rolling member rolling along said surface of said reciprocating member,when said rolling member moves in a direction substantially the same as said pulling direction, said head portion of said gripper unit moves in a direction substantially opposite to said pulling direction, leading said plurality of second positioning projections away from said the other edges of said patterning device, and when said rolling member moves in a direction substantially opposite to said pulling direction, said head portion of said gripper unit moves in a direction substantially the same as said pulling direction, leading said plurality of second positioning projections towards or to abut tighter against said the other edges of said patterning device. 13. The patterning device imaging system of claim 11, further comprising a position sensor unit for determining the position of said patterning device via detecting interaction of a position detection signal with a surface of said patterning device, and outputting a position sensor signal to a control unit,wherein said position sensor unit comprises a plurality of position detection signal transmitters and receivers correspondingly disposed above, under or on the side of said patterning device, with said position detection signal transmitters emitting said position detection signal towards said patterning device, and with said position detection signal receivers receiving said position detection signal reflected by said surface of said patterning device. 14. The patterning device imaging system of claim 13, wherein said position sensor unit further comprises a beam splitter for guiding emitted said position detection signal to said surface of said patterning device and to said position detection signal receiver. 15. The patterning device imaging system of claim 13, wherein when said position detection signal transmitters and receivers are correspondingly disposed above or under said patterning device, said position detection signal hits said surface of said patterning device at a location right outside said patterning area of said patterning device. 16. The patterning device imaging system of claim 13, wherein if said position sensor detects strong reflection of said position detection signal from said detected surface of said patterning device, then it is determined said patterning device is successfully fixed in place by said gripper unit and said position sensor signal is outputted to said control unit indicating the same, otherwise it is determined said patterning device is unsuccessfully fixed in place by said gripper unit and said position sensor signal is outputted to said control unit indicating the same. 17. The patterning device imaging system of claim 13, wherein said position detection signal comprises one selected from the group consisting of the following, or any combination thereof: visible light, UV, IR, laser, supersonic wave, visible or invisible signals, LED light. 18. The patterning device imaging system of claim 12, wherein said reciprocating motion generator comprises one selected from the group consisting of the following, or any combination thereof: air cylinder, liquid cylinder, vacuum producer, motor, spring. 19. The patterning device imaging system of claim 11, wherein said support platform unit further comprises a set of lifters for receiving said patterning device from previous stage of said charged particle beam imaging process and a set of seats for supporting said patterning device through contact at said margin area of said patterning device. 20. The patterning device imaging system of claim 11, when said patterning device is fixed in place, said plurality of first positioning projections abut against two neighboring edges of said patterning device and said plurality of second positioning projections abut against the other two neighboring edges of said patterning device. |
|
abstract | A control rod coupling assembly for coupling a nuclear reactor control rod to a control rod drive mechanism is disclosed. The control rod drive mechanism includes an index tube and a bayonet head. The control rod includes blades and a tube at the intersection of the blades. The control rod coupling assembly includes a bayonet socket configured to receive the bayonet head, a shaft extending from the bayonet socket through the control rod, and a handle extending from the shaft, the handle movable to rotate the bayonet socket without rotation of the control rod. |
|
047598980 | abstract | In a liquid metal-cooled fast neutron nuclear reactor which has a vessel sealed by a horizontal slab on which is suspended a core cover plug, the lower part of said plug comprises a deflecting grid, optionally duplicated by a thermal protection grid. Each of the grids is formed from a peripheral plate and modular plates defining between them passages for the liquid metal. The peripheral plates can be fixed to a perforated part of an external ferrule or can be suspended on a core cover plate by tie bolts and/or by certain of the control rod guidance sleeves. The modular plates are fixed to the other sleeves and traversed by sodium sampling tubes and tubes for housing thermocouples. |
claims | 1. A scintillator for X-rays, the scintillator comprising:a substrate plate layer;a first moisture barrier layer; andand a scintillator layer between the substrate plate layer and the first moisture barrier layer; anda levelling layer on the scintillator layer and between the scintillator layer and the first moisture barrier layer, wherein the levelling layer covers spaces defined by pillars of the scintillator layer;wherein the first moisture barrier layer has a thickness of between 10 nanometers (nm) and 1000 nm, and the first moisture barrier layer comprises two or more layers each individually having a thickness of between 0.5 nm and 100 nm wherein at least one subsequent layer is different from a previous layer. 2. The scintillator according to claim 1, wherein the substrate plate layer is selected from an aluminum (Al) plate, a fiber optic plate, and a carbon plate. 3. The scintillator according to claim 1, wherein a scintillating material of the scintillator layer material is selected from crystalline caesium iodide (CsI), and thallium iodide (T1I), such as doped or undoped Cs1. 4. The scintillator according to claim 1, wherein the scintillating material of the scintillator layer is a pillar type structure. 5. The scintillator according to claim 1, wherein the first moisture barrier layer comprises 3-50 layers, and wherein the first moisture barrier layer has a thickness between 20 nm-500 nm. 6. The scintillator according to claim 1, wherein the scintillator layer is covered with an adhesion layer. 7. The scintillator according to claim 1, further comprising: one or more of a first protective layer, a second protective layer, and a second moisture barrier layer, and wherein the levelling layer has a thickness of 1-5% of a thickness of the scintillator layer. 8. The scintillator according to claim 1, wherein the first moisture barrier layer and a second moisture barrier layer comprise layers, each layer comprising a material, individually selected from metals, metal oxides, metal nitrides. 9. The scintillator according to claim 6, wherein the adhesion layer comprises a material selected from saturated linear and branched hydrosilicons. 10. The scintillator according to claim 1, wherein the levelling layer comprises a material selected from aromatic polymers. 11. The scintillator according to claim 1, further comprising a first and second protective layer comprising a material, each individually, selected from aromatic polymers. 12. Use of the scintillator according to claim 1 for non-destructive applications, for medical applications, or for orthopedics. 13. An X-ray detector comprising the scintillator according to claim 1 and a sensor. 14. A method of producing an improved scintillator, comprising the steps of:providing a substrate plate layer, and a scintillator layer,depositing a levelling layer on the scintillator layer, wherein the levelling layer covers spaces defined by pillars of the scintillator layer; anddepositing a first hermetic moisture barrier layer over the levelling layer by using atomic layer deposition (ALD), wherein the scintillator layer is positioned between the substrate plate layer and the first hermetic moisture barrier layer and the first hermetic moisture barrier layer has a thickness of 10 nanometers (nm)-1000 nm, and the first moisture barrier layer comprises two or more layers each individually having a thickness of 0.5 nm-100 nm. 15. The method according to claim 14, further comprising depositing an adhesion layer. 16. The method according to claim 14, further comprising one or more steps of:depositing a first protective layer,depositing a second protective layer, anddepositing a second moisture barrier layer. 17. The method according to claim 16, wherein the depositing of the first protective layer or a second protective layer uses a chemical vapor deposition (CVD) or ALD. 18. The method according to claim 14, further comprising providing a reflector between the substrate plate layer and the scintillator layer. 19. The method according to claim 14, wherein the first moisture barrier layer comprises 3-50 layers, and wherein the first moisture barrier layer has a thickness of 20 nm-500 nm. 20. The method according to claim 14, wherein:the substrate plate layer is selected from an aluminum (Al) plate, a fiber optic plate, and a carbon plate, anda scintillating material of the scintillator layer is selected from crystalline caesium iodide (CsI), and thallium iodide (T1I), such as doped or undoped CsI. |
|
053234340 | description | DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS In FIGS. 1-3, 1 designates a fuel channel of substantially square cross section. The fuel channel surrounds with no mentionable play an upper, square portion of a bottom part 2 with a circular, downwardly facing inlet opening 3 for cooling water and moderator water. The bottom part 2 supports, in addition to the fuel channel 1, a supporting plate 4. At its lowest part the fuel channel 1 has a relatively thick wall portion which is fixed to the bottom part 2 and the supporting plate 4 by means of a plurality of horizontal bolts, indicated by means of dash-dotted lines 5. The fuel channel 1 is divided, by means of a hollow support member 7 of cruciform cross section, into four vertical tubular parts 6 with at least substantially square cross section. The support member 7 is welded to the four walls 1a, 1b, 1c and 1d of the fuel channel and has four hollow wings 8. The cruciform channel formed from the support member is designated 32 and is connected at its lowest part to an inlet tube 9 for moderator water. Each tubular part 6 comprises a bundle 25 containing twenty-five fuel rods 10. The rods are arranged in a symmetrical lattice in five rows each containing five rods. Each rod is included in two rows perpendicular to each other. Each bundle is arranged with a bottom-tie plate 11, a top-tie plate 12 and a plurality of spacers 13. A fuel rod bundle 25 with a bottom-tie plate 11, a top-tie plate 12, a spacer 13 and a casing part 6 forms a unit which in this application is referred to as fuel assembly, whereas the device illustrated in FIGS. 1-3 comprising four such fuel assemblies is referred to as a composed fuel assembly. The four bottom-tie plates 11 are supported in the composed fuel assembly by the supporting plate 4 and are each partially inserted into a respective square hole 14 in this plate. In each fuel assembly at least one of the fuel rods is designed with relatively long, threaded end plugs 33 and 34 of solid cladding material, the lower end plug 33 being passed through the bottom-tie plate 11 and provided with a nut 15, the upper end plug 34 being passed through the top-tie plate 12 and provided with a nut 16. In the embodiment shown, the centre rod 26 is designed in this way. This rod also serves as a spacer holder rod. An upper end portion of the fuel channel 1 surrounds a cruciform lifting plate 17 with four horizontal arms 18, 19, 20 and 21, which extend from a common central portion. At the outer end each arm has an arrow-head-like portion 22 which, in respective corners of the fuel channel 1, makes contact with the inner wall surface of the fuel channel 1. A lifting handle 23 is fixed to the arms 20 and 21. The lifting plate 17 and the handle 23 together form a lifting member of steel cast in one piece. The lifting plate 17 is fixed to the support member 7 by inserting each of four vertical bars 28 into a respective wing 8 of the support member 7 and welding them thereto. At the top each bar 28 has a vertical, bolt-like portion 29 which is passed with a play through a corresponding hole in the mid-portion of the lifting plate 17 and provided with a nut 30. As will be clear from the figures, the fuel channel 1 is provided with indentations 31, intermittently arranged in the longitudinal direction, to which the support member 7 is welded. As is clear from FIGS. 4 and 5, each fuel rod 10 includes a cladding tube 41 which is of a zirconium alloy, such as Zircaloy 2. The cladding tube has a length of about 4 m, and in it about 300 circular-cylindrical pellets 42 are stacked one above the other in the axial direction of the tube. The pellets have a height of 11 mm and a diameter of 8.0 mm. Except those pellets located nearest to each end of the fuel rods, which pellets consist of oxide of natural uranium, and except those pellets located immediately below the uppermost spacers, the pellets conventionally consist of uranium dioxide enriched with respect to U 235. The lowermost pellet rests rigidly on an end plug 43 welded to the lower end of the rod and the uppermost pellet is pressed downwards by a spiral spring 44 which is tensioned against an end plug 45 welded to the upper end of the tube. The pellets are ground such that a play 46 arises between the envelope surface of the pellet and the inner wall of the cladding tube. The fuel rod is filled with helium under pressure. In the embodiment shown, the center rod 26, instead of constituting a supporting fuel rod and spacer holder rod, may be designed as a water-filled supporting rod and/or spacer holder rod. Also occasional other rods in each sub-bundle may be formed as water-filled rods instead of as fuel rods. The fuel assembly schematically shown in FIG. 6 has, in the exemplified case, six spacers 13a-f. In accordance with the present invention, each one of at least a majority of the fuel rods 10, and preferably of all the fuel rods is adapted to give off a considerably lower power in those parts 10a and 10b which are located immediately below the spacers 13a and 13b in the uppermost one-third of the active length of the fuel rod than in the remaining parts of the active length of the fuel rod. In the exemplified case, the parts 10a and 10b have a length of 6 cm and are adapted to give off a power of about 4 kW/m. The power given off by the remaining parts of the active length of the fuel rod amounts, on average, to around 20 kW/m. Whereas the pellets 42 (FIG. 4) in the fuel rod, except in parts 10a and 10b in the exemplified case, consist of uranium dioxide with a medium enrichment of 3.5% U 235 of the initial weight uranium in the fuel, the nuclear fuel material in parts 10a and 10b consists of uranium dioxide with an enrichment of 0.35% U 235. Instead of using, in parts 10a and 10b, a mixture of a fissile material and burnable absorber, it is possible to use a material with a low neutron absorption, for example Zircaloy. However, it is advantageous to use a mixture of the kind mentioned, whereby the content of burnable absorber is preferably adapted such that it is consumed after the first cycles with intermediate partial recharging of the reactor core. The fissile material can then be utilized in full during the operating period that remains until the fuel assembly is removed from the core, since there is no risk of dryout after the first cycles mentioned. Under certain conditions it may be advantageous to arrange, also in the part 10c below the spacer 13c, a material of the same kind as in the parts 10a and 10b. The part 10c is arranged in the upper half of the active length of the fuel rod. If the parts 10a, 10b and the parts 10a, 10b and 10c, respectively, are adapted to give off no, or very low, power, it may be suitable to arrange the nuclear fuel material immediately outside these parts, on both sides, to give off a somewhat lower power than the rest of the nuclear fuel material outside these parts. In this way it can be ensured that no power peak occurs when changing from a nuclear fuel material with no or low power to a nuclear fuel material with considerably higher power. |
summary | ||
description | This application claims priority under 35 U.S.C. §119(e)(1) to German Application Serial No. 10 2006 026 032.5, filed on Jun. 1, 2006. The contents of this application is hereby incorporated by reference. The disclosure relates to EUV illumination systems, as well as related components, systems and methods. Illumination systems to illuminate a specified illumination field of an object surface with EUV radiation are disclosed in U.S. Pat. No. 6,859,328 B2, US 2005/0093041 A1, U.S. Pat. No. 6,858,853 B2, US 2005/0002090 A1, US 2003/0095623 A1, U.S. Pat. No. 6,400,794 B1 and WO 01/065482 A. A collector to concentrate EUV radiation is disclosed in DE 100 45 265 A1. The illumination systems are part of a projection exposure system, and are used in micro-lithography for producing integrated circuits, to illuminate an object in the form of a mask or reticle. The disclosure can provide illumination systems and projection exposure systems equipped with them so that either with a given size their EUV throughput is increased, i.e. the reflection losses are reduced, or with a given EUV throughput their size is reduced. According to the disclosure, this can be achieved, for example, by an illumination system with at least an axis portion of the optical axis being inclined between at least two of the optical elements relative to the illumination main plane. It has been found that in the case of illumination systems of the abovementioned kind, the following conflicting requirements often should be taken into account: first, the number of components for EUV concentration which are designed to be reflective throughout in the illumination system should be as small as possible, because of the reflection losses. Furthermore, for spatial housing of the EUV source which can be implemented in practice, an optical axis which after the source runs essentially horizontally should be converted via the successive components of the illumination system into an optical axis which runs essentially vertically, to illuminate the object surface. Ideally, a deflection of the optical axis in die region of 90° should be carried out, so that an EUV beam which leaves the EUV source essentially horizontally is deflected into a beam which illuminates the illumination field essentially vertically, e.g. at an angle of 6° to the normal onto the illumination field. Finally, to minimise the reflection losses, the angle of incidence on the reflecting components of the illumination system, i.e. on the reflecting optical elements after the collector and preferably on the EUV collector itself, should either be very large, i.e. in the region of grazing incidence, or very small, i.e. in the region of vertical incidence. The illumination system according to the disclosure fulfills these requirements. Preferably, the optical axis meets the reflecting optical elements which are arranged successive to the collector at an angle of incidence which is either greater than 70° or less than 20°. According to the disclosure, the result is an illumination system which on the one hand supplies a high EUV throughput, because the number of reflections is minimised, and simultaneously reflections with favourable angles of reflection take place, and also makes compact construction possible, because a relatively large angle of deflection for the optical axis is implemented. Even an angle of deflection which is only slightly greater than 30° makes possible an illumination system with an overall height which does not make excessive demands on a factory for integrated circuits. In particular, even in the case of spatially extended sources, illumination systems in which the source is arranged not more than 2.5 m below the object surface in the vertical direction can be implemented. The illumination system can therefore be used in typical clean rooms. A first variant of an illumination system according to the disclosure uses an optical axis which is folded in three optical directions, at least a portion of the optical axis being inclined relative to the rest of the optical axis. This allows a particularly compact arrangement of the optical elements of the illumination system, without an obstruction being caused by these optical elements. These advantages prevail a possibly higher effort regarding optical or mechanical design, since as a rule there is no plane of mirror symmetry of the system arrangement regarding a folded system. A size of the illumination field of at least 100 mm2 permits a high object throughput. The direct result of this is faster production of integrated circuits. An illumination system wherein the second optical element is part of an optical device which includes further optical elements, and which guides the EUV radiation reflected by the first optical element along the optical axis, and images the first optical element into the illumination field being arranged in the image plane, which coincides with the object surface, permits precise imaging of the first optical element into the image plane. Versions of the illumination system wherein (1) the optical device includes at least two further optical elements after the second optical element, i.e. a third and a fourth optical element and an axis portion of the optical axis being inclined between the third and the fourth element of the optical device relative to the illumination main plane, wherein (2) the optical device includes at least two further optical elements after the second optical element, i.e. a third and a fourth optical element and an axis portion of the optical axis being inclined between the or a first and the or a second optical element relative to the illumination main plane and wherein (3) an axis portion between the second and the third element of the optical device is inclined relative to the illumination main plane, consistently extend the partial concept according to the disclosure of folding the optical axis in the three spatial directions. Because of the differently folded portions of the optical axis, compact arrangements of the optical elements of the illumination system can be implemented. An illumination system wherein after the second optical element, a maximum of two further optical elements are provided, an axis portion of the optical axis between the collector and the first optical element being inclined relative to the illumination main plane, the source of the EUV radiation being a plasma source, permits irradiation of EUV radiation, which can hardly be obstructed by downstream optical elements of the illumination system, from the collector. An optical device having an axis portion between the first and die second optical element which is inclined relative to the illumination main plane, has particularly few optical elements, and can therefore be designed particularly efficiently in the EUV throughput. An EUV collector which concentrates the EUV radiation through exactly one reflection is known in various versions from US 2005/0002090 A1 and US 2005/0093041 A1. An EUV collector with which the EUV radiation is concentrated through two reflections is known from US 2003/0095623 A1. The versions of the illumination system wherein (1) an axis portion of the optical axis between the first and the second optical element is inclined relative to the illumination main plane and wherein (2) the optical device, in addition to the second optical element, includes precisely two further optical elements, i.e. a third optical element and a further optical element, and wherein an axis portion of the optical axis between the collector and the first optical element and an axis portion of the optical axis between the second optical element and the third optical element being inclined relative to the illumination main plane, also extend the concept of folding in the three spatial directions, so that compact arrangements result, in particular with a high angle of deflection of the optical axis. A second variant of an illumination system according to the disclosure, wherein the optical device, in addition to the second optical element, includes precisely three further optical elements, i.e. a third optical element, a fourth optical element and a fifth optical element, the optical axis meeting the third, fourth and fifth optical elements at an angle of incidence which is greater than 60°, in particular greater than 70°, allows a high angle of deflection of the optical axis even if no folding of the optical axis in the three spatial directions is used. By distributing the deflection of the optical axis to multiple optical elements, which are operated with grazing incidence, the optical axis can be efficiently deflected, without the optical elements obstructing each other. In particular, the second optical element, which can be implemented, in particular, as a pupil facet element, can be operated efficiently in grazing incidence. The second optical element can then be implemented so that a relatively large area of it is acted on in reflection, which reduces the thermal load on the second optical element. Preferably, the optical axis meets in this second variant the third, fourth and fifth optical elements at an angle of incidence which is greater than 70°. Numerical apertures of the illumination of at least 0.02, preferably at least 0.03 and illumination field sizes of at least 500 mm2, preferably at least 800 mm2 ensure effective illumination of the object. As far as the projection exposure system is concerned, tie initially stated object is achieved by a projection exposure system having an illumination system according to the disclosure. The advantages of this projection exposure system, of the method of microlithographic production of microstructured components, having the following steps: provision of a substrate, to which a layer of a light-sensitive material is applied at least in certain regions; provision of a reticle, which has structures to be imaged; provision of a projection exposure system according to the disclosure; projection of at least a portion of the reticle onto an area of the light-sensitive layer of the substrate using the projection exposure system; and the advantages of the components being produced according to is method correspond to those which were stated above with reference to the illumination system. Embodiments of the disclosure are described in more detail below with reference to the drawings. FIG. 1 shows a projection exposure system which is known from U.S. Pat. No. 6,859,328 B2, with an illumination system 1 to illuminate a specified illumination field 2 of a surface 3 of an object 4 with EUV radiation 5. At top right in FIG. 1, a Cartesian xyz co-ordinate system is drawn, and is referred to below. The x axis points towards the observer, the y axis points to the right, and the z axis points up. The illumination field 2 extends parallel to the xy plane, and extends further in the x direction man in the y direction, so that it is rectangular. The illumination field 2 extends about 100 mm in the x direction and 8 mm in the y direction, resulting in an illumination field with a size of about 800 mm2. The illumination field is in any case greater than 100 mm2, preferably greater than 500 mm2. The illumination field 2 can, for instance, be curved as a ring segment of a ring field. The object 4, which is also called a reticle, is the mask which is to be imaged onto a wafer as a substrate by a projection optical system which is connected downstream. The object 4 is arranged in an intended position plane or image plane 4a, in which the illumination field 2 lies and which extends parallel to the xy plane. The EUV radiation 5 has a wavelength of 13.5 mm. Other EUV wavelengths, e.g. between 10 and 30 nm, are possible. Of the EUV radiation 5, for better clarity, only beams 6 at the margin and an optical axis 7 are shown. As the source 8 of the EUV radiation 5, a plasma source is used. Other source types for EUV radiation are also possible. A collector 9 concentrates the EUV radiation 5, which the source 8 emits, by reflection in the direction of the optical axis 7. Along the optical axis 7, the EUV radiation 5 is guided by successive optical elements, which will be described. As in the case of the collector 9, these optical elements are the optical elements which reflect the EUV radiation 5. After the collector 9, a first optical element 10 is used to generate secondary light sources in the illumination system 1. The first optical element 10 is also called a field raster element. In the beam path after the first optical element 10, at the location of the secondary light sources which the first optical element 10 generates, a second optical element 11 is arranged. This optical element is also called a pupil raster element, and is in the area of a pupil plane of the illumination system 1. Representing the many secondary light sources which the first optical element 10 generates, in FIG. 1 a secondary light source 11a is indicated on the second optical element 11. The second optical element 11 is part of an optical device 12, which includes further optical elements. The optical device 12 guides the EUV radiation 5, reflected by the first optical element 10, along the optical axis 7, and images the first optical element 10 into the image plane 4a, which coincides with the object surface 3. The optical device 12 includes, after the second optical element 11, a third optical element 13, a fourth optical element 14 and a fifth optical element 15. The secondary light sources 11a are imaged by the optical elements 13 to 15, and by the reflection on the object surface 3, into a pupil plane 16 of the schematically indicated projection optical system 16a. From the entry into the projection optical system 16a, the real course of the beams deviates from the course which is drawn in FIG. 1, for which reason the beams, from the entry into the schematically shown projection optical system 16a, are shown dashed. An example of such a projection optical system is found in FIG. 84 of U.S. Pat. No. 6,859,328 B2. Below the pupil plane 16, the wafer 16b is arranged as a substrate, onto which the structure on the object surface 3 is to be imaged. Between the last optical element of the optical device 12, i.e. the fifth optical element 15, and the illumination field 2, runs a portion 17 of the optical axis 7, called the field axis portion below. The field axis portion 17 of the optical axis lies in an illumination main plane 18, which in the case of the illumination system 1 according to FIG. 1 coincides with the yz plane, i.e. the drawing plane of FIG. 1. The field axis portion 17 of the optical axis, with the image plane 4a, encloses an angle which is less tan 90°. Below, if angles between beams or beam portions, axes or axis portions, or between beams or axes and planes are given, in each case the angle which is less than 90° is taken. Between the collector 9 and the first optical element 10, a portion 19 of the optical axis 7, also called the source axis portion below, is arranged. The source axis portion 19 also lies in the illumination main plane 18. In the case of the known illumination system 1 according to FIG. 1, the source axis portion 19, with the field axis portion 17 of the optical axis, encloses an angle of about 23°. Embodiments according to the disclosure of illumination systems are described below on the basis of the very schematic FIGS. 2 to 12. Components corresponding to those which were described above with reference to FIG. 1 have the same reference numbers and are not discussed again in detail. In the case of the illumination system 1 according to FIG. 2, after the source axis portion 19, the optical axis 7 is reflected by a field raster element, i.e. the first optical element 10, and successively by a pupil raster element, i.e. the second optical element 11, and by the third optical element 13, the fourth optical element 14 and the fifth optical element 15, before it reaches the image plane 4a. The first optical element 10 and second optical element 11 are raster mirrors, and the fourth optical element 14 is in the form of a reflecting concave mirror. The third optical element 13 and fifth optical element 15 are in the form of reflecting convex mirrors. The raster mirrors 10, 11 and optical elements 14 and 15 can have aspherical imaging optical surfaces. In the case of the version according to FIG. 2, between the collector (not shown) and the illumination field 4a five reflecting optical elements, i.e. optical elements 10, 11, 13, 14, 15, are therefore arranged. The optical axis 7 meets the optical elements 10, 11, 13 and 14 at an angle of incidence which is less than 20° (steep incidence; normal incidence). The optical axis 7 meets the fifth optical element 15 at an angle of incidence which is greater than 70° (grazing incidence). As is usual in optics, the angles of incidence are defined as the angles between the axis portion which is incident in each case on the optical element and the normal onto the struck surface of this optical element. An axis portion 20 of the optical axis between the third optical element 13 and the fourth optical element 14 is inclined to the illumination main plane 18, which is indicated in FIG. 2 by a dashed version of the axis portion 20. The illumination main plane 18 is defined in FIG. 2, as in FIG. 1, by the field axis portion 17 and the intersection with the image plane 4a, and coincides with the drawing plane of FIG. 2. Because of the inclination of the axis portion 20, the fourth optical element 14 is displaced relative to the third optical element 13 by a positive amount in the x direction. All other axis portions except axis portion 20 run parallel to the illumination main plane 18. The result of this is that the fifth optical element 15 is also displaced relative to the third optical element 13 in the positive x direction, so that in the x projection which is reproduced by FIG. 2, the fifth optical element 15 comes to lie over the third optical element 13. The result is a compact arrangement of the optical elements of the illumination system 1. In the case of the version according to FIG. 2, the projection of the source axis portion 19 onto the illumination main plane 18, with a projection of the field axis portion 17 onto the illumination main plane 18, encloses an angle of about 40°. FIG. 3 shows another version according to the disclosure of the illumination system. Components corresponding to those which were described above with reference to FIGS. 1 and 2 have the same reference numbers and are not discussed again in detail. In the case of the illumination system 1 according to FIG. 3, an axis portion 21 of the optical axis between the first optical element 10 and the second optical element 11 runs obliquely to the illumination main plane 18, which lies in the image plane of FIG. 3. Apart from the axis portion 21, all other portions of the optical axis 7 run parallel to the illumination main plane 18. Because of the inclination of the axis portion 21, the second optical element 11 is arranged relative to the first optical element 10 displaced in the positive x direction. The result of this is that the further optical elements 13 to 15 are also arranged relative to the first optical element 10 displaced in the positive x direction. The third optical element 13 can therefore be very close to the first optical element 10 in the y and z directions. The optical axis 7 meets the optical elements 10, 11, 13 and 14 at an angle of incidence which is less than 20°. The optical axis 7 meets the fifth optical element 15 at an angle of incidence which is greater than 70°. The projection of the source axis portion 19 onto the illumination main plane 18, with the projection of the field axis portion 17 onto the illumination main plane 18, encloses an angle of about 55°. FIG. 4 shows another version according to the disclosure of the illumination system. Components corresponding to those which were described above with reference to FIGS. 1 to 3 have the same reference numbers and are not discussed again in detail. In the case of the version of the illumination system 1 according to FIG. 4, the axis portions 21 are inclined between the first optical element 10 and the second optical element 11, the axis portion 20 between the third optical element 13 and the fourth optical element 14, and additionally an intermediate axis portion 22 is inclined between the second optical element 11 and the third optical element 13, relative to the illumination main plane 18, which in FIG. 4 too coincides with the drawing plane. This inclination is such that the second optical element 11 is arranged relative to the first optical element 10 displaced in the positive x direction. The third optical element 13 is in turn displaced relative to the second optical element 11 in the positive x direction. The fourth optical element 14 is displaced relative to the third optical element 13 in the positive x direction. All other portions of the optical axis 7 except the axis portions 20 to 22 run parallel to the illumination main plane 18. In particular, the result is that the fifth optical element 15 is also arranged relative to the third optical element 13 displaced in the positive x direction. The optical elements 10, 13, 15 can therefore, as shown in FIG. 4, be arranged overlapping in the y and z directions. Alternatively, it is possible to displace the second optical element 11 relative to the first optical element 10 in the positive x direction, the third optical element 13 relative to the second optical element 11 in the positive x direction and the fourth optical element 14 relative to the third optical element 13 in the negative x direction. In this case, it is necessary to ensure that the fifth optical element 15 and the first optical element 10 do not obstruct each other. The angle of incidence below which the optical axis 7 falls on the optical elements 10, 11, 13 and 14 is less than 20°. The angle of incidence below which the optical axis 7 falls on the fifth optical element 15 is greater than 70°. The angle which a projection of the source axis portion 19 onto the illumination main plane 18 encloses with a projection of the field axis portion 17 onto the illumination main plane 18 is about 70°. FIG. 5 shows another version according to the disclosure of an illumination system. Components corresponding to those which were described above with reference to FIGS. 1 to 4 have the same reference numbers and are not discussed again in detail. In the case of the illumination system 1 according to FIG. 5, the third optical element 13 and the fourth optical element 14 are omitted. The fifth optical element 15, i.e. the element under which the optical axis 7 strikes with an angle of incidence greater than 70°, is present in the case of the illumination system 1 according to FIG. 5. To preserve the correspondence of this optical element 15 to the illumination system according to FIGS. 1 to 4, in relation to the illumination system 1 according to FIG. 5 the term “fifth optical element 15” is retained, although strictly speaking in this case it is the third optical element. In the case of the illumination system 1 according to FIG. 5, the source axis portion 19 is inclined to the illumination main plane 18, which in the case of the version according to FIG. 5 coincides with the drawing plane. The other axis portions run parallel to the illumination main plane 18. The result is that the optical elements 10, 11 and 15 are displaced relative to the source (not shown in FIG. 5) and the collector (not shown in FIG. 5) in the positive x direction. From the point of view of FIG. 5, therefore, the source and the collector are behind the optical elements 10, 11 and 15. In contrast to die versions according to FIGS. 1 to 4, in the case of the version according to FIG. 5 the EUV radiation from the collector (not shown) comes from the right. The projections on the one hand of the source axis portion 19 and on the other hand of an axis portion 23 between the second optical element 11 and the fifth optical element 15 onto the illumination main plane 18 intersect. The optical axis 7 meets the first optical element 10 at an angle of incidence which is less than 20°. The optical axis 7 meets the optical elements 11 and 15 at an angle of incidence which is greater than 70°. In the case of the illumination system 1 according to FIG. 5 and the version according to the disclosure and FIG. 6, which is described below, a plasma source is preferably used as the source. In the case of the versions according to FIGS. 5 and 6, the collector is in such a form that the EUV radiation is preferably concentrated by a single reflection on the collector, and at most by two reflections on the collector. In the case of the version according to FIG. 5, the angle between a projection of the source axis portion 19 onto the illumination main plane 19 and a projection of the field axis portion 17 onto the illumination main plane 18 is about 60°. FIG. 6 shows another version according to the disclosure of an illumination system 1. Components corresponding to those which were described above with reference to the versions according to FIGS. 1 to 5 have the same reference numbers and are not discussed again in detail. Like the version according to FIG. 5, the version according to FIG. 6 has, after the second optical element 11 and before the image plane 4a, only the optical element 15, which here too, to preserve the correspondence to the versions according to FIGS. 1 to 4, is called the “fifth optical element 15”. In the case of the versions according to FIGS. 5 and 6, therefore, the optical device 12 includes only the two optical elements 11, 15. In the case of the versions according to FIGS. 5 and 6, therefore, the third optical element 13 and fourth optical element 14 are missing. In the case of the version according to FIG. 6, the EUV radiation comes from the collector (not shown) on the left. The source axis portion 19 is inclined relative to the illumination main plane 18, which in the case of the version according to FIG. 6 too coincides with the drawing plane. The adjacent axis portion 21 between the first optical element 10 and the second optical element 11 is also inclined relative to the illumination main plane. The other axis portions, i.e. the axis portion 23 between the second optical element 11 and the fifth optical element 15 and the field axis portion 17, run parallel to the illumination main plane 18. The result is that the first optical element 10 is arranged relative to the collector (not shown) displaced in the positive x direction. Alternatively, it is possible to arrange the first optical element 10 relative to the collector displaced in the negative x direction. Relative to the first optical element 10, the subsequent optical elements 10, 15 are displaced in the positive x direction, The fifth optical element 15 can therefore overlap with the first optical element 10 in the y and z directions, as shown in FIG. 6. The optical axis 7 meets the optical elements 10 and 11 at an angle of incidence which is less than 20°. In the case of the version according to FIG. 6, the optical axis 7 meets the fifth optical element 15 at an angle which is greater than 70°. In the case of the illumination system 1 according to FIG. 7, the angle between a projection of the source axis portion 19 onto the illumination main plane 18 and a projection of the field axis portion 17 onto the illumination main plane 18 is about 65°. FIG. 7 shows another version according to the disclosure of an illumination system. Components corresponding to those which were described above with reference to FIGS. 1 to 6 have the same reference numbers and are not discussed again in detail. As in the case of the version according to FIG. 5, in FIG. 7 the EUV radiation which the collector emits is incident from the right. In the case of the version according to FIG. 7, the optical device 12 includes three optical elements, i.e. in addition to the second optical element 11 the third optical element 13 and the optical element 15, which because it corresponds to the fifth optical element of the versions according to FIGS. 1 to 6 is still called the “fifth optical element 15”. In the case of the version according to FIG. 7, therefore, the fourth optical element 14 is missing. The source axis portion 19 is inclined relative to the illumination main plane 18, which in the case of the version according to FIG. 7 too coincides with the drawing plane. In the case of the version according to FIG. 7, the axis portion 21 between the first optical element 10 and the second optical element 11 is inclined to the illumination main plane 18. The axis portion 22 between the second optical element 11 and the third optical element 13 is also inclined relative to the illumination main plane 18. The subsequent axis portions run parallel to the illumination main plane 18. The result is that the first optical element 10 is arranged relative to the collector displaced in the positive x direction. The second optical element 11 is arranged relative to the first optical element 10 displaced in the positive x direction. The third optical element 13 and the fifth optical element 15 are arranged relative to the second optical element 11 displaced in the positive x direction. In the case of the version according to FIG. 7, the fifth optical element 15 can therefore overlap with the second optical element 11 in the y and z directions, as shown in FIG. 7. In the case of the version according to FIG. 7, it is also possible to combine a displacement in the positive x direction with a displacement in the negative x direction. The optical axis 7 meets the optical elements 10, 11 and 13 at an angle of incidence which is less than 20°. The optical axis 7 meets the fifth optical element 15 at an angle of incidence which is greater than 70°. In the case of the illumination system 1 according to FIG. 6, the angle between a projection of the source axis portion 19 onto the illumination main plane 18 and a projection of the field axis portion 17 onto the illumination main plane 18 is about 55°. FIG. 8 shows another version according to the disclosure of an illumination system 1. Components corresponding to those which were described above with reference to FIGS. 1 to 7 have the same reference numbers and are not discussed again in detail. Like the versions according to FIGS. 1 to 4, the version according to FIG. 8 has an optical device 12 with a total of four optical elements. These include the second optical element 11, i.e. the pupil raster element, and downstream from it the third optical element 13, which in contrast to the other described embodiments is in the form of a concave mirror in the case of the version according to FIG. 8. The optical device 12 according to FIG. 8 also includes the fourth optical element 14 and the fifth optical element 15. Also in contrast to the versions according to FIG. 1 to 4, in the case of the version according to FIG. 8 the third optical element 13 and the fourth optical element 14 are operated in grazing incidence, so that the optical axis 7 meets the optical elements 13, 14 at an angle which is greater than 70°. This also applies to the optical element 15. In contrast, in the case of the version according to FIG. 8, the optical axis 7 is applied to the optical elements 10 and 11 at an angle of incidence which is less than 20°. The version according to FIG. 8 has no axis portion which is inclined to illumination main plane 18, which here too coincides with the drawing plane of FIG. 8. In the case of the version according to FIG. 8, the angle between the source axis portion 19 and the field axis portion 17 is about 80°. FIG. 9 shows another version according to the disclosure of an illumination system 1. Components corresponding to those which were described above with reference to the versions according to FIGS. 1 to 8 have the same reference numbers and are not discussed again in detail. The version according to FIG. 9 is comparable to the version according to FIG. 6, the last optical element 15, i.e. the mirror, to which grazing incidence is applied in the version according to FIG. 6 being absent in the case of the version according to FIG. 9. The field axis portion 17 therefore runs between the second optical element 11 and the image plane 4a. The optical axis 7 meets the optical elements 10 and 11 at an angle of incidence which is less than 20°. In the case of the illumination system 1 according to FIG. 9, the angle between a projection of the source axis portion 19 onto the illumination main plane 18 and a projection of the field axis portion 17 onto the illumination main plane 18 is about 38°. Modifications of the other versions according to FIGS. 2 to 8 without the last optical element 15 which is operated in grazing incidence are also possible. Combinations of the x displacement in the positive or negative direction other than those described above in relation to the versions according to the disclosure are also possible. FIGS. 10 to 12 show another version according to the disclosure of an illumination system 1. Components corresponding to those which were described above with reference to the versions according to FIGS. 1 to 9 have the same reference numbers and are not discussed again detail. Similarly to the illumination system 1 according to FIG. 3, the one in FIGS. 10 to 12 has five optical elements, i.e. the optical elements 10, 11, 13, 14 and 15. In contrast to the illumination system 1 according to FIG. 3, in the case of the version according to FIGS. 10 to 12, apart from the last axis portions 23 and 17 all axis portions 19, 21, 22 and 20 are inclined to the illumination main plane 18, which coincides with the yz plane. FIG. 10 shows this version of the illumination system 1 schematically in overview. FIG. 11 shows a true to scale projection of the axis portions 19, 21, 22, 20, 23, 17 onto the xz plane. The optical elements 10, 11, 13, 14, 15 and the object 4 are indicated in FIG. 11 by crosses. The scaling of the x and y axes is true to scale in mm. The zero point of the x axis and z axis is arbitrarily chosen to be at the location of the object 4. FIG. 12 shows the corresponding projection of the illumination system according to FIGS. 10 and 11 onto the yz plane. The following table clarifies the positions of the optical elements 10, 11, 13, 14, 15 in the xyz co-ordinates according to FIGS. 11 and 12: Opticalelementx positiony positionz position10−132−265−26011220−885−96013−150−156−450140−350−820150−40−380 The following table gives the angle of incidence of the optical axis 7 onto the optical elements 10, 11, 13, 14, 15: Optical elementAngle of incidence to surface normal107.5°116.5°13 12°1410.5° 15 75° The following table shows the angles of the projections of the axis portions 19, 21, 22, 20, 23 and 17 to the xz and yz planes. The second column gives the angle of the projection of the axis portions onto the yz plane to the xz plane, and the third column gives the angle of the projection of the axis portions onto the xz plane to the yz plane. Axis portion ofyz projectionxz protectionoptical axis 7angle to xz planeangle to yz plane1957°23°2141°21°2255°23°2029°20°2336° 0°17 6° 0° The angles of the second column of the above table can be read directly from FIG. 12, and are the angles of the axis portion projections (shown there) to the z axis. Correspondingly, the angles of the third column of the above table are the angles of the axis portion projections (shown in FIG. 11) to the z axis. In column 2 of the above table, it can be seen directly that the angle between a projection of the source axis portion 19 onto the illumination main plane 18 and a projection of the field axis portion 17 onto the illumination main plane is 51°. Below is another table, giving the orientation of the optical elements 10, 11, 13, 14 and 15. For this purpose, for each of the optical elements 10, 11, 13, 14 and 15, a local element co-ordinate system, the origin of which is defined by the intersection of the optical axis 7 with the mirror surface, is defined. The normal vector points to the mirror surface in the z′ direction of the local element co-ordinate system. The element co-ordinate systems x′, y′, z′ are obtained from the xyz co-ordinate system by rotation first by an angle a around the x axis and then by an angle b around the new y′ axis. Since it is assumed for simplicity that the optical elements 10, 11, 13, 14, 15 are spherical mirrors, a rotation of the element co-ordinate system x′, y′, z′ around the z axis is irrelevant. The following angles of rotation convert the stationary x, y, z co-ordinate system into the appropriate element co-ordinate system: Optical elementAngle of rotation aAngle of rotation b10−49.3°157.9°11−48.3°−21.9°13−25.6°−24.0°14146.3° 7.2°15 69.1° 180° In the case of all versions according to the disclosure, i.e. according to FIGS. 2 to 9, the numerical aperture of the illumination of the object surface 3 is greater than 0.02. Preferably, the numerical aperture is greater than 0.03, preferably in the region of 0.05. The illumination device 1 according to the versions presented above is used to produce microstructured components on the wafer as follows: first the wafer, onto which a layer of a light-sensitive material is applied at least in certain regions, is provided. The object 4, with a mask which shows the structures to be imaged, is also provided. Then, using the projection illumination system, at least a portion of the object 4 is projected onto a portion of the light-sensitive layer on the wafer. |
|
abstract | A collimator is to be provided which permits the reduction of size without using any special material and without sacrificing an aperture. To this end, the collimator comprises: a pair of first plate members each having X-ray absorbability, movable in a direction parallel to a surface thereof, and defining an X-ray passing aperture by a spacing between respective mutually opposed end faces; and a pair of second plate members each having X-ray absorbability, the second block members, in order to block other X-rays than the X-ray passing through the aperture, being connected at respective one ends by hinges to end portions of the pair of first plate members opposite to the mutually opposed end faces of the first plate members and being supported at respective opposite ends so as to be movable obliquely with respect to the moving direction of the first plate members with movement of the first plate members. |
|
046631089 | abstract | A vacuum liner for containing plasma in a plasma device. The liner includes a vacuum tight liner wall formed by sections with each section having a closed peripheral wall defining an interior with open ends. Adjacent interiors of the adjacent section form a plasma path. Some of the sections are bellows-shaped having a plurality of corrugations extending transversely to the axis of the plasma path. The liner also includes means for keeping the plasma from the liner wall. The limiter means includes a ring formed by beads nested in the interior grooves formed by each of the corrugations, with at least some of the beads extending from their grooves past the interior ridges flanking them. The material from which the beads are formed has a higher melting temperature than that of the material in which the sections are formed. |
claims | 1. A system for use in shutting down a nuclear reactor, the system comprising:a housing defining a region therein sealed from an ambient environment;a gate member disposed within the region in a manner such that the gate member segregates the region into a first compartment and a second compartment isolated from the first compartment, the gate member comprising a material having a predetermined melting point;a neutron absorbing material disposed within the first compartment; anda dispersion mechanism disposed within the region, the dispersion mechanism structured to actively encourage the neutron absorbing material from the first compartment into the second compartment,wherein the system is positioned relative to a core of the nuclear reactor such that the first compartment is positioned outside of the core and the second compartment is disposed within the core, and wherein the dispersion mechanism comprises a porous matrix structure disposed in the second compartment. 2. The system of claim 1, wherein the predetermined melting point of the material is around 8000 C. 3. The system of claim 1, wherein the gate member comprises a number of heater coils embedded in the material that are structured to melt the material upon actuation by an electrical current. 4. The system of claim 1, wherein the neutron absorbing material comprises a phase change material. 5. The system of claim 4, wherein the phase change material comprises at least one of an indium/cadmium alloy, lithium, or boron oxide. 6. A system for use in shutting down a nuclear reactor, the system comprising:a housing defining a region therein sealed from an ambient environment;a gate member disposed within the region in a manner such that the gate member segregates the region into a first compartment and a second compartment isolated from the first compartment, the gate member comprising a material having a predetermined melting point;a neutron absorbing material disposed within the first compartment; anda dispersion mechanism disposed within the region, the dispersion mechanism structured to encourage the neutron absorbing material from the first compartment into the second compartment,wherein the neutron absorbing material comprises a phase change material, and wherein the dispersion mechanism comprises a porous matrix structure disposed in the second compartment. 7. The system of claim 6, wherein the second compartment is held under vacuum. 8. The system of claim 6, wherein the porous matrix structure is formed from one or more metals. 9. The system of claim 6, wherein the porous matrix structure is formed from one or more ceramic materials. 10. The system of claim 1, wherein the neutron absorbing material comprises a solid material. 11. The system of claim 10, wherein the dispersion mechanism comprises a number of springs disposed in the first compartment. 12. The system of claim 1, further comprising:a second gate member disposed within the region in a manner such that the second gate member further segregates the region into a third compartment isolated from the second compartment by a second gate member comprising another material having a predetermined melting point; anda neutron absorbing material disposed within the first compartment. 13. A nuclear reactor comprising:a core structured to house nuclear reactions; anda system for use in shutting down the nuclear reactor, the system comprising:a housing defining a region therein sealed from an ambient environment;a gate member disposed within the region in a manner such that the gate member segregates the region into a first compartment and a second compartment isolated from the first compartment, the gate member comprising a material having a predetermined melting point;a neutron absorbing material disposed within the first compartment; anda dispersion mechanism disposed within the region, the dispersion mechanism structured to encourage the neutron absorbing material from the first compartment into the second compartment,wherein the system is positioned relative to the core such that the first compartment is positioned outside of the core and the second compartment is disposed within the core, and wherein the dispersion mechanism comprises a porous matrix structure disposed in the second compartment. 14. A method of providing a system for use in ceasing nuclear reactions within a core of a nuclear reactor, the method comprising positioning a system for use in shutting down the nuclear reactor, the system comprising: a housing defining a region therein sealed from an ambient environment; a gate member disposed within the region in a manner such that the gate member segregates the region into a first compartment and a second compartment isolated from the first compartment, the gate member comprising a material having a predetermined melting point; a neutron absorbing material disposed within the first compartment; and a dispersion mechanism disposed within the region, the dispersion mechanism structured to encourage the neutron absorbing material from the first compartment into the second compartment, wherein positioning the system comprises positioning the housing with respect to the core such that the first compartment is positioned outside of the core and the second compartment is disposed within the core, and wherein the dispersion mechanism comprises a porous matrix structure disposed in the second compartment. |
|
abstract | Methods, processes, and systems of nuclear reactor cores are provided. In one embodiment, the reactor core may comprise a nuclear fuel rod inserted into each of a plurality of moderator blocks in the reactor core; e.g., wherein the fuel comprises plutonium, carbon, hydrogen, zirconium and thorium. In some embodiments, the fuel may comprise hydrogen-containing glass microspheres, wherein the glass microspheres may be coated with a burnable poison, and other coating materials that may aid in keeping the hydrogen within the microsphere glass at relatively high temperature. The disclosed methods, processes and systems may aid in providing energy to remote areas. |
|
claims | 1. A reactor installation tool comprising:a movable assembly comprising an exterior post unit, an upper manifold and a reactor sleeve attachment means, wherein the upper manifold is attached to the exterior post unit, anda fixed assembly comprising a center post, a lower manifold andan expandable clamping unit having clamp shoes for pressing against an inner surface face of a hollow reactor tube for releasably securing the fixed assembly to the hollow reactor tube, wherein the lower manifold and expandable clamping unit are attached to the center post. 2. The reactor installation tool of claim 1, said lower manifold being a circular disc, said circular disc having through holes for receiving said exterior post unit. 3. The reactor installation tool of claim 2, said circular disc having a top surface and a bottom surface, said bottom surface having a center post attachment means extending outward. 4. The reactor installation tool of claim 3, said center post having a proximal end and a distal end, said proximal end being suitable for engaging said center post attachment means to secure said center post to said lower manifold. 5. The reactor installation tool of claim 4, said distal end of said center post being attached to a stationary end stop. 6. The reactor installation tool of claim 5, said stationary end stop being a plate. 7. The reactor installation tool of claim 5, said stationary end stop comprising an anvil and an anvil disc. 8. The reactor installation tool of claim 5, said stationary end stop being a circular plate having a diameter and a radial outer surface face, and said radial outer surface face having radial cogs for engaging a reactor sleeve. 9. The reactor installation tool of claim 2, said exterior post unit being slidable in said through holes of said lower manifold. 10. The reactor installation tool of claim 1, said exterior post unit having two or more posts. 11. The reactor installation tool of claim 10, said reactor sleeve attachment means comprising a centering spider plate attached to said two or more posts. 12. The reactor installation tool of claim 11, said centering spider plate being a circular disc having a diameter and a radial outer surface face, and said radial outer surface face having radial cogs for engaging a reactor sleeve. 13. The reactor installation tool of claim 12, said reactor sleeve having a diameter and a radial inner surface face that defines a hollow cylinder, said radial inner surface face having radial cogs. 14. The reactor installation tool of claim 13, said reactor sleeve attachment means further comprising a locking hub for engaging said radial cogs of said centering spider plate and said radial cogs of said reactor sleeve. 15. The reactor installation tool of claim 12, said diameter of said centering spider plate being less than said diameter of said reactor sleeve such that said centering spider plate is slidable in said hollow cylinder of said reactor sleeve. 16. The reactor installation tool of claim 10, said two or more posts being spaced equally around said center post. 17. The reactor installation tool of claim 1, said expandable clamping unit being an expandable jaw unit. 18. The reactor installation tool of claim 17, said expandable jaw unit comprising a mounting sleeve. 19. The reactor installation tool of claim 18, said clamp shoes being attached to said mounting sleeve. 20. The reactor installation tool of claim 19, said clamp shoes being movably attached to said mounting sleeve such that said clamp shoes can extend outward from said mounting sleeve. 21. The reactor installation tool of claim 20, said clamp shoes being movably attached to said mounting sleeve by a pneumatic cylinder. 22. The reactor installation tool of claim 19, said clamp shoes having at least two faces, one of said at least two faces having a radius for contacting the hollow reactor tube. 23. The reactor installation tool of claim 18, said mounting sleeve being an elongated body having a distal end and a proximal end, wherein said elongated body has a hollow through hole for receiving said center post, said through hole extending from said distal end to said proximal end. 24. The reactor installation tool of claim 1, said upper manifold being a circular disc, said circular disc having recesses for receiving said exterior post unit. 25. The reactor installation tool of claim 24, said circular disc having a diameter and a radial outer surface face, said outer surface face being attached to an upper manifold guard. 26. The reactor installation tool of claim 1, said upper manifold having a lifting means and a stop block. |
|
description | This application claims priority to U.S. Provisional Application No. 62/553,007, filed Aug. 31, 2017, which is incorporated herein by reference in its entirety. This invention was made with government support under Contract No. DE-AC52-06NA25396 awarded by the U.S. Department of Energy. The government has certain rights in the invention. Disclosed herein are embodiments of an extractant and an extractant composition comprising the extractant and a support material, as well as embodiments of methods for making and using the extractant and the extractant composition. Current extraction methods for separation and isolation of metals and radioisotopes typically employ extraction chromatography methods utilizing thiophosphinate, thiophosphines, and/or diglycolamide (or “DGA”); however, such methods typically are non-specific for particular metals/radioisotopes and thus their efficiency for separation/isolation is greatly reduced by the presence of competing species present in samples. Conventional extraction techniques and materials in precious metal recovery exhibit even less selectivity and/or efficiency due to the very low concentrations of precious metals in samples relative to common species, such as iron or sodium. There exists a need in the art for extractant species and extractant compositions that exhibit high selectivity and efficiency in separation chemistry. Disclosed herein are embodiments of a composition comprising an extractant and a support material. The compositions can be used to isolate particular metals, radioisotopes, and metal ions. Also disclosed herein are compound embodiments that can be used as the extractants in the extractant compositions described herein. Also disclosed are method embodiments for using the extractant and extractant compositions. In some embodiments, the method comprises exposing a liquid sample to an extractant composition comprising a support material and an extractant having a structure satisfying a formula provided herein and wherein the liquid sample is exposed to the composition for a time sufficient to promote formation of a complex between the extractant composition and a radioisotope, a metal, and/or any ion thereof present in the liquid sample; separating the complex from the liquid sample; exposing the complex to a solution having a pH sufficient to promote dissociation of the radioisotope, the metal, or any ion thereof from the extractant composition; and isolating the radioisotope, the metal, or any ion thereof. The foregoing and other objects, features, and advantages of the present disclosure will become more apparent from the following detailed description, which proceeds with reference to the accompanying figures. The following explanations of terms are provided to better describe the present disclosure and to guide those of ordinary skill in the art in the practice of the present disclosure. As used herein, “comprising” means “including” and the singular forms “a” or “an” or “the” include plural references unless the context clearly dictates otherwise. The term “or” refers to a single element of stated alternative elements or a combination of two or more elements, unless the context clearly indicates otherwise. Although the steps of some of the disclosed methods are described in a particular, sequential order for convenient presentation, it should be understood that this manner of description encompasses rearrangement, unless a particular ordering is required by specific language set forth below. For example, steps described sequentially may in some cases be rearranged or performed concurrently. Additionally, the description sometimes uses terms like “produce” and “provide” to describe the disclosed methods. These terms are high-level abstractions of the actual steps that are performed. The actual steps that correspond to these terms will vary depending on the particular implementation and are readily discernible by one of ordinary skill in the art. Unless explained otherwise, all technical and scientific terms used herein have the same meaning as commonly understood to one of ordinary skill in the art to which this disclosure belongs. Although methods and compounds similar or equivalent to those described herein can be used in the practice or testing of the present disclosure, suitable methods and compounds are described below. The compounds, methods, and examples are illustrative only and not intended to be limiting, unless otherwise indicated. Other features of the disclosure are apparent from the following detailed description and the claims. Unless otherwise indicated, all numbers expressing quantities of components, molecular weights, percentages, temperatures, times, and so forth, as used in the specification or claims are to be understood as being modified by the term “about.” Accordingly, unless otherwise indicated, implicitly or explicitly, the numerical parameters set forth are approximations that can depend on the desired properties sought and/or limits of detection under standard test conditions/methods. When directly and explicitly distinguishing embodiments from discussed prior art, the embodiment numbers are not approximates unless the word “about” is recited. Furthermore, not all alternatives recited herein are equivalents. To facilitate review of the various embodiments of the disclosure, the following explanations of specific terms and abbreviations are provided: Aliphatic: A hydrocarbon group having at least one carbon atom to 50 carbon atoms (C1-_50), such as one to 25 carbon atoms (C1-25), or one to ten carbon atoms (C1-10), and which includes alkanes (or alkyl), alkenes (or alkenyl), alkynes (or alkynyl), including cyclic versions thereof, and further including straight- and branched-chain arrangements, and all stereo and position isomers as well. Aliphatic-aryl: An aryl group that is or can be coupled to an extractant embodiment disclosed herein, wherein the aryl group is or becomes coupled through an aliphatic group. Aliphatic-heteroaryl: A heteroaryl group that is or can be coupled to an extractant embodiment disclosed herein, wherein the heteroaryl group is or becomes coupled through an aliphatic group. Alkenyl: An unsaturated monovalent hydrocarbon having at least two carbon atom to 50 carbon atoms (C2-50), such as two to 25 carbon atoms (C2-25), or two to ten carbon atoms (C2-10), and at least one carbon-carbon double bond, wherein the unsaturated monovalent hydrocarbon can be derived from removing one hydrogen atom from one carbon atom of a parent alkene. An alkenyl group can be branched, straight-chain, cyclic (for example, cycloalkenyl), cis, or trans (for example, E or Z). Alkyl: A saturated monovalent hydrocarbon having at least one carbon atom to 50 carbon atoms (C1-50), such as one to 25 carbon atoms (C1-25), or one to ten carbon atoms (C1-10), wherein the saturated monovalent hydrocarbon can be derived from removing one hydrogen atom from one carbon atom of a parent compound (for example, alkane). An alkyl group can be branched, straight-chain, or cyclic (for example, cycloalkyl). Alkynyl: An unsaturated monovalent hydrocarbon having at least two carbon atom to 50 carbon atoms (C2-50), such as two to 25 carbon atoms (C2-25), or two to ten carbon atoms (C2-10), and at least one carbon-carbon triple bond, wherein the unsaturated monovalent hydrocarbon can be derived from removing one hydrogen atom from one carbon atom of a parent alkyne. An alkynyl group can be branched, straight-chain, or cyclic (for example, cycloalkynyl). Alkylaryl/Alkenylaryl/Alkynylaryl: An aryl group that is or can be coupled to an extractant embodiment disclosed herein, wherein the aryl group is or becomes coupled through an alkyl, alkenyl, or alkynyl group, respectively. Alkylheteroaryl/Alkenylheteroaryl/Alkynylheteroaryl: A heteroaryl group that is or can be coupled to an extractant embodiment disclosed herein, wherein the heteroaryl group is or becomes coupled through an alkyl, alkenyl, or alkynyl group, respectively. Amide: —C(O)NR′R″ or —NR′C(O)— wherein each of R′ and R″ independently is selected from hydrogen, aliphatic, heteroaliphatic, aromatic, aliphatic-aromatic, or heteroaliphatic-aromatic, or any combination thereof. Aromatic: A cyclic, conjugated group or moiety of, unless specified otherwise, from 5 to 15 ring atoms having a single ring (for example, phenyl, pyridinyl, or pyrazolyl) or multiple condensed rings in which at least one ring is aromatic (for example, naphthyl, indolyl, or pyrazolopyridinyl); that is, at least one ring, and optionally multiple condensed rings, have a continuous, delocalized π-electron system. Typically, the number of out of plane π-electrons corresponds to the Hückel rule (4n+2). The point of attachment to the parent structure typically is through an aromatic portion of the condensed ring system. For example, However, in certain examples, context or express disclosure may indicate that the point of attachment is through a non-aromatic portion of the condensed ring system. For example, An aromatic group or moiety may comprise only carbon atoms in the ring, such as in an aryl group or moiety, or it may comprise one or more ring carbon atoms and one or more ring heteroatoms comprising a lone pair of electrons (for example, S, O, N, P, or Si), such as in a heteroaryl group or moiety. Aryl: An aromatic carbocyclic group comprising at least five carbon atoms to 15 carbon atoms (C5-C15), such as five to ten carbon atoms (C5-C10), having a single ring or multiple condensed rings, which condensed rings can or may not be aromatic provided that the point of attachment to a remaining position of the compounds disclosed herein is through an atom of the aromatic carbocyclic group. Aryl groups may be substituted with one or more groups other than hydrogen, such as aliphatic, heteroaliphatic, aryl, heteroaryl, other functional groups, or any combination thereof. Complex: When used in the context of a “complex” formed between an extractant composition and a metal, metal ion, or radioisotope, the term “complex” means that the extractant and/or the extractant composition is ionically and/or covalently bound to the metal, metal ion, or radioisotope. In some embodiments, a complex is formed by one or more ionic interactions (for example, electrostatic interactions) between the extractant and the metal, or the metal ion, or a radioisotope (or any combination thereof). In some embodiments, a complex is formed by one or more covalent bonds between the extractant and the metal, or a metal ion, or a radioisotope (or any combination thereof). Extractant: A chemical compound capable of forming a complex with a radioisotope and/or a metal species. Extractant embodiments described herein comprise at least one thioamide functional group and more typically comprise two thioamide groups. In an independent embodiment, an extractant is not or is other than a diglycolamide compound. Heteroaliphatic: An aliphatic group comprising at least one heteroatom to 20 heteroatoms, such as one to 15 heteroatoms, or one to 5 heteroatoms, which can be selected from, but not limited to oxygen, nitrogen, sulfur, selenium, phosphorous, and oxidized forms thereof within the group. Heteroaliphatic-aryl: An aryl group that is or can be coupled to an extractant embodiment disclosed herein, wherein the aryl group is or becomes coupled through a heteroaliphatic group. Heteroalkyl/Heteroalkenyl/Heteroalkynyl: An alkyl, alkenyl, or alkynyl group (which can be branched, straight-chain, or cyclic) comprising at least one heteroatom to 20 heteroatoms, such as one to 15 heteroatoms, or one to 5 heteroatoms, which can be selected from, but not limited to oxygen, nitrogen, sulfur, selenium, phosphorous, and oxidized forms thereof within the group. Heteroalkyl-aryl/Heteroalkenyl-aryl/Heteroalkynyl-aryl: An aryl group that is or can be coupled to an extractant embodiment disclosed herein, wherein the aryl group is or becomes coupled through a heteroalkyl, heteroalkenyl, or heteroalkynyl group, respectively. Heteroaryl: An aryl group comprising at least one heteroatom to six heteroatoms, such as one to four heteroatoms, which can be selected from, but not limited to oxygen, nitrogen, sulfur, selenium, phosphorous, and oxidized forms thereof within the ring. Such heteroaryl groups can have a single ring or multiple condensed rings, wherein the condensed rings may or may not be aromatic and/or contain a heteroatom, provided that the point of attachment is through an atom of the aromatic heteroaryl group. Heteroaryl groups may be substituted with one or more groups other than hydrogen, such as aliphatic, heteroaliphatic, aryl, heteroaryl, other functional groups, or any combination thereof. Radioisotope: An atom that has more nuclear energy than its parent atom. Radioisotopes can be naturally occurring, produced as a result of nuclear fission, and/or synthetic. Support Material: A component, typically a solid component, that is combined with an extractant embodiment to form an extractant composition. Exemplary support materials can include, but are not limited to, a polymeric resin, an inorganic, particle-based material, a bead, a crystalline compound, an amorphous compound, or any combination thereof. Thioamide: —C(S)NRaRb wherein each of Ra and Rb independently is selected from hydrogen, aliphatic, aromatic, or aliphatic-aromatic. In an independent embodiment, at least one Ra or one Rb is aliphatic, heteroaliphatic, aromatic, aliphatic-aromatic, or heteroaliphatic-aromatic. Exemplary thioamides are described herein. A person of ordinary skill in the art would recognize that the definitions provided above are not intended to include impermissible substitution patterns (for example, methyl substituted with 5 different groups, and the like). Such impermissible substitution patterns are easily recognized by a person of ordinary skill in the art. In formulas and specific compounds disclosed herein, a hydrogen atom is present and completes any formal valency requirements (but may not necessarily be illustrated) wherever a functional group or other atom is not illustrated. For example, a phenyl ring that is drawn as comprises a hydrogen atom attached to each carbon atom of the phenyl ring other than the “a” carbon, even though such hydrogen atoms are not illustrated. Any functional group disclosed herein and/or defined above can be substituted or unsubstituted, unless otherwise indicated herein. Extraction methods used to separate and isolate precious metals typically include solvent extraction methods and/or chromatography methods requiring hard oxygen donor extractants (for example, diglycolamide). These extraction methods, however, suffer from poor selectivity and efficiency. The inventors of the present disclosure have discovered extractant embodiments and extractant compositions that can be used in chromatography-based extraction techniques to provide selective isolation and/or separation of precious metals and/or radioisotopes. Extractant composition embodiments described herein utilize a soft-donor-based extractant compound and a support material that have not been used in the art for chromatographic separation of selected metals from samples. Furthermore, the extractant and extractant composition embodiments described herein exhibit high selectivity and efficiency for isolating rare metals (and radioisotopes thereof) used in the medical field, such as niobium and protactinium, that are not attained using conventional extractants, such as diglycolamide-based extractants. For example, in some embodiments, the extractant and extractant compositions described herein do not exhibit affinity for the species from which niobium and protactinium are obtained, such as thorium, and also do not exhibit affinity for other metals, such as lanthanides. Disclosed herein are embodiments of an extractant. In particular disclosed embodiments, the extractant can be used in extraction chromatography. The extractant embodiments disclosed herein comprise functional groups that facilitate the ability of the extractant to isolate particular species of interest that are not isolated by conventional extractant compounds used in the art, such as diglycolamides. In particular disclosed embodiments, the extractant comprises thioamide functional groups. In particular embodiments, the extractant has a structure satisfying Formula I below. With reference to Formula I, each Ra independently can be selected from hydrogen, aliphatic, heteroaliphatic, aromatic, aliphatic-aromatic, or heteroaliphatic-aromatic, and each Rb independently can be selected from hydrogen, aliphatic, heteroaliphatic, aromatic, aliphatic-aromatic, or heteroaliphatic-aromatic, provided that at least one Ra or one Rb is aliphatic, heteroaliphatic, aromatic, aliphatic-aromatic, or heteroaliphatic-aromatic. In some embodiments, each Ra independently can be selected from aliphatic, heteroaliphatic aryl, aliphatic-aryl, or heteroaliphatic-aryl. In yet some additional embodiments, each Ra independently can be alkyl, alkenyl, alkynyl, heteroalkyl, heteroalkenyl, heteroalkynyl, aryl, alkyl-aryl, alkenyl-aryl, alkynyl-aryl, heteroalkyl-aryl, heteroalkenyl-aryl, or heteroalkynyl-aryl. In particular disclosed embodiments, each Ra independently can be lower alkyl, such as decyl, nonyl, octyl, septyl, hexyl, pentyl, butyl, propyl, ethyl, or methyl, wherein these groups can be straight chain, branched chain, or cyclic versions of such groups. In particular exemplary embodiments, each Ra independently can be octyl or 2-ethylhexyl. In any of the above-described embodiments, Rb can be selected from any of the groups recited above for Ra. In particular disclosed embodiments, each Ra can be the same or different from the other Ra. In additional embodiments, each Rb can be the same or different from the other Rb. In yet additional embodiments, Ra and Rb can be the same or different from one another. In some embodiments, each Ra and each Rb can be the same. In some embodiments, an Ra group can be bound to an Rb group that is attached to the same nitrogen atom as the Ra group so as to form a cyclic moiety including the nitrogen atom to which the Ra and Rb groups are attached. A representative formula for such embodiments is illustrated below as Formula II. In some embodiments, the cyclic moiety can be a heterocyclic ring or a heteroaryl ring. In some embodiments, the extractant can be selected from any of the following: The extractant embodiments described above can be used in combination with a support material to provide an extractant composition for use in chromatography. In an independent embodiment where an extractant is used alone without a corresponding support material (such as when the extraction is conducted in liquid media only, such as with an organic liquid layer and an aqueous liquid layer), the extractant is not or is other than N,N,N′,N′-tetraoctyldiglycolamide. The support material can be selected from any support material capable of being used in aqueous and/or organic solvent separations. In some embodiments, the support material can be a resin, such as an organic, polymeric resin material. In other embodiments, the support material can be an inorganic, particle-based material. Exemplary polymeric resin materials include, but are not limited to, acrylic resins (for example, a CG-71 resin, which is an insoluble aliphatic (acrylic ester) polymer; or a CG-161, CG-300, or CG-100 resin, which are styrene/divinyl benzene-containing resins), polyaromatic resins (for example, polyaromatic resins sold under the tradename AMBERLITE®), or reverse-phase (C18-functionalized) silica. Organic polymeric resins are typically used in embodiments employing water (or aqueous-based solvents) as an elution solvent. In embodiments using an aqueous elution solvent and an organic, polymeric resin, the extractant need not be covalently or ionically bound to the support material and can instead be in physical contact with the support material. In some embodiments, the support material can be an inorganic, particle-based support medium, such as silica-, silicate- (for example, Florasil®), or alumina-based particles. In some embodiments, the particle-based support material can be a silica gel. Exemplary silica gels include, but are not limited to, spherical, irregular, or mesoporous silica gels (for example, Millipore-Sigma Silica Gel 60 or SBA-15 type mesoporous silica). These inorganic supports can be of a variety of particle and pore sizes, depending upon the application. Such support materials are useful for embodiments where an organic solvent is used as an elution solvent. In such embodiments, the extractant can be chemically bound to the silica gel support so as to prevent stripping of the extractant upon exposure of the support and extractant to an organic solvent. Silica gels can be covalently functionalized by reaction with alkoxysilyl containing reagents, which creates a covalent silicon-oxygen bond between the support material and the functionalizing molecule. Disclosed herein are methods of using the extractant embodiments described herein. In particular disclosed embodiments, the extractant embodiments can be used alone or in combination with a support material as chromatographic agents for separating particular species of interest (for example, radioisotopes, metal isotopes, precious metals, and any combination thereof) from other species present in a sample. In some embodiments, the extractant embodiments described herein are combined with a support material, such as the support materials described above, and then placed in a column. In yet additional embodiments, the extractant and the support material can be combined in any suitable reaction housing, such as a beaker, a flask, or other suitable container that provides a sufficient volume for the components to mix. The column can be any column typically used in the field of metal extraction/separation. Column dimensions can be selected based on the amount of material to be passed through the column and/or the amount of the species of interest that can be complexed with the extractant. In some embodiments, small column volumes (for example, 1 mL to 10 mL) can be used due to the particularly high selectivity of the disclosed extractant and extractant composition embodiments for species that are present in small amounts in a sample. Solutions may be flowed through the column utilizing gravity or pressure, depending upon the application. The resin is not limited to a column of a particular size, and can be used on columns in the range of mL to L. Herein, a column includes any container which allows for simultaneous retention of the resin and a free flow of solution. In other embodiments the resin material can simply be contacted with a solution of metal ions and removed by any convenient method, for example, filtration or centrifugation. Methods describing how to make the extractant and extractant compositions are provided herein. After preparing a column comprising the extractant or the extractant composition embodiments or after adding these components to a suitable container, a sample is introduced into the column or container, typically using a solvent, such as an aqueous solvent or an organic solvent. In some embodiments, however, the sample can be added neat. The sample can comprise one or more species of interest, such as the metal species described below (or any ion and/or radioisotope thereof). In some embodiments, the extractant/extractant composition is exposed to the sample for a sufficient period of time to form a complex between a metal (and/or an ion or radioisotope thereof) and the extractant composition, particularly between the metal (and/or an ion or radioisotope thereof) and the extractant. In some embodiments, the metal (and/or the ion or radioisotope thereof) can be a metal of interest that is to be isolated and retained after the method is performed, or the metal (and/or the ion or radioisotope thereof) can be a species that is not desired and that is solely isolated so as to separate it from a species of interest. In particular embodiments, the time sufficient to promote formation of the complex is the amount of time needed for the liquid sample to pass through a chromatographic column comprising the composition by way of gravitational flow. This time period can range depending on the size/volume of the column used. After a period of time, the complex formed between the extractant/extractant composition and the metal (and/or the ion or radioisotope thereof) is separated from the liquid sample using an elution step. Elution can comprise allowing the liquid sample to flow from the column and/or actively flowing the liquid sample from the column (for example, by applying pressure to the column). In embodiments where the species of interest form a complex with the extractant/extractant composition, the species of interest can first be separated from the liquid sample and then a further elution step can be used to separate the species of interest from the extractant/extractant composition. In some embodiments, an acidic aqueous solution can be used for the further elution step. The acidic aqueous solution may comprise a mineral acid, such as hydrochloric acid, hydrofluoric acid, hydrobromic acid, hydriodic acid, sulfuric acid, nitric acid, phosphoric acid, or a combination thereof. In some embodiments, hydrochloric acid is used. In some embodiments, a suitable concentration of the acid is used to ensure that a species binds to the extractant and/or extractant composition. The concentration may be from greater than 0.0001 M to 12 M or more, such as from 0.1 M to 12M, or from 1M to 12M, or from 3 M to 12 M, or from 4 M to 12 M, or from 6 M to 10 M. In some other embodiments, an organic solvent solution can be used for the further elution step. The extractant and extractant composition embodiments described herein can be used for selective isolation/separation of particular species, such as metals, ions, and/or radioisotopes. For example, the disclosed extractant and extractant composition embodiments are able to selectively isolate metals (and/or ions or radioisotopes thereof) belonging to Group 3 (for example, actinium and other Group 3 metals), Group 5 (for example, niobium and other Group 5 metals), Group 10 (for example, palladium, platinum, etc.), and Group 11 (for example, silver, gold, etc.) of the periodic table, as well as other metals (for example, protactinium and uranium) and including any and all ions and radioisotopes of such metals. In some embodiments, the extractant and extractant composition embodiments can be used to provide selective isolation of the rare metals niobium and protactinium. Surprisingly, the inventors have discovered that the disclosed extractant and extractant composition embodiments described herein are able to selectively isolate each of these three rare metals preferentially over metals that often are abundant in samples typically containing niobium and/or protactinium, such as lanthanides and thorium. In some embodiments, the disclosed extractant and extractant composition embodiments exhibit high specificity for protactinium over thorium. In additional embodiments, the disclosed extractant and extractant composition embodiments exhibit high specificity for niobium, protactinium, platinum (and other Group 10 metals), and silver over other metals, such as iron or sodium, which are often found abundantly in samples. Given this selectivity, the disclosed extractant and extractant composition embodiments can be used to isolate large quantities of niobium and protactinium with minimal cost and effort. In some embodiments, species analyzed using the method embodiments and extractant compositions described herein can exhibit different log Kd values at different acid concentrations. In some embodiments, silver (or a radioisotope of silver, such as Ag-111 from irradiated thorium targets) and gold can exhibit quantitative binding (for example, log Kd values of greater than 3, such as 4) under low or high concentrations of acid (for example, concentrations ranging from 0-10 M HCl). As such, silver and gold can quantitatively be recovered from the extractant compositions described herein. In some embodiments, niobium (or a radioisotope of niobium, such as 95Nb) exhibits strong binding with log Kd values of greater than 2 when using acid (for example, HCl) concentrations greater than 8M. By reducing the HCl concentration to less than 6M, the niobium can easily be eluted from the extractant composition. Similarly, protactinium (or a radioisotope of protactinium, such as 230Pa) exhibits strong binding with log Kd values of greater than 2 when using acid (for example, HCl) concentrations greater than 7M. By reducing the HCl concentration to less than 5M, the protactinium can easily be eluted from the extractant composition. In some embodiments, uranium (or a radioisotope of uranium, such as 230U) does not exhibit detectable binding at acid concentrations less than 8M, but can exhibit some binding (for example, log Kd values of 1 or less) at acid concentrations of ≥10M. In some embodiments, palladium and platinum can exhibit strong binding (for example, log Kd values greater than 2, such as 2.5 to 3) under low acid concentrations (for example, less than 1 M, such as 0.1 M) and in some embodiments, palladium can exhibit such log Kd values at even higher acid concentrations (for example, up to 10M). In some embodiments, iron, nickel, and cobalt exhibit very weak binding (for example, log Kd values of less than 1) when acid concentrations are low (for example, less than 4M), and exhibit stronger binding (for example, log Kd values of 2-3) under high acid concentrations (for example, 6-10M). In some embodiments, antimony can exhibit weak binding (for example, log Kd values of less than 1) at acid concentrations of less than 6M, and exhibit stronger binding (for example, log Kd values of 1.75) under higher acid concentrations (for example, ≥8M). Lanthanides, thorium, and actinium do not exhibit any detectable binding under any conditions. In some embodiments, the extractant and extractant composition embodiments disclosed herein can be used as 230U and/or 226Th generators. 226Th is an isotope of interest for targeted alpha therapy treatment of metastatic cancers. 226Th is generated from 230U, which is in turn generated by the decay of 230Pa. Protactinium is produced in good yield by the proton irradiation of natural thorium targets. Because the extractant and extractant composition embodiments described herein can selectively separate protactinium from thorium, they are effective in separating gram quantities of thorium from pico- to nanogram quantities of protactinium, which enables the use of very small columns for rapid throughput. In some embodiments, the extractant and extractant composition embodiments can be used to separate fission products. Fission produces large quantities of lanthanides, which in some embodiments are not retained on columns using the extractant and extractant composition embodiments disclosed herein. As such, the disclosed chromatography methods can be used to quickly recover and quantitate soft transition metals from fission by-products. Also, because complexes with uranium are only retained under specific conditions using the disclosed extractant and extractant composition embodiments, analysis of uranium targets can be conducted. In some embodiments, the extractant and extractant composition embodiments can selectively isolate 95Nb from proton irradiated thorium targets. 95Nb is a useful radiochemical tracer and thus can be useful in the development of medical imaging techniques which utilize other radioisotopes of niobium, such as PET imaging with 90Nb; however, isolating this isotope from thorium has proven challenging using conventional chromatography resins. The disclosed extractant and extractant composition embodiments provide a cost effective alternative for selective isolation of 95Nb from thorium targets-containing samples as thorium is not retained by the extractant embodiments described herein. In additional embodiments, the extractant and extractant composition embodiments can be used to recover precious metal catalysts from reaction mixtures. Precious metals (for example, platinum and palladium) are used in a variety of chemical disciplines as catalysts for a variety of reactions. The disclosed extractant and extractant composition embodiments can be used in a recovery method capable of isolating these precious metals from other chemical reagents. In some embodiments using very dilute HCl, platinum is strongly retained on the extractant composition embodiments comprising an extractant as described herein. Once a sample has been run through a column, the platinum can be recovered from the support in a concentrated form by using a higher concentration of HCl. In additional embodiments, the extractant and extractant composition embodiments described herein can be used to recover precious metals from mine leachates, industrial wastes, and the like. As illustrated herein, the extractant embodiments described herein exhibit high affinity for gold and silver under all conditions. As such, these elements can be specifically stripped from mining wastes with minimal pre-treatment. Furthermore, as common metals, such as Fe and Zn, are not retained by the extractant and/or extractant composition embodiments described herein, small columns can be utilized in the extraction methods. Also disclosed herein are embodiments of a method for making an extractant according to the present disclosure. In some embodiments, the method comprises steps illustrated below in Scheme 1. As illustrated in Scheme 1, the method can comprise reacting a 2,2′-oxydiacetyl halide starting material 100 with an amine compound 102 using a base (for example, an amine base, such as triethyl amine, diisopropylethylamine, pyridine, and the like). With reference to amine compound 102, each of Ra and Rb can be as described above for Formula I and each X independently can be halogen (for example, Br, Cl, F, or I). This reaction forms diamide compound 104, which can then be reacted with a reagent capable of converting the diamide compound 104 into dithioamide compound 106. In particular disclosed embodiments, the reagent can be a thionating agent, such as 2,4-bis(4-methoxyphenyl)-1,3,2,4-dithiadiphosphetane 2,4-disulfide (also known as Lawesson's reagent), thiophosphoryl chloride, elemental sulfur, phosphorodithioate, phosphorous pentasulfide (P2S5 or P4S10), or the like. While the method illustrated below in Scheme 1 utilizes an oxydiacetyl starting material, other methods can be used to form the dithioamide compound 106, such as reacting a dinitrile compound with a) thioacetic acid with a hydride (for example, CaH) or b) phosphorous pentasulfide; reacting a dialdehyde compound with a) an amine and elemental sulfur under microwave flash heating, or b) an n-substituted formamide and sodium sulfide; reacting a diacid with an amine and elemental sulfur, and other methods that would recognized by a person of ordinary skill in the art with the benefit of the present disclosure. Representative embodiments of a method for making extractant embodiments are illustrated below in Schemes 2, 2A, and 2B. Another embodiment of a method for making extractant embodiments is illustrated in Schemes 3, 3A, and 3B. Also disclosed herein are methods of making an extractant composition comprising an extractant embodiment and a support material. In some embodiments, the extractant chromatography composition is made by combining an extractant into a slurry formed from a mixture of the support material in a suitable solvent. The extractant is allowed to mix with the slurry for a suitable period of time, such that the extractant is able to sufficiently physically associate with the support material. The solvent can then be removed, such as by filtration, evaporation, or any other suitable method. In some embodiments, the amount of extractant added to the slurry is an amount that provides a resulting extractant composition comprising 1 to 60 wt % of the extractant based on the total weight of the extractant composition, such as 20 to 40 wt % of the extractant based on the total weight of the extractant composition, or 30 to 35 wt % of the extractant based on the total weight of the extractant composition. A covalently-bound extraction chromatography composition is made by covalently binding an extractant functional group to an inorganic silica-containing support (for example, silica gel). In some embodiments a commercially available tris(alkoxy)silyl-diglycolamide is dissolved in an appropriate solvent and adding to a stirred slurry of the inorganic support. Excess extractant can be removed by washing with the same solvent. This precursor is then converted to the active thioamide extractant by stirring with a thionating agent in an appropriate solvent again followed by washing. With reference to the examples below, reagents used were trace metal grade unless specified elsewhere. Aqueous solutions were prepared with 18 MΩ water (Millipore). Thorium metal targets were manufactured at Los Alamos National Laboratory (LANL). Radionuclides used in this work as tracers were obtained from Oak Ridge National Laboratory or from in-house stocks. With the exception of 223Ra and 227Th, the radionuclides were obtained as byproducts from the proton irradiation of thorium targets for the production of 225Ac, Radium-223 and 227Th were obtained via the decay of a 227Ac source, and separated by published procedures. N,N,N′,N′-Tetraoctyldiglycolamide extractant (Eichrom), Lawesson's reagent (Sigma-Aldrich), dry tetrahydrofuran (THF, Sigma-Aldrich), hexanes (Sigma-Aldrich), and silica gel (Sigma-Aldrich) were purchased. CG-71 resin was purchased from Dow chemical as a slurry in ethanol/water and converted to a dry form via filtration before use. DGA (50-100 μg) resin was obtained from Eichrom. Chloride (CL) resin (50-100 μg) was obtained from Triskem International. Yields and purities from separation experiments were determined via γ-ray spectroscopy using an EG&G Ortec Model GMX-35200-S HPGe detector system in combination with a Canberra Model 35-Plus multichannel analyzer. Detector diameter was 50.0 mm, detector length was 53.5 mm, Be window thickness was 0.5 mm, and outer dead-layer thickness was 0.3 μm. Detector response function determination and evaluation were performed using standards of radionuclide mixtures containing 241Am, 109Cd, 57Co, 139Ce, 203Hg, 113Sn, 137Cs, 88Y, and 60Co, traceable to the National Institute of Standards and Technology (NIST) and supplied by Eckert and Ziegler. The detector was a p-type Al-windowed HPGe detector with a measured fwhm at 1333 keV of approximately 2.2 keV and a relative efficiency of about 10%. Relative total source activity uncertainties ranged from 2.6% to 3.3%. Counting dead times were kept below 10%. Synthesis of N,N,N′,N′-tetraoctyldiglycolthioamide (TODGTA)—To a stirred solution of N,N,N′,N′-tetraoctyldiglycolamide (1.5 g, 2.6 mmol) in 150 mL of dry THF was added 2.1 g of Lawesson's reagent. The resultant slurry was stirred at room temperature overnight with a drying tube. The yellow cloudy solution was then filtered and the solvent removed with rotary evaporation to give a gummy beige solid. The solid was then extracted with hexanes (3×10 mL) and the combined extracts were filtered through a short silica gel column (5 cm). The column was washed with 2×10 mL fractions of hexanes and the solvent was removed by rotary evaporation to give N,N,N′,N′-tetraoctyldiglycolthioamide as a yellow oil (790 mg, 50% yield). 1H NMR (400 MHz, CDCl3) δ 4.57 (s, 4H), 3.90-3.78 (m, 4H), 3.64-3.53 (m, 4H), 1.77-1.58 (m, 8H), 1.39-1.20 (m, 40H), 0.95-0.83 (m, 12H). The proton NMR spectrum of this compound is shown in FIG. 1. FIGS. 2 and 3 provide comparison spectra (proton, FIG. 2; and carbon, FIG. 3) of this compound and diglycolamide. Synthesis of N,N,N′,N′-tetraoctyldiglycolthioamide (TODGTA) via phosphorous pentasulfide (P4S10) mediated thionation—To a stirred solution of N,N,N′,N′-tetraoctyldiglycolamide (1.5 g, 2.6 mmol) in 150 mL of dichloromethane was added phosphorous pentasulfide (1.4 g, 2.6 mmol) and hexamethyldisiloxane (1 mL). The stirred mixture was brought to reflux for 1 hour. The solution was then cooled, filtered, the solvent was removed to give a sticky yellow solid. The solid was extracted with hexane (3×10 mL), and the combined extracts were washed with 2×50 mL portions of saturated sodium bicarbonate, separated, and passed through a 10 cm plug of silica gel, eluting with 3×10 mL portions of hexane. Preparation of TODGTA resin—790 mg of TODGTA was added to a slurry of 1.8 g of CG-71 resin in ethanol. The mixture was stirred on the rotary evaporator for 5 minutes before the solvent was slowly removed under vacuum. The resulting resin was 30% w/w TODGTA. The synthesis of 2-silica begins with commercially available tris-methoxysilyl DGA 1. This material is grafted onto an appropriate silica support by simple stirring at room temperature in an appropriate solvent, such as chloroform. The DGA functionalized silica is then thionated with an appropriate thionating agent, such as those described herein to give 2-silica. The material is washed with excess organic solvent and water to remove any unbound 2 and excess thionating reagent. Affinities for various elements were determined by dissolving the metal of interest in an appropriate concentration of hydrochloric acid and contacting with the TODGTA resin. The resin was filtered off and the metal remaining in solution was quantified via inductively coupled plasma-atomic emission spectroscopy (ICP-AES, for stable Co, Pd, Pt, Ni, Fe, Sb, Zr, and Zn.) or by gamma spectroscopy (Ag via Ag-111, Nb via Nb-91, U via U-230, and Pa via Pa-230). As can be seen by the data provided by Table 1, extractant embodiments described herein show high specificity for certain species over others. Table 1 provides the average amount of each species present in each sample analyzed using the method described above (mg/L); different control samples were used as well as samples having different HCl concentrations). Table 1 provide the log Kd values obtained from exposing samples comprising the particular indicated species to an extractant embodiment described herein. In this example, the TODGTA extractant was used for each sample. The log Kd measures how much of the species is complexed with the extractant composition and how much is present in the liquid sample and can be determined by the following formula:Kd=Csolid/Csolution wherein Csolid is the concentration of elements attached to the resin, in micrograms per gram of dry TODGA resin and Csolution is the concentration of ions, in micrograms per mL of solution, which remains in solution after equilibration is established between the acid and the resin. Higher log Kd values (for example, log Kd values of 2 or higher) indicate that more of the species is complexed with the extractant composition than is present in the liquid sample, whereas a lower log Kd value (for example, log Kd values of less than 1) indicates that less of the species is complexed with the extractant composition as compared to the liquid sample. Solely by way of example, in comparing the log Kd results for iron (Fe) and platinum (Pt), it has been shown that at low acid concentrations (for example, HCl concentrations of less than 1 M, such as 0.1 M HCl), the iron does not complex with the extractant as well as the platinum. In particular, iron exhibits a log Kd value less than 1 (for example, −0.35) at 0.1 M HCl, whereas the platinum exhibits a log Kd≥2 (for example, 2.09) at 0.1 M HCl. In additional embodiments, bulk thorium can be easily separated from samples as all the thorium can be kept in the liquid sample because it does not bind to the extractant as it does not exhibit a detectable log Kd, whereas niobium and protactinium, for example, will exhibit strong binding (for example, log Kd values greater than 2) with the extractant at high HCl concentrations (such as HCl concentrations of 6M or higher). Lanthanides and actinium also do not complex with the extractant and as such are easily separated from species of interest. Additional results showing the selectivity and log Kd values for other particular species of interest, such as uranium, protactinium, and niobium, are illustrated graphically in FIG. 4. TABLE 1Element[HCl](M)AgCoPdPtNiFeSbNbbUbPab0.1 M>3.0a0.152.502.090.18−0.350.88<−2a<−2a−0.12 2 M>3.0a0.342.560.140.45−0.090.96<−2a<2a<2a 4 M>3.0a0.302.690.380.320.670.90<−2a<2a<2a 6 M>3.0a0.463.020.430.531.971.110.40<−2a1.04 8 M>3.0a2.042.810.242.332.451.762.000.302.90 10 M>3.0a2.282.75−0.073.242.541.752.801.403.12a<−2 or >3 implies detection limits were exceeded.bKd values determined radiochemically. In this example, equilibrium distribution coefficients were determined for protactinium(V), uranium(VI), thorium(IV), niobium(V), radium(II), and actinium(III) using the batch mode. Each condition was run in triplicate. Approximately 50 mg chloride resin (CL resin) or TODGTA resin (or DGTA resin) were added to pre-weighed and tared 2 mL centrifuge tubes. A total of 1 mL of liquid phase (HCl variable concentrations ranging from 0.1 to 10 M) along with a 5 μL aliquot containing 5-15 kBq of 233Pa, 230U, 227Th, 95Nb, 223Ra, and 225Ac in 0.1 M HCl were added to each tube and weighed. The mixtures were vortexed and allowed to equilibrate for 24 hours on a rocker at ambient temperature. The mixtures were filtered with 4 mm nonsterile hydrophilic polytetrafluoroethylene (PTFE) syringe filters (0.2 μm, EMD Millipore), and the characteristic γ-ray lines (Table 2) of 233Pa, 230U, 227Th, 95Nb, 223Ra, or 225Ac in the filtrate were measured with a high purity germanium (HPGe) detector. Determination of 230U and 225Ac was performed by waiting 5-8 hours to allow secular equilibrium to form between 230U/226Th or 225Ac/213Bi, respectively, and measuring characteristic 226Th or 213Bi γ-ray lines due to 230U and 225Ac having very weak γ-ray lines. The main γ-ray line for 226Th coincides with an X-ray of 233Pa; therefore, equilibrium distribution coefficients for 230U were performed separately. The total activity in the aqueous phase was calculated from the filtered solution; the activity adsorbed on the resin was determined by subtraction of total aqueous activity from the total original activity added. Distribution coefficients were calculated using the equation belowKd=(Ceq1)/(Ceq2)=[(Ai−Aeq)/Aeq]·(V/m)With reference to this equation, Ai is the initial activity, Aeq is the equilibrium activity in the aqueous phase, V is the volume of the equilibrium liquid phase (mL), and m is the mass of the resin (g). Considering the detection limit as dictated by signal-to-noise ratio, detector efficiency and reasonable counting time, the maximum distribution coefficient that could be measured under the experimental circumstances described above was ˜105 mL·g-1 for Pa (V) and Nb (V) and ˜104 mL·g-1 for all other isotopes measured. TABLE 2Identifying γ-ray emissions RadionuclideHalf-life (d)(keV) [% Intensity]233Pa26.97312 [38.6]/300 [6.62]230U (226Th)20.8111 [3.29]227Th18.68235 [12.3]95Nb34.99765 [100]223Ra11.44269 [13.7]/154 [5.62]225AC (213Bi)10.0440 [26.1] The equilibrium distribution coefficients for protactinium, uranium, thorium, niobium, radium and actinium in hydrochloric acid on CL and TODGTA resin were measured and are shown in FIGS. 5A and 5B. At high (≥8 M) hydrochloric acid concentrations, protactinium is strongly adsorbed onto both CL and TODGTA resin with Kd values of 104 mL·g−1 and 103 mL·g−1 respectively. At low hydrochloric acid concentrations (≤4 M), Kd values for protactinium are significantly lower with TODGTA having lower affinity (<0.1 mL·g−1) than CL resin (<100 mL·g−1). Niobium has less affinity for both resins; however, the Kd values are still significant at hydrochloric acid concentrations ≥8M, limiting the ability to separate niobium from protactinium using these resins. Interestingly, TODGTA resin shows higher affinity for niobium, with Kd values approaching 103 mL·g−1, under these conditions than with CL resin. Additionally, uranium (most likely present in the form of uranyl UO22+) has a Kd value >10 mL·g−1 in 10 M HCl on TODGTA resin and on CL resin its Kd values remain less than 2 mL·g−1. Thorium, radium, and actinium exhibited no affinity for either resin in any of the conditions tested. These results establish the feasibility of these resins to separate 230Pa from 230U and bulk thorium. As proton-induced fission coincides with the formation of 230Pa through the 232Th(p,3n) 230Pa reaction, separation studies included fission products previously identified in high energy irradiations of thorium targets. For 230Pa separation embodiments, approximately 1 g of thorium metal (>99% purity as determined via X-ray fluorescence spectroscopy) was dissolved in 40 mL of 10 M HCl/0.01 M HF. This solution was then spiked with a small aliquot of fission products as well as ˜185 kBq 230Pa and contacted with a column containing 1 mL CL or TODGTA resin equilibrated with 10 M HCl. The eluent was collected (fraction 1). An additional 20 mL of 10 M HCl in 5 mL fractions (fractions 2-5) was added to the column and each fraction was collected. Protactinium-230 was then eluted with 10 mL of 4 M HCl/0.1 M HF in two 5 mL fractions (fractions 6 and 7). Fractions 6 and 7 contained the radionuclide contaminant 95Nb. Niobium-95 separation from these fractions was obtained by converting them to 10 mL of 10 M HNO3 and adding to a column containing 1 mL of DGA resin equilibrated with 10 M HNO3. The eluent was collected (fraction 8), and 20 mL of 1 M HNO3 in 5 mL fractions (fractions 9-12) was added to the column to remove 95Nb. Protactinium-230 was then eluted with two 5 mL fractions (fractions 13-14) of 4 M HCl/0.1 M HF. Each fraction was analyzed via HPGe for radiochemical analysis. A schematic of the separation is shown in FIG. 6. Protactinium-230/233 was separated from bulk thorium and fission products with average final recovery yields of 93±4% and 88±4% with CL resin and TODGTA resin, respectively. Protactinium radionuclidic purity reached >99.5% using both methods. The only measurable radioactive impurity consisted of 95Nb, contributing <0.5% of the total radioactivity. Due to its chemical similarity to protactinium(V), niobium(V) was difficult to separate and therefore a residual contaminant. Niobium(V), breakthrough from a 230Pa/230U radionuclide generator could be easily removed employing normal DGA extraction chromatographic resin. All fission products, with the exception of 95Nb, were quantitatively removed using either CL or TODGTA column. Residual 95Nb was finally removed by the use of a column containing DGA resin. The TODGTA resin was capable of separating protactinium from thorium. The advantage of the use of sulfur containing resins over other reported methods is the ability to separate protactinium from both uranium and thorium in addition to a wide variety of fission products in one step with one concentration of acid. Anion exchange chromatography in combination with extraction chromatography has been used to separate protactinium from these contaminants, however the method is more complex and requires varying acid concentrations and multiple columns to obtain a comparable purity. The advantage over liquid-liquid extraction methods is the elimination of mixed waste (organic with radioactive), which can be costly to dispose of. Newer methods, such as the use of TK400 resin, have not demonstrated the ability to separate protactinium from a wide variety of fission products. The use of the disclosed sulfur-containing extractant compositions has been shown to be valuable for the selective separation of protactinium from a wide variety of contaminants and particularly for separating protactinium(V) from uranium(VI) or thorium-(IV). Disclosed herein are embodiments of a composition comprising a support material; and an extractant having a structure satisfying Formula I wherein each Ra independently is hydrogen, aliphatic, heteroaliphatic, aromatic, aliphatic-aromatic, or heteroaliphatic-aromatic; and each Rb independently is hydrogen, aliphatic, heteroaliphatic, aromatic, aliphatic-aromatic, or heteroaliphatic-aromatic, provided that at least one Ra or one Rb is aliphatic, heteroaliphatic, aromatic, aliphatic-aromatic, or heteroaliphatic-aromatic. In some embodiments, each Ra independently is aliphatic, heteroaliphatic, aryl, aliphatic-aryl, or heteroaliphatic-aryl. In any or all of the above embodiments, each Rb independently is aliphatic, heteroaliphatic, aryl, aliphatic-aryl, or heteroaliphatic-aryl. In any or all of the above embodiments, each Ra independently is alkyl, alkenyl, alkynyl, heteroalkyl, heteroalkenyl, heteroalkynyl, aryl, alkyl-aryl, alkenyl-aryl, alkynyl-aryl, heteroalkyl-aryl, heteroalkenyl-aryl, or heteroalkynyl-aryl. In any or all of the above embodiments, each Rb independently is alkyl, alkenyl, alkynyl, heteroalkyl, heteroalkenyl, heteroalkynyl, aryl, alkyl-aryl, alkenyl-aryl, alkynyl-aryl, heteroalkyl-aryl, heteroalkenyl-aryl, or heteroalkynyl-aryl. In any or all of the above embodiments, each Ra independently is decyl, nonyl, octyl, septyl, or hexyl. In any or all of the above embodiments, each Rb independently is decyl, nonyl, octyl, septyl, or hexyl. In any or all of the above embodiments, each Ra and Rb independently are octyl, 2-ethylhexyl, cyclohexyl, methyl, ethyl, or isopropyl. In any or all of the above embodiments, each Ra is the same and each Rb is the same. In any or all of the above embodiments, each Ra is the same as each Rb. In any or all of the above embodiments, the support material is an organic resin or an inorganic, particle-based medium. In any or all of the above embodiments, the support material is an organic acrylic-based resin. In any or all of the above embodiments, the support material is a silica-based support. In some embodiments, the extractant is covalently bound to the silica-based support. In some embodiments, the extractant is Also disclosed herein are embodiments of a method, comprising: exposing a liquid sample to an extractant composition comprising a support material and an extractant having a structure satisfying Formula I wherein each Ra independently is hydrogen, aliphatic, heteroaliphatic, aromatic, aliphatic-aromatic, or heteroaliphatic-aromatic; and each Rb independently is hydrogen, aliphatic, heteroaliphatic, aromatic, aliphatic-aromatic, or heteroaliphatic-aromatic, provided that at least one Ra or one Rb is aliphatic, heteroaliphatic, aromatic, aliphatic-aromatic, or heteroaliphatic-aromatic; and wherein the liquid sample is exposed to the composition for a time sufficient to promote formation of a complex between the extractant composition and a radioisotope, a metal, and/or any ion thereof present in the liquid sample; separating the complex from the liquid sample; and exposing the complex to a solution having a pH sufficient to promote dissociation of the radioisotope, the metal, or any ion thereof from the extractant composition; and isolating the radioisotope, the metal, or any ion thereof. In some embodiments, the radioisotope is protactinium, niobium, a protactinium ion, a niobium ion, or any combination thereof. In any or all of the above embodiments, the metal is gold, platinum, silver, palladium, any ion thereof, or any combination thereof. In any or all of the above embodiments, the sample comprises thorium, iron, zinc, any ion thereof, or any combination thereof. Also disclosed herein are embodiments of a compound having a structure satisfying Formula I wherein each Ra independently is hydrogen, aliphatic, heteroaliphatic, aromatic, aliphatic-aromatic, or heteroaliphatic-aromatic; and each Rb independently is hydrogen, aliphatic, heteroaliphatic, aromatic, aliphatic-aromatic, or heteroaliphatic-aromatic, provided that at least one Ra or one Rb is aliphatic, heteroaliphatic, aromatic, aliphatic-aromatic, or heteroaliphatic-aromatic and further provided that the compound is not or is other than In some embodiments, the compound is In view of the many possible embodiments to which the principles of the disclosed technology may be applied, it should be recognized that the illustrated embodiments are only preferred examples and should not be taken as limiting the scope of the present disclosure. Rather, the scope is defined by the following claims. We therefore claim as our invention all that comes within the scope and spirit of these claims. |
|
041585984 | claims | 1. A device for producing a hot plasma comprising a pressure vessel provided with an outer housing and an inner apertured liner in the shape of a paraboloid; at least two additional apertured walls positioned between said liner and said outer housing, all of said walls and said liner being in respective spaced relation to define respective nested chambers therebetween, means for feeding liquid lithium into said vessel within said liner; means coupled to said vessel for rotating it at a desired speed thereby creating a void area in the liquid lithium such that the free liquid mirror-like surface of said lithium forms a paraboloid of revolution having an axis of symmetry coaxial with the axis of rotation of said pressure vessel; a bottom outlet provided in said vessel and coupled between one of said chambers and said lithium feeding means; an inverted conical mirror with a half-angle of 45.degree. provided with a hole at its apex and positioned above said void area; a plurality of pulsed lasers positioned in such a manner as to provide a plurality of respective pulsed laser beams directed in paths perpendicular to and toward said axis of symmetry and toward the surface of said conical mirror, said mirror redirecting said beams in respective parallel paths with respect to said axis of symmetry, said redirected laser beams being reflected and focused by said lithium mirror-like surface to a common focal point of said paraboloid; a fuel pellet injection means positioned above said mirror and void area; and a plurality of fuel pellets adapted to be sequentially injected by said injection means through said hole in said mirror apex to said paraboloid focal point in timed sequence such that each pellet reaches said focal point at the same time as all of said pulsed laser beams arrive at said focal point; said liner being provided with a plurality of partitions sub-dividing the free liquid surface of said paraboloid of revolution of said liquid lithium; and the top of said pressure vessel being provided with an opening which is adapted to be connected to a spray condenser, whereby each of said sequentially injected fuel pellets is compressed and imploded by said plurality of pulsed laser beams to thus provide a substantially hot plasma and the consequent production of neutrons and x-rays. 2. The device set forth in claim 1, wherein said pulsed lasers are neodymium glass lasers, and each of said fuel pellets is a frozen deuterium pellet. |
053234308 | abstract | A method and a system for fine control of the thermal power of a nuclear boiling water reactor (BWR) with natural circulation. The reactor vessel, in which the core of such a reactor is enclosed, embodies an in-vessel part of a water level gauge containing a water level sensor, such that together with the out-of-vessel part of the system, which is a signal conditioning and processing unit, the effective water level in the vessel can accurately be measured and adjusted either automatically or by hand. The in-vessel part of the system comprises a water level gauge, such as a vertical standpipe, in which the "steam-collapsed" or "effective" water level is accurately created. The effective water level is typically defined for a reactor vessel of a normally operating boiling water reactor (BWR), while partly containing liquid water, partly a mixture of steam and water, and partly just steam. A ternary-coding, hybrid digital/analog thermocouple sensor with stand-alone capability is enclosed in the water level gauge, providing ternary-coded (-1, 0, +1) as well as analog (amplitude) signaling, such that by using an appropriate signal conditioning and processing unit, the water level in the gauge, relative to a reference level, is uniquely and very accurately determined. By the beneficial use of the analog information on water level contained in the signal transition curves, in addition to the digital information of the sensor signals, continuous water level readings can be obtained over the whole of a designed water level measuring range, this being independent of reactor water temperature or pressure. This accurate continuous level-measuring system is not limited to use in boiling water reactors, nor to water as a level-forming liquid. |
059636106 | abstract | A CEDM data acquisition system (40) receives analog coil-current signals from control element drive mechanism coils (18, 20); conditions the analog signals to remove noise induced therein by the electronic circuitry (44) associated with the control element drive mechanisms; digitizes the conditioned analog signals; displays the acquired test data; and records the data for future use. The inventive data acquisition system preferably has the ability to simultaneously measure, display and record coil-current data for all of the five coils associated with each CEDM rod-group with respect to time. Further, the inventive data acquisition system preferably allows the user to monitor, display and record data for up to eight CEDMs simultaneously. It also allows the measurement of rod-drop times during rod-drop testing of the CEDMs. |
055263860 | description | DESCRIPTION OF THE PREFERRED EMBODIMENTS Nuclear power electrical generation plants have a tremendous potential to produce energy. However, these plants have technical problems that prevent them from reaching their full energy production and from lasting to the end of their design lifetime. These include corrosion due to the high temperatures that they must operate at, and erosion of turbine and secondary system components to name a few. The instant invention enables the lowering of primary T.sub.hot temperature, steam generator temperature, and the increasing of steam quality that greatly reduces erosion of piping and components as well as turbine blades and diaphragms. EXISTING STEAM PERFORMANCE Referring to FIG. 1, the typical nuclear power electric generation system that utilizes a light water reactor will typically operate with wet main steam 1. Wet main steam 1 for this type of system, including both pressurized water reactors and boiling water reactors, is typically supplied by a steam generator 5 to drive the main turbine 10 that is the mechanical force to operate an electrical generator 15. Electrical power 20 generated by these systems is supplied to a grid 25 that purchases the electrical power 20. Wet main steam 1 arriving at the main turbine 10 produces moisture problems such as corrosion and erosion of the turbine blades and diaphragms that limit plant life and are extremely costly in down time, replacement, and operational limitations. Main steam 1 at the entrance 30 to the main turbine 10 will typically be from 0.2% in moisture and be from 970 to 1030 psig (68 bar to 72 bar). The main turbine 10 typically consists of two stages, the high pressure (HP) 35 stage and the low stage (LP) Stage 40. After work is extracted from main steam 1 in the HP stage 35 the characteristics of the HP exhaust steam 45 must be enhanced to improve utilization of steam by the LP stage 40. Currently, nearly all the nuclear power plant turbines, operating on wet main steam 1, whose expansion starts from the saturation curve (quality 1.0), are equipped with moisture separator reheaters (MSR) 50. Without MSRs 50 the HP exhaust steam 45 leaving the HP stage 35 may contain up to 25% of water (quality less 75%) that is too damaging for operation because of the erosion and corrosion. The MSR 50 not only improves the turbine 10 reliability (reduce the erosion of blades, housings, and diaphragms), but also improves efficiency of low pressure (LP) stage 40 of steam turbine 10 as much as 2 to 4%. The construction of the MSR 50 is not critical to the instant invention and is well known in the art. FIG. 2 illustrates the typical construction of a MSR 50. Now referring to FIG. 2, moisture 55 that is typically removed by chevron separating elements 57 from the HP exhaust steam 45 is returned to the feed system through a reheater 60. After the chevron separating elements 57 the HP exhaust steam 45, it is heated by at least one set of tube bundles 58,59. Most MSRs 50 in service today have two sets of tube bundles 58 and 59, and HP exhaust steam 45 enters on the bottom in dual inlet portals 61 and 63, and exits the top of the MSR 50 through dual exit portals 67 and 69. Once the moisture 55 is separated from the HP exhaust steam 45 it is first heated by using extraction steam 65, then it is heated by main steam 1 with a set of tube bundles 59, and is then heated by tube bundle 58 that is supplied with main steam 1. Extraction steam 65 is then directed from the MSR 50 as MSR exhaust steam 70 and is then sent to the LP stage 40 of the main turbine 10, and is slightly superheated, and is typically from 85 to 185 psig (6 to 13 bar). The MSRs 50, one of the most unreliable components in the entire generation system, are extremely expensive, and are usually one-third the cost of the main turbine 10 itself. Also shown in FIG. 1 are other components that are typically found in light water nuclear reactor power generation systems. For example, turbine exhaust will proceed through the plenum 75 that connects the main turbine 10 and the condenser 80, to feed pump 85, to demineralizer 90, and then finally to pumping stages, 95 and 100, before being returned to the steam generator 5. Nuclear-electric generating systems of this type typically have an efficiency of about 33 to 35% with a heat rate of about 10,000 Btu/Kwh. Existing combined-cycle-electric plants currently utilize a heat recovery boiler 110 (HRB) to increase the efficiency. FIG. 3 illustrates how an HRB 110 would be utilized in a typical combined-cycle-electric plant. ENHANCEMENT OF OPERATIONAL PERFORMANCE FIG. 4 illustrates the overall plant schematic for a nuclear plant with the instant invention installed in the downstream portion of main steam 1 piping 1513 of the steam generator 5. It will become apparent how the instant invention improves the operational characteristics of HP inlet mixed steam 130 entering the entrance 30 to the HP Stage 35 and the LP stage 40 of the main turbine 10. It should be noted in both FIGS. 1 and 4, main steam 1 denotes the main steam piping 1513 and the flow of main steam 1 within that piping. Main steam 1 from the steam generator 5 arrives at a high-pressure-mixer superheater (HPMS) 105 where it is superheated to the temperature from 570.degree. to 680.degree. F. (299.degree.-360.degree. C.) by the high enthalpy-high pressure steam HRB outlet steam 107 from a heat recovery boiler (HRB) 110. USE OF HRB IN CONVENTIONAL APPLICATIONS Use of a HRB 110 in a conventional combined-cycle plant, is illustrated in FIG. 3 and is well known in the art. The cycle starts with water 115 that is returned to HRB 110 from the condenser 80 by the discharge of the feed pump 85 where it is heated by the exhaust 120 from a gas turbine 125. The fuel utilized by the gas turbine is not germane to the invention. The exhaust gas 120 from the gas turbine 125 arrives to heat recovery boiler (HRB) 110, where two pressures of steam are generated and then superheated. High pressure and high enthalpy, HRB high pressure steam 107 is generated in high pressure loop 109; the HRB low pressure steam 145 is generated in low pressure loop 108. The construction of HRB 110 is not critical to the present invention and is well known in the art. The gas turbine consists typically of several components: gas turbines 160, compressors 165, and burners 170. Operation of gas turbines is well known in the art. The gas turbine 125 is used to drive a generator 155 that supplies electricity 157 to the grid 25. This increases the overall plant efficiency to about 42 to 45% when the heat range is from 8200 to 7600 Btu/Kwh. USE OF HRB IN THE INSTANT INVENTION Use of a conventional HRB 110 as described above is contemplated by the instant invention. However, the steam generated in the HRB will be used in a different manner. The HRB high pressure steam 107 exits with high pressure (typically from 1000 to 1315 psig (93 bar)) and high temperature (typically from 830.degree. to 935.degree. F. (444.degree.-500.degree. C.)), and is sent to the high pressure mixer superheater (HPMS) 105 to mix and to superheat main steam 1. The HRB low pressure steam 145 exits with a pressure (typically from 80 to 200 psig (14 bar)) and high temperature (typically from 830.degree. to 935.degree. F. (450.degree.-500.degree. C.)), and is sent to the low pressure mixer superheater (LPMS) 140 to mix and superheat MSR discharge steam 137. Referring to FIGS. 18a and 18b, when it is desirable not to use a LPMS 140 the HRB high pressure steam 107 pressure should be boosted to approximately 2000 psig (140 bar), and the temperature should be from 830.degree. to 1050.degree. F. (444.degree.-565.degree. C.). USE OF MSR IN CONJUNCTION WITH INSTANT INVENTION Now referring to FIG. 6, the MSR 50 that is already installed in the plant can be used. The construction of the MSR 50 is not critical to the instant invention and is well known in the art. Moisture 55 that is removed from the HP exhaust steam 45 is returned to the feed system through a reheater 60. Once the moisture 55 is separated from the HP exhaust steam 45 it is reheated by using HPMS outlet steam 135 from the HPMS 105, becoming MSR discharge steam 137. The MSR discharge steam 137 is then sent to the LPMS 140 where it is superheated by the high enthalpy HRB low pressure steam 145 from the HRB 110 yielding high enthalpy low pressure steam, referred to as LPMS. This discharge steam 150 is then sent directly to the LP stage 40 of the main turbine 10. This treatment of HP exhaust steam 45 before entering the low pressure stage 40 of the steam turbine 10 results in high quality steam in flow passes, reducing erosion of rotor blades and diaphragms and increasing in efficiency by 3 to 5 percent. GAS TURBINE AS A GENERATOR Now referring again to FIG. 4, the gas turbine 125 is well known in the art as a reliable source of electrical energy. This enables the same turbine 125 that is used to supply heated exhaust 120 to act as the source of electrical power 157 for use in emergency situations. This emergency electrical power 157 would be in addition to, or to supplement, the diesel generators that are typically installed in nuclear power stations. The gas turbine generator heat is the heat available in the gas turbine exhaust 120. The amount of heat is only critical to the invention as long as it provides sufficient energy to make sure the heat recovery boiler high pressure steam 107 has sufficient enthalpy to increase the operation characteristics of the steam at the turbine inlet 30 and to supply the needed energy to the LPMS 140. Any energy available above this amount can be sent up the stack 143 as shown in FIG. 5. Therefore, the gas turbine generator can be used as an independent source of electrical power 157 to the grid 25. This enables the dual use of a gas turbine electrical generator set 155. One use is to supply energy for the instant invention, and another use is as an independent electrical energy source to supply electricity to the grid 25. GRAPHICAL REPRESENTATION OF TYPICAL PLANT PERFORMANCE The Mollier chart for the typical steam cycle of a typical light water reactor electric power generation system is illustrated in FIG. 9a. The diagram in FIG. 9a corresponds to equipment that is illustrated in FIG. 1. Expansion in the HP stage of the Main Turbine (a.sub.1 -a.sub.2) PA0 Removal of Moisture from HP exiting wet steam in the MSR (a.sub.2 -a.sub.4) PA0 Removal of Moisture from HP exiting wet steam in the MSR (a.sub.4 -a.sub.6) PA0 Extraction of Work by LP stage of the Main Turbine (a.sub.6 -a.sub.7) PA0 Enhancement of Main Steam (b.sub.1 -b.sub.3) PA0 Expansion in the HP stage of the Main Turbine (b.sub.3 -b.sub.4) PA0 Removal of Moisture from HP exiting wet steam in the MSR (b.sub.4 -b.sub.5) PA0 Removal of Moisture from HP exiting wet steam in the MSR (b.sub.5 -b.sub.6) PA0 Mixing and Reheating of MSR exiting wet steam in the LPMS (b.sub.6 -b.sub.8) PA0 Extraction of Work by LP stage of the Main Turbine (b.sub.8 -b.sub.9) PA0 Elimination of the MSR PA0 LP Stage utilizes Superheated Steam (b.sub.8 to b.sub.8') Main steam 1 expands in the HP stage 35 of the main turbine 10 until it exits as HP exhaust steam 45. This line on FIG. 9a represents a decrease in quality from about 1 to about 0.85, and is illustrated as going from point a.sub.1 to point a.sub.2. In the MSR 50 the moisture 55 from HP exhaust steam 45 is first removed by chevron separating elements 57 that increase the quality to about 0.98 that is graphically represented in FIG. 9a by the line from point a.sub.2 to a.sub.5. After the moisture 55 is separated, the exhaust steam 45 is heated in two stages. The first is by extraction steam 65 and then by main steam 1. This removes all remaining moisture or superheats the HP exhaust steam 45 to point a.sub.5 or a.sub.6 depending upon the operational characteristics in that plant at that time. At this point the HP exhaust steam 45 is typically at a temperature of about 570.degree. F. (299.degree. C.), and the MSR 50 exhaust steam 70 is typically at a temperature of about 500.degree. F. (260.degree. C.). Upon exiting the MSR 50, the MSR exhaust steam 70 feeds into the LP stage 40 of the main turbine 10 graphically shown as a.sub.6 on FIG. 9a. Work is extracted in the LP stage 40 that is graphically shown as going from a.sub.6 to a.sub.7, which is then directed to the condenser 80. The line representing the condenser 80 is also indicated on FIG. 9a. GRAPHICAL REPRESENTATION OF PLANT PERFORMANCE IMPROVED BY THE INSTANT INVENTION The Mollier chart for the typical steam cycle of a light water reactor electrical generation station with instant invention installed is illustrated in FIG. 9b. The diagram in FIG. 9b corresponds to equipment that is illustrated in FIG. 4. Referring now to FIG. 9b, main steam 1 enters the inlet to the HPMS 105 at point b.sub.1 as wet main steam 1 where it is superheated to point b.sub.3, and exits as superheated HP inlet mixed steam 130. The HP inlet mixed steam 130 then enters the HP stage 35 of the main turbine 10 where it expands and work is extracted until it exits as HP exhaust steam 45. As illustrated on FIG. 9b, this represents a decrease in quality from superheated condition to about 0.94. On FIG. 9b this is illustrated as going from point b.sub.3 to point b.sub.4, and corresponds to going from a.sub.1 to a.sub.2 in a conventional plant as illustrated in FIG. 9a. In the MSR 50 the moisture 55 from HP exhaust steam 45 is removed by chevron separating elements 57 that increase the quality to about 1.0 that is graphically represented in FIG. 9 by the line from point b.sub.4 to b.sub.5. After the moisture 55 is separated from the HP exhaust steam 45, it is heated in two stages by high pressure mixer separator (HPMS) outlet steam 135. This removes all remaining moisture and superheats the HP exhaust steam 45 to point b.sub.6. The HP exhaust steam 45 is typically at a quality of 0.94 at this point. At point b.sub.5 the temperature is about 390.degree. F. (200.degree. C.). If the embodiment without an LPMS 140 is used, the HP exhaust steam 45 is typically at a temperature of about 550.degree. to 600.degree. F. (288.degree.-316.degree. C.) at this point. At point b.sub.6 a temperature is about 550.degree.-600.degree. F. (288.degree.-316.degree. C.). After exiting the MSR 50 the MSR discharge steam 137 is mixed and reheated in the low pressure mixer superheater (LPMS) 140 by HRB low pressure steam 145 where it is fed into the LP stage 40 of the main turbine 10. Work is being extracted in the LP stage 40 and is represented by line b.sub.8 to b.sub.9 on FIG. 9b. The line representing the condenser 80 is indicated on FIGS. 9a and 9b. An additional advantage of the invention is elimination of the MSR 50. When the conditioning of the steam in going from b.sub.6 to b.sub.8 is greater than the conditioning that occurs in going from b.sub.4 to b.sub.6, the MSR 50 is no longer necessary. Still another advantage of the invention is that the LPMS discharge steam 150 that is utilized by the LP stage 40 of the main turbine 10 is superheated for a longer portion of travel through the LP stage 40, than that of the MSR exhaust steam 70. This reduces the erosion of the LP stage 40 blades (not shown). Referring to FIG. 9a and FIG. 9b the distance from b.sub.8 to b.sub.8, is much larger than the distance from a.sub.6 to a.sub.6', each distance being a diagrammatic representation of the path in which work is extracted from the superheated steam within the LP stage 40 of the main turbine 10. REDUCTION OF CORROSION TO STEAM GENERATOR The corrosion of secondary components in any nuclear power plant is temperature dependent. This dependence is illustrated in FIG. 7. The most detrimental aspect of corrosion in a nuclear power plant steam generator 5 is tube failure due to corrosion. As illustrated in FIG. 7, with temperatures below 600.degree. F. (315.degree. C.), plugging versus years of operation only slightly increases. However, above 600.degree. F. (315.degree. C.) plugging increases dramatically. Pressurized Water Reactors Referring now to FIG. 8a, the instant invention enables a reduction in T.sub.hot 701, which is due to the lowering of the temperature differential, T.sub.hot -T.sub.cold (701-702). For a given thermal output of the reactor 700, assuming that (1) the mass flow rate 705 in the primary cycle is held constant, and (2) that T.sub.cold 702 constant is held constant, as illustrated by the following equation: EQU Power.sub.reactor =C.sub.P *mass.sub.flow rate *(T.sub.hot -T.sub.cold), where C.sub.P is the specific heat of the primary system coolant. Boiling Water Reactors Referring now to FIG. 8b, the instant invention enables a reduction in T.sub.hot 801, which is due to the lowering of the temperature differential, T.sub.hot -T.sub.cold, (801-802) for a given thermal output of the reactor, assuming that (1) the mass flow rate in the primary system is held constant, and (2) that T.sub.cold is held constant, as illustrated by the previous equation, except where C.sub.P is the specific heat of the system coolant. The reactor 800 is a boiling water reactor, and T.sub.hot is considered to be the average temperature at the outlet of the reactor 800 and the inlet to the steam generator 5 which in a boiling water reactor is one unit. The thermal efficiency is higher; consequently for a lower value of T.sub.hot the same power level of the rated unit may be maintained. The reactor thermal power level can be reduced, the fuel cycle length can be extended, as well as a reduction in reactor vessel embrittlement. FUEL CYCLE AND ENRICHMENT EFFECTS Still another advantage of the instant invention is to decrease the enrichment requirements of the reduced thermal power of nuclear reactors. The conversion from thermal energy to electrical energy is typically from 33-35% for plants without the instant invention and about 42-45% for plants with the invention. Since the nuclear reactor thermal energy output is directly keyed to how much nuclear fuel is present in the reactor and at what fission rate it is operated at, less fissions will be required. Any given fuel assembly has so many fissions possible over the life of the plant therefore the life of the plant is extended. The reduction in the requirement of thermal power allows for the reduction of fuel enrichment. Efficiency Without the Instant Invention Net efficiency for a nuclear plant without the invention can be expressed by the following equation: ##EQU1## where MW.sub.E-gen is the total electrical output of the plant, and MW.sub.Rx is the total heat output of the reactor. Efficiency With the Instant Invention In a nuclear plant utilizing the instant invention, the net efficiency can be expressed by the following equation: ##EQU2## where MW.sub.E-tur is the output that the electrical generator of gas turbine generator 155 unit supplies to the grid 25, and MW.sub.tur-fuel is the energy release by the fuel in the burner 170 of the gas turbine 125. The increase in .nu..sub.net is due to both the powers added by the gas turbine generator and the lowering of the MW.sub.Rx for a given MW.sub.E-gen. Since the nuclear reactor thermal output is directly keyed to how much nuclear fuel is present in the reactor and at what fission rate it is operated at, less fissions will be required. Any given fuel assembly has so many fissions possible over the life of the plant; therefore, the life of the plant is extended while maintaining the same amount of electrical power 157 supplied to the grid 25. Simulated computer runs on cost and efficiency have indicated that a 41.5% efficiency is possible when the instant invention is used on a 1260 MW.sub.e PWR plant with 3570 MW.sub.th, which normally has an efficiency of 34%. In this computer simulation, T.sub.hot went from 615.degree. F. (323.degree. C.) to a T.sub.hot of 597.degree. F. (314.degree. C.). OPERATIONAL REQUIREMENTS There are many types of nuclear electrical generation plants now in operation. Each plant will have its individual operational requirements that can influence how the instant invention is applied to that particular system. The main factors that would influence the selection of the most applicable embodiment include but are not limited to: (1) steam pressure requirements; (2) steam temperature requirements; (3) steam quality requirements; (4) type of heat recover boiler (HRB) being used (i.e., does it have a plurality of steam discharge pressures); (5) maintenance condition of the equipment, for example does the moisture separator reheater (MSR) need replacing; and (6) cost and availability of the equipment needed to install that particular embodiment. Referring now to FIG. 13, an embodiment is illustrated that utilizes a heat recovery boiler that has only one steam pressure 107. This embodiment would use a second high pressure line 111 of the heat recovery boiler high pressure steam line 107. The second high pressure steam line 111 will require a pressure reduction device 112. In this embodiment the high pressure stage exhaust steam 45 is mixed with a second heat recovery boiler high pressure steam to form the low pressure mixer superheater (LPMS) discharge steam 150. Referring now to FIG. 14, an embodiment is illustrated that utilizes a heat recovery boiler that has two steam pressures; i.e., the HRB high pressure steam 107 and the HRB low pressure steam 145. This embodiment would mix the HP exhaust steam 45 directly with HRB low pressure steam 145 to form the low pressure mixer superheater discharge steam. SELECTION OF STEAM MIXING EQUIPMENT Some of the individual components utilized in the instant invention contains the same type of equipment and materials found in many existing combined cycle plants, as well as some found in existing nuclear power plants. The physical construction of these components is not material to the instant invention. There are many options for the selection of the mixer superheaters that are utilized in the instant invention in addition to the MSR's 50 discussed above. Specifically, the high pressure mixer superheater 105 and the low pressure mixer superheater 140. FIG. 10 illustrates a bellows 1010 connection for a tube 1020 injection of 107 through a nozzle 1030 into main steam 1 to form HP inlet mixed steam 130. The bellows arrangement 1010, or some other mechanism to allow for expansion and contraction, is necessary for all steam systems. Bellows arrangements 1010 typically have an upper hatch 1040 to allow for servicing. Typically an expanded portion of piping 1050 is installed to facilitate the insertion of the tube 1020 FIG. 11 illustrates a honeycomb mixer placed in an expanded portion of pipe down stream of where 107 enters the main steam 1 flow. This type of mixer-superheater typically resembles a piping tee, with pipe 1110 being the vertical leg and the expanded section 1120, between the inlet 1130 and the outlet 1140 forming the horizontal leg. FIG. 12 illustrates a vortex-vane mixer in which 107 a main steam 1 is fed into a series of vanes 1210 that induces a clockwise pattern, with the pipe and vane arrangement of FIG. 12, that causes 107 and 1 to mix. The resulting mixture, HP inlet mixed steam 130, is typically removed by pipe in the plane perpendicular to the plane of HRB high pressure steam 107 and main steam 1. These same type of mixers can be utilized in the mixer assembly 1507 that is used in the micro-jet mixer as the HPMS 105 that is discussed below. MICRO-JET MIXER Now referring to FIGS. 15a and 15b, the HPMS 105 is a micro-jet mixer that is particularly suited to achieving thorough mixing with a minimal pressure drop. In the first stage of the mixing process the micro-jet mixer mixes main steam 1 with HRB outlet steam 107 to form a combined steam flow 1501. The micro-jet mixer is a cylindrical tube and shell arrangement in which steam flows 1,107 are directed together in a mixing area 1503 wherein a combined steam flow 1501 is formed. In the second stage the combined steam flow 1501 flows through baffle slots 1529 into a timing chamber 1505 which allows further mixing, thereby forming mixed steam flow 1533. The final stage of mixing is done by directing the mixed steam flow 1533 through a mixer assembly 1507 mounted on or in an exit guide 1509, and then finally exiting the exit chamber 1511, where it becomes HP inlet mixed steam 130, which is finally directed via main steam piping 1513 to the steam turbine 10. The micro-jet mixer and its major components ares preferable cylindrical in shape. However, other shapes are within the contemplation of the practice of the invention. Particularly those that are substantially circular or have a regular geometric shape. Micro-Jet Mixer Detailed Flow Path Main steam 1 enters the mixer shell 1515 at the inlet chamber 1516 via the main steam inlet 1517 and then flows around the slotted baffle 1527 into the mixing area 1503. The HRB outlet steam 107 enters first through the outer annulus 1519 via a HRB steam inlet 1521 and then through micro-jets 1523 into the mixing area 1503. The typical arrangement of HRB steam inlets 1521 is to have a plurality of inlets distributed axially around the circumference of the mixer shell 1515. FIG. 15a illustrates two HRB steam inlets 1521 diametrically disposed one to the other. The combined flow 1501 proceeds in the space between the perforated baffle 1525 and the slotted baffle 1527 to the baffle slots 1529, which is referred to as the mixing area 1503. After the combined flow 1501 passes through the micro-jets 1523, it passes into the timing chamber 1505 which is defined as the space between the perforated baffle 1525 and the slotted baffle 1527. The slotted baffle 1527 has both an attaching end 1528 and an interior end 1530. The interior end 1530 is typically plugged to force the mixed flow 1533 into the mixer assembly 1507. The attaching end 1528 is typically attached to the main steam outlet 1537. The baffle slots 1529 are typically cut in the attaching end 1528 of the slotted baffle 1527. The direction of the combined flow 1501 in the timing chamber 1505 is substantially counter to the direction of the mixed flow 1533 in mixing area 1503. Once the combined flow 1501 has gone through the baffle slots 1529 it is then termed to be mixed flow 1533. Located within the slotted baffle 1527 is a substantially cylindrical exit guide 1509 of smaller overall diameter than the slotted baffle 1527. The mixed flow 1533 is then directed to a mixer assembly 1507 which is located at or in the interior end 1535 of the exit guide 1509. The mixer assembly 1507 can be simply the end of the exit guide 1509 or alternatively be vanes mounted inside the exit guide 1509. However, the mixer assembly will typically be of the type illustrated in FIGS. 10, 11, or 12. The mixed flow 1533 is then directed to a mixer assembly 1507 which can be either mounted on or in the interior end 1535 of the exit guide 1509. After passing through the mixer assembly 1507 the mixed steam 1533 is referred to as HP inlet mixed steam 130. The HP inlet mixed steam 130 then exits the exit chamber 1511 into main steam piping 1513 at the main steam outlet 1537 where it is directed to the steam turbine 10. It is within the contemplation of the instant invention that a plurality of exit chambers 1511 can be utilized. Specifically, now referring to FIG. 18a, outlet steam 135 is extracted from the micro-jet mixer and is used for conditioning within the MSR 50. The embodiments illustrated in FIGS. 15a and 15b are with a single perforated baffle 1525 and a single slotted baffle 1527, however, multiple baffles 1525, or multiple baffles 1527, or any combination can be used as needed to increase the degree of mixing. Thermal Differentials Due to the temperature differentials expected, the perforated baffle 1525 should be mounted to the main steam inlet 1517 by means of a thermal expansion joint 1539. Thermal expansion joints are well known in the art, and will allow for expansion and contraction without damage of the components of the micro-jet mixer. Slotted Baffle Construction Now referring to FIG. 16 the slotted baffle 1527 will typically be a substantially cylindrical pipe into which is cut a plurality of baffle slots 1529. For use in a nuclear power steam plant the baffle slots 1529 should be approximately 5 cm wide and 20 cm long, and substantially uniformly distributed transversely around the exit end 1543 of the slotted baffle 1527. The baffle slots 1529 can also be ellipsoid in shape with the major axis being 12 cm and the minor axis being 6 cm in length. The density of the baffle slots 1529 is determined by the design limitations on pressure drop. The more baffle slots 1529 would cause a reduction in pressure differential across the slotted baffle 1527. Perforated Baffle Construction Referring now to FIGS. 17a and 17b the perforated baffle 1525 is a substantially cylindrical into which is cut a plurality of micro-jets 1523. The micro-jets 1523 should be from 10 to 20 mm in diameter and substantially uniformly distributed over the entire surface of the perforated baffle. Or alternatively, the micro-jets 1523 can only be placed adjacent to the mixing area 1503. The density of the micro-jets 1523 is determined by the design limitations on pressure drop. The more micro-jets 1523 would cause a reduction in pressure differential across the perforated baffle 1525. The micro-jets 1523 are orifices cut through the perforated baffle 1525 from the outer surface 1545 to the inner surface 1547. Additional control of the HRB outlet steam 107 velocity and pressure can be obtained by shaping the orifices as nozzles 1549. The nozzle configuration would be used in application where a lower pressure drop is required. Nozzles would typically have a larger inlet 1551 surface area than a outlet 1553 surface area. Other configurations for the orifices is also possible. Examples of other possible orifice shapes include, rounded edges 1555, orifice walls 1557 can be concave, orifice wails 1557 can be convex, or where the orifice area on the outer surface 1545 is smaller than the orifice area on the inner surface 1547. A possible added advantage of using particularly shaped orifices is that steam erosion of the surface would be less in more rounded walls 1557 and edges 1555. Expected Equipment Sizes Referring now to FIG. 15c, based upon a typical nuclear plant main steam line size of 0.8 meters the micro-jet mixer described above is expected to be from 2.5 to 3 meters in length (l.sub.m, 1571) and about 1.6 meters in diameter (d.sub.m, 1573). This size estimate is also based upon the use of four HRB high pressure steam 107 steam lines feeding the mixer 105. A mixer 105 of this size will have a perforated baffle 1525 of about 1.3 meters and a slotted baffle 1527 of about 1.1 meters in diameter. This implies a distance (d.sub.sb-pb, 1575) between the slotted baffle 1527 and the perforated baffle 1525 of about 100 mm before any allowance is made for the thickness of the materials of construction. The exit guide 1509, is expected to be about 0.8 meters in diameter (d.sub.eg, 1585), causing a distance (d.sub.eg-ab, 1577) between the exit guide and the slotted baffle of about 150 mm. ELIMINATION OF THE LPMS Referring now to FIG. 18a, still another embodiment of the instant invention is the elimination of the requirement of a LPMS 140. In this embodiment the MSR discharge steam 137 is directed immediately into the LP stage 40. This is possible because the HPMS outlet steam 135 has high enough enthalpy before entering the MSR 50 such that the MSR discharge steam 137 entering the LP stage 40 is of sufficient quality and enthalpy to achieve the corrosion and erosion benefits discussed above, while having sufficient enthalpy to prevent the desired work in the LP Stage 40. This increase in enthalpy is accomplished by means of a booster turbine 1801 in conjunction with the HRB 110 to treat, i.e. increase the enthalpy, of the HPMS outlet steam 135 prior to entering the HPMS 105. This is done by increasing the enthalpy of the HRB outlet steam 107. The enthalpy of the HRB outlet steam 107, which is at a high pressure, is increased by directing the HRB low pressure steam 145 to the booster inlet 1803 of the booster turbine 1801. The booster discharge steam (BDS) 1805 of the booster turbine 1801 is then directed to the HPMS 105 via the HRB 110. The pressure of the BDS 1805 is typically only higher than that of main steam 1 to facilitate mixing as discussed above. After leaving the HPMS 105 the 135 is directed to the MSR 50 thence directly to the LP stage 40. The decrease in enthalpy of HRB low pressure steam 145 while in the booster turbine 1801 represents useful work and is used to produce electricity via generator 155. The shaft 1809 of the booster turbine will typically be mechanically connected to shaft of the gas turbine 125, but two shaft operation is also contemplated. It should be noted that BDS 1805 is typically directly fed into the HPMS 105 within the HRB 110 where it is heated in a HRB tube assembly (not shown). When the instant invention is operated in this mode it is the equivalent of using the booster turbine 1801 as a "high pressure stage", the HP stage 35 as a "medium stage", with the role of the LP stage 40 being unchanged. It is within the contemplation of the practice of the instant invention that a multiplicity of booster turbines can be used to achieve higher enthalpy entering the micro-jet mixer used as an HPMS 105 or LP stage 40 as desired. HPMS OR MIXER-JET VIBRATIONAL CONSIDERATIONS It is well known in the art that large mass flow rates of steam mixing at different temperatures and pressures can cause equipment vibration. In most embodiments of the instant invention vibrational characteristics of the system will have to be considered. When an HPMS 105 is used, the sizing of the components of the HPMS 105 can reduce the vibrations that can be experienced. Referring again to FIG. 15c, a mixing length l.sub.m 1571 to micro-jet mixer diameter d.sub.m 1573 ratio of approximately 15 to 1 will have advantageous vibrational characteristics. Similarly, the slotted baffle length l.sub.ab 1579 to slotted baffle diameter d.sub.ab 1581 can be adjusted to cause minimal vibration. Also, the exit guide length l.sub.eg 1583 to exit guide diameter d.sub.eg 1585 can be adjusted to achieve minimal vibration. Any time the length/diameter ratio is adjusted, due consideration should be given to the resulting volume. Volume not only influences the pressure drop and change, due to friction or bernouli's effect respectively, but it is also important in allowing the desired mixing to take place. For example, the volume V.sub.oa of the outer annulus 1509 which is determined by the micro-jet mixer diameter d.sub.m 1573, the micro-jet mixer length l.sub.m 1571, and diameter of the perforated baffle d.sub.pb 1587, and for a circular micro-jet mixer can be expressed as EQU V.sub.oa =I.sub.m *.pi.*1/4* (d.sub.m.sup.2 -d.sub.pb.sup.2), is an important consideration. As is the volume of the timing chamber 1505, exit chamber 1511, guide chamber 1512, and the inlet chamber 1516. Each of these volumes can be expressed using same method of calculation and is well know in the art. USE OF THE LPMS WITH THE BOOSTER TURBINE To provide maximum plant flexibility it may be desirable to be able to have both a LPMS 140 and a booster turbine 1801 installed. Referring now to FIG. 18b the LPMS 140 and the booster turbine 1801 can be used in conjunction with one another, or used alone, depending upon operational needs. In this embodiment the HRB high pressure steam 107 is directed to the booster turbine 1803 inlet where work is extracted as previously discussed. In this embodiment steam will be extracted from the booster turbine 1801 in two ways. After work has been extracted the DBS 1805 will also be directed to the HRB 110. However, at mid-turbine 1813, extraction booster steam (EBS) 1815 will be extracted and directed to the HPMS 105. The HRB low pressure steam 145 is directed to the LPMS 140 in order to increase the enthalpy of the LPMS discharge steam 150 to the desired level. The EBS 1815 extracted from 1803 will be at a slightly higher pressure than main steam 1 and is directed to HPMS 105. From this point the flow is substantially the same as discussed above. PIPING, EQUIPMENT, AND VALVE PERMUTATIONS It is obvious to one skilled in the art that various combinations of the piping and equipment disclosed can be used. For example, all components and piping necessary for any embodiment can be installed. The use of valves will enable any specific embodiment to be achieved as desired. OTHER EMBODIMENTS POSSIBLE While several preferred embodiments of the present invention have been shown and described, it will be apparent to those skilled in the art that many changes and modifications may be made without departing from the invention in its broader aspects. The appended claims are therefore intended to cover all such changes and modifications as fall within the true spirit and scope of the invention. |
abstract | A canister apparatus, basket apparatus and combinations thereof for transporting and/or storing high level radioactive waste, such as spent nuclear fuel. In one embodiment, the invention can be a basket apparatus for supporting a plurality of spent nuclear fuel rods within a containment structure, the basket apparatus comprising a plurality of disk-like grates, each disk-like grate having a plurality of cells formed by a gridwork of beams; and means for supporting the disk-like grates in a spaced arrangement with respect to one another and so that the cells of the disk-like grates are aligned. |
|
abstract | A method for preparing TEM sample, comprising the following steps: providing a sample with two pits and a failure region between the two pits, the failure region comprising a semiconductor device; milling the first surface of the failure region, till the cross section of the semiconductor device is exposed; etching the first surface of the failure region; cleaning the sample; milling the second surface of the failure region, till the failure region can be passed by electron beam. A sample can be prepared for a high resolution TEM through above steps. When the sample is observed, it is easy to distinguish the lightly doped drain, source/drain regions from the silicon substrate and observe the pattern and defects in the lightly doped drain, source/drain regions clearly; in addition, it is easy to distinguish the BPSG from the non-doped silicon dioxide in the failure region. |
|
RE0367605 | claims | 1. A process for uniformly altering a characteristic of a surface of a material to a depth of<several hundred microns comprising the step of irradiating a surface of the material with a repetitively pulsed ion beam from an ion beam source .Iadd.having an anode electrode and a cathode electrode, defining therebetween an acceleration gap, and producing ions created by ionizing an injected gas.Iaddend., wherein each pulse of the pulsed ion beam has a duration of .ltoreq.1000 ns at .[.(an.]. .Iadd.said .Iaddend.accelerating gap.[.) between an anode electrode means and a cathode electrode means n the ion beam source.]., a total beam energy delivered to the material of >1 Joule/pulse, an impedance of <1000.OMEGA., a repetition rate of >1 Hz, an ion kinetic energy of >50 keV, and an ion penetration depth of <50 microns. 2. The process of claim 1 wherein the depth of ion penetration is controlled by controlling the kinetic energy of the ion beam. 3. The process of claim 1 wherein the depth of ion penetration is controlled by controlling the atomic mass of the ions in the ion beam. 4. The process of claim 1 wherein the depth of ion penetration is controlled by controlling the atomic number of the ions in the ion beam. 5. The process of claim 1 wherein the characteristic is surface smoothness which is modified to a surface roughness of <0.5 microns. 6. The process of claim 5 wherein the material is a fine grain, sintered material. 7. The process of claim 5 wherein the surface is a food preparation surface. 8. The process of claim 7 wherein the food preparation surface is a food cooking surface. 9. The process of claim 5 wherein the material is an amorphous magnetic alloy. 10. The process of claim 9 wherein the alloy has the approximate composition of Fe.sub.66 Co.sub.18 B.sub.15 S.sub.1. 11. The process of claim 1 wherein the surface characteristic is the presence of an unwanted contaminant. 12. The process of claim 11 wherein the unwanted contaminant is a machining lubricant. 13. The process of claim 11 wherein the unwanted contaminant is solder flux. 14. The process of claim 11 wherein the unwanted contaminants is biological contamination. 15. The process of claim 11 wherein the unwanted contaminants is a surface coating. 16. The process of claim 1 wherein the total beam energy delivered to the material per pulse is <10 Joules/pulse and the surface characteristic to be altered is the presence of the top 1-2 microns of the material which is removed by ablation. 17. The process of claim 1 wherein the total beam energy delivered to the material per pulse is <20 Joules/pulse and the surface characteristic is shock hardening. 18. The process of claim 16 wherein the ablation produces vaporization of the surface of the material which redeposits upon the surface of the material. 19. The process of claim 16 wherein the ablation produces vaporization of the surface of the material which redeposits upon a surface of a second material. 20. The process of claim 16 further including protection of certain areas of the surface of the material by mask means which protect the surface from the ablation. 21. The process of claim 1 wherein the surface characteristic to be altered is hardness. 22. The process of claim 1 wherein the surface characteristic to be altered is corrosion resistance. 23. The process of claim 22 wherein the material is steel. 24. The process of claim 22 wherein the material comprises aluminum. 25. The process of claim 23 wherein the material is stainless steel that has been heat treated to above 600.degree. C. 26. The process of claim 1 wherein the surface characteristic to be altered is resistance of welds to stress cracking. 27. The process of claim 1 wherein the surface characteristic to be altered is resistance of welds to corrosion. 28. The process of claim 1 wherein the surface characteristic to be altered is the formation of non-equilibrium structures within the surface. 29. The process of claim 28 wherein the non-equilibrium structures are selected from the group consisting of amorphous structures, disordered crystalline structures, and nanocrystalline structures not present in the original material. 30. The process of claim 1 wherein the area of continuous and uniform alteration of the characteristics is >5 cm.sup.2. 31. The process of claim 1 wherein the ion species are selected from the group consisting of hydrogen, helium, oxygen, nitrogen fluorine, neon, chlorine, argon, lithium, beryllium, boron, carbon, sodium, magnesium, aluminum, silicon, phosphorous, sulfur, potassium and the isotopes thereof. 32. The process of claim 1 wherein the material is selected from the group consisting of intermetallic materials, amorphous materials, crystalline materials, nano-crystalline materials, dielectrics, polymers, semiconductors, ceramics and glasses. .Iadd. 33. A method of smoothing a surface of a bulk material comprising: (a) generating an ion beam using a magnetically confined anode plasma ion source comprising a vacuum chamber having an anode assembly including an anode electrode and a fast driving coil and a cathode assembly including a cathode electrode and a slow driving coil, the anode electrode and cathode electrode defining there between an acceleration gap said step of generating further comprising: (b) directing said beam at said surface to reduce the total surface area. .Iaddend..Iadd.34. The method according to claim 33, wherein said step of directing comprises melting a near surface layer of said bulk material, and resolidifying said near surface layer without melting said bulk material. .Iaddend..Iadd.35. The method according to claim 34 further comprising controlling the duration of exposure of said surface to said (a) generating ion beam using a magnetically confined anode plasma ion source comprising a vacuum chamber having an anode assembly including an anode electrode and a fast driving coil and a cathode assembly including a cathode electrode and a slow driving coil, the anode electrode and cathode electrode defining therebetween an acceleration gap; said step of generating further comprising: (b) directing said ion beam at said surface to evaporate a layer of said (a) generating an ion beam using a magnetically confined anode plasma ion source comprising a vacuum chamber having an anode assembly including an anode electrode and a fast driving coil and a cathode assembly including a cathode electrode and a slow driving coil, the anode electrode and cathode electrode defining therebetween an acceleration gap, said method comprising the steps of: (b) heating a bulk material having an initial structure to a predetermined depth with said ion beam; and (c) rapidly conducting the heat into said bulk material whereby a surface having a structure different from said initial structure is formed. ion beam to improve surface morphology. .Iaddend..Iadd.36. The method according to claim 34, wherein said bulk material is a fine grain sintered material. .Iaddend..Iadd.37. The method according to claim 36, wherein said material includes ceramic materials and powder metallurgy materials. .Iaddend..Iadd.38. The method according to claim 36, wherein said steps of melting and resolidifying produce a glassy surface. .Iaddend..Iadd.39. The method according to claim 36, wherein said steps of melting and resolidifying produce a alloy surface. .Iaddend..Iadd.40. The method according to claim 38, wherein said surface comprises a non-equilibrium form of the bulk material. .Iaddend..Iadd.41. The method according to claim 39, wherein said surface comprises a non-equilibrium form of the bulk material. .Iaddend..Iadd.42. The method according to claim 34, wherein said method is repeated whereby said surface is repeatedly melted, each time resulting in a smoother surface. .Iaddend..Iadd.43. The method according to claim 34, further comprising the step of removing surface defects. .Iaddend..Iadd.44. The method according to claim 43, wherein said surface defects comprise machining marks from a machining process. .Iaddend..Iadd.45. The method according to claim 44, wherein said post smoothing process surface is free of sharp or abrupt features. .Iaddend..Iadd.46. The method according to claim 44, wherein the surface contour generated by the machining process is unchanged by the smoothing process. .Iaddend..Iadd.47. The method according to claim 34, wherein the surface to be smoothed is a wear surface. .Iaddend..Iadd.48. The method according to claim 43, wherein said surface defect comprises a surface crack. .Iaddend..Iadd.49. The method according to claim 43, wherein said surface defects comprises potential corrosion sites and said method results in a corrosion resistant surface. .Iaddend..Iadd.50. The method according to claim 34, wherein said bulk material comprises an amorphous magnetic material. .Iaddend..Iadd.51. The method according to claim 34, wherein said bulk material comprises a nanocrystalline material. .Iaddend..Iadd.52. The method according to claim 51, wherein said bulk material is formed in thin layers deposited on substrate having a relatively high thermal conductivity and wherein said method further comprises directing said ion beam onto said substrate. .Iaddend..Iadd.53. The method according to claim 51, wherein defects in the bulk material are removed by melting and recrystallization. .Iaddend..Iadd.54. The method according to claim 33 further comprising the step of melting a near surface layer of said bulk material followed by the step of rapid thermal quenching. .Iaddend..Iadd.55. The method according to claim 54, wherein said step of rapid thermal quenching comprises removing heat at a rate greater than about 10.sup.8 k/sec. .Iaddend..Iadd.56. The method according to claim 36, wherein the bulk material is a ceramic and a glassy surface is formed. .Iaddend..Iadd.57. The method according to claim 37, wherein the bulk material is a powder metallurgy material and an alloy surface is formed. .Iaddend..Iadd.58. The method according to claim 36, wherein a surface having reduced surface porosity is formed. .Iaddend..Iadd.59. A method of evaporation and ablation from a surface comprising the steps of: surface. .Iaddend..Iadd.60. The method according to claim 59, wherein said surface comprises a layer and an underlying surface of bulk material and further comprising the steps of removing at least said layer. .Iaddend..Iadd.61. The method according to claim 60, wherein said bulk material is metal and said layer is an oxide layer. .Iaddend..Iadd.62. The method according to claim 60, wherein said bulk material is metal and said layer is a hydrocarbon. .Iaddend..Iadd.63. The method according to claim 60, wherein said layer is a contamination layer, said contamination having a boiling point lower than that of said bulk material. .Iaddend..Iadd.64. The method according to claim 60, wherein said layer is a passivation layer and said bulk material is part of a substrate, said passivation layer having a lower boiling point than said substrate; and further comprising the step of removing said passivation layer by super heating the surface of said substrate by said ion beam. .Iaddend..Iadd.65. The method according to claim 60, wherein said layer is an overlayer of an unwanted material having a higher vaporization point than the bulk material, said method further comprising ablating a surface layer of the bulk material beneath said overlayer, thereby removing said unwanted material. .Iaddend..Iadd.66. The method according to claim 60, wherein said bulk material is a polymer substrate. .Iaddend..Iadd.67. The method according to claim 59, wherein the step of directing further comprises interposing a mask or compound to prevent said ion beam from effecting selected portions of said surface. .Iaddend..Iadd.68. The method according to claim 65, further comprising the step of shock-hardening of the bulk material to a depth greater than the melt depth. .Iaddend..Iadd.69. The method according to claim 59, further comprising the step of redepositing vaporized material onto said surface. .Iaddend..Iadd.70. A method of producing non-equilibrium or near equilibrium structures on the surface of a bulk material comprising the steps of: .Iaddend..Iadd.71. The method according to claim 70, wherein said bulk material is a metal alloy. .Iaddend..Iadd.72. The method according to claim 70, further comprising the step of depositing a layer of material on the bulk material and whereby the step of heating further comprises melting said layer of material and a surface layer of said bulk material whereby said material is dissolved into said melted bulk material. .Iaddend..Iadd.73. The method according to claim 72, wherein said layer material comprises carbon and said bulk material comprises steel. .Iaddend..Iadd.74. The method according to claim 72, wherein said layer material comprises a nitride and said bulk material comprises steel. .Iaddend..Iadd.75. The method according to claim 72, wherein said bulk material is selected from the group consistent of 304 stainless steel, 316 L stainless steel and 316 F stainless steel. .Iaddend..Iadd.76. The method according to claim 72, wherein said bulk material comprises an aluminum alloy. .Iaddend..Iadd.77. The method according to claim 72, wherein said layer material is chromium and said bulk material is carbon steel and wherein said step of melting further comprises melting said chromium and carbon steel. .Iaddend..Iadd.78. The method according to claim 72, wherein the layer material and bulk material are immiscible when solid and wherein the step of melting further comprises forming a single phase liquid comprised of the layer material and bulk material. .Iaddend..Iadd.79. The method according to claim 78, wherein said step of rapidly conducting heat further comprises quenching the molten material produced an amorphous alloy consisting of said layer material and said bulk material. .Iaddend..Iadd.80. The method according to claim 78 wherein said step of rapidly conducting heat comprises quenching at a rate whereby nanoscale precipitates are formed after quenching. .Iaddend..Iadd.81. The method according to claim 72 further comprising the step of rapid quenching whereby an alloy of said bulk material and layer material is formed. .Iaddend..Iadd.82. The method according to claim 81, wherein said alloy comprises a metastable alloy on the surface of said bulk material. .Iaddend..Iadd.83. The method according to claim 70, wherein said bulk material is a fine-grain sintered material. .Iaddend..Iadd.84. The method according to claim 83, wherein said bulk material is a ceramic and a glossy surface is formed. .Iaddend..Iadd.85. The method according to claim 83, wherein said bulk material is a powdered metallurgy material and an alloy surface is formed. .Iaddend. |
abstract | A neutron source includes a low atomic number element target that is bombarded by incident energetic particles to provide a neutron flux. The source receives a controlled flow of liquid gallium that cools the target. The energetic particles may be for example protons or deuterons and the target is housed in a moderator/reflector assembly. Advantageously, the liquid gallium provides improved heat transfer, smaller flow rates and reduced stress on the target in comparison to prior art liquid coolants. |
|
claims | 1. A melt localization device, comprising:a double-walled cooled case, a bottom of the double-walled cooled case being recessed to a center of the bottom with a slope, and filled with filler;a guiding element for organizing movement of a melt;a passive system for supplying water to the surface of the melt,wherein the filler comprises several upper cassettes and a lower cassette, each cassette of the upper cassettes and the lower cassette containing one vertical central storage channel and several vertical peripheral storage channels of equal diameters, wherein the diameter of the vertical central storage channel exceeds the equal diameters of the vertical peripheral storage channels,wherein for each cassette of the upper cassettes and the lower cassette, the cassette further comprises horizontal radial distribution channels connecting the vertical peripheral storage channels of the cassette to the vertical central storage channel of the cassette, and wherein for each upper cassette of the upper cassettes, the upper cassette comprises horizontal azimuth distribution channels connecting the vertical peripheral storage channels of the upper cassette with each other,wherein the horizontal radial distribution channels of the lower cassette are made with a slope, the angle of which coincides with the slope of the bottom of the melt trap body,wherein the horizontal radial distribution channels and the horizontal azimuth distribution channels have equal diameters,wherein the upper cassettes and the lower cassette contain ceramic plates having microchannels separated by horizontal slot channels and vertical slot channels, and the upper cassettes and the lower cassette are mounted on top of one another in such a way that contours of the vertical central storage channel of the upper cassettes and the lower cassette coincide with each other to provide a combined vertical central storage channel and contours of the vertical peripheral storage channels of the upper cassettes and the lower cassette coincide with each other to provide a plurality of combined vertical peripheral storage channels. 2. The melt localization device according to claim 1, characterized in that the vertical peripheral storage channels of the upper and lower cassettes are located on the same axial axis with a corresponding radial rib of the cooled case. 3. The melt localization device according to claim 1, characterized in that a depth of the combined vertical central storage channel formed by the upper cassettes and the lower cassette exceeds a depth of each of the plurality of combined vertical peripheral storage channels. 4. The melt localization device according to claim 1, characterized in that a damper is installed in the combined vertical central storage channel, and comprises a central shell, power ribs connected to the central shell, inclined plates located between the power ribs, and clamps that secure the damper to the cooled case. |
|
description | Referring now to FIGS. 1-3, there is generally shown a fuel rack 10 for storing spent fuel assemblies (depicted in chain by a fuel assembly profile 14 in FIG. 3) in spent fuel pits of commercial nuclear reactor plants (not shown) for generating electric power. The fuel rack 10 has a plurality of cells 20 defined by vertically extending walls 22 and a supporting horizontally oriented base plate 24. The rack base plate 24 is supported and leveled by a plurality of floor supports 26 that stand on the floor of a spent fuel pit. The rack walls 22 may be up to about a tenth of an inch or more in thickness and the base plate may be up to about one half inch or more in thickness. As shown in FIG. 1, the fuel rack 10 has a 12xc3x9715 array of cells 20, although other fuel racks may have fewer or more cells. Each cell 20 is generally rectangular and each cell wall 22 is roughly 6 inches in length so that the overall dimensions of the rack 10 of FIG. 1 are about six feet by about eight feet in cross section by about 15 feet high. As is shown in FIG. 3, cells 20 in commercial plants may have neutron-absorbing sheets 28 attached to the vertical walls 22, which sheets may be covered by wrappers 30 to protect sheets 28 from hydraulic forces. As may be seen in FIG. 2, each floor support 26 may include a support pad 32 and leveling screw 34 which threadedly engages a horizontal plate 36. The horizontal plate 36 may be attached to the rack base plate 24 by vertically extending plates 38 and plates 40. The vertically extending plates 38 and plates 40 preferably have flow holes 42 and 44, respectively, and the rack base plate 24 has a flow hole 46 for permitting water to flow between the interior portion of the cell 20 and the water pool. The rack base plate flow hole 46 may be up to about four inches in diameter or more. FIG. 1 shows a sleeve assembly 50 of the present invention aligned with a cell 20 of fuel rack 10 and in a position where it can be readily installed in the cell 20 with an installation tool (not shown) carried by an overhead crane to refurbish the fuel rack 10 while the rack 10 is submerged in the fuel pool. The sleeve assembly 50 generally includes at least one elongate wall 52, a sleeve base 54 and a locking or pin assembly 56. The elongate wall 52 may be flat or curved. Preferably, the elongate wall 52 and the pin assembly 56 are welded to the sleeve base 54. FIGS. 1-3 show an assembly 50 having two mutually perpendicular walls 52, although the sleeve assembly 50 may have up to four walls 52. In the preferred practice of the present invention, where it is desired to repair the deterioration of the neutron absorbing sheets 28, the walls 52 preferably contain substantial amounts of boron or other neutron absorbing materials. Most preferably, the walls 52 are an extruded composite of boron carbide and aluminum as generally disclosed by U.S. Pat. No. 5,980,602. These extruded products are available under the trademark BORALYN from Alyn Corporation of Irvine Calif. Other commercially available neutron absorbing materials are sold under the trademarks BORAL and BORAFLEX. The elongate walls 52 extend upwardly from one side 60 of the sleeve base 54. The sleeve base 54 has an opposed second surface 62 designed to rest on the rack base plate 24 when the sleeve assembly 50 is installed. Importantly, the sleeve base 54 has a flow hole 64, which preferably is no smaller than and alignable with the flow hole 46 in the rack base plate 24. The sleeve base 54 is preferably similar to the sleeve material, and may be a BORALYN extruded plate of about one half inch in thickness or more. The sleeve base 54 may have one or more undercut keyways in its corners (depicted by keyway 66 with a circular undercut) for releasably receiving the rotatable end(s) of an elongated installation tool suspended from the hooks of an overhead spent fuel pool crane (not shown). The pin assembly 56 removably attaches the sleeve assembly 50 in the cell 20 to the rack 10. As shown in FIGS. 2, 3 and 4, the pin assembly 56 has a tubular portion 70 disposed in the sleeve base flow hole 64 for engaging the rack base plate 24 while up to eight or more resilient tabs 72 extend from the tubular portion 70 into the below rack base plate flow hole. The pin assembly 56 may be extruded or otherwise fabricated of an aluminum alloy or other metal and have a thickness of about 0.05 inches. The tubular portion 70 may have a rim 74 that is designed to seat on a chamfered edge of the upper surface of the sleeve base 54 when the balance of the tubular portion 70 fits through the sleeve flow hole 64 and the resilient tabs 72 extend beyond the bottom surface 62 of the sleeve base 24 and through the rack base plate hole 46. Each resilient tab 72 has a first section 74 that extends substantially vertically from the tubular portion 70 of the pin assembly 56 for resiliently engaging the rack base plate 24 and limiting horizontal movement of the sleeve assembly 50 when the pin assembly 56 is installed. Preferably, an intermediate tab section 76 extends from the first tab section 74 and has a surface 78 facing and extending substantially parallel to the bottom surface of the sleeve base 54 and the bottom surface of the rack base plate 24. Advantageously, the intermediate tab section 76 will limit upward movement of the sleeve assembly 50 under the drag forces of an upwardly moving fuel assembly 14. Preferably, a tab end section 80 extends at an acute angle from the intermediate section 76 and inwardly of the tubular portion 70. Advantageously, the angled tab end section 80 cushions the sleeve assembly 50 (which will weigh about forty pounds or more) as it centers on the rack base plate 24 under the weight of the sleeve assembly 50. In addition, the tab end section 80 presents a self aligning mechanism for seating the sleeve assembly 50 in the cell 20 Advantageously, the pin assembly 56 will not be contacted by a fuel assembly 14 as the fuel assembly 14 is placed in or removed from a cell 20 until the fuel assembly is within about an inch of being seated. In addition, the pin assembly design can withstand substantial upward and downward drag forces of a stuck fuel assembly 14 on the sleeve walls 22. Also, the pin assembly design does not substantially obstruct the area of the flow hole 46. While a present preferred embodiment of the present invention has been shown and described, it is to be understood that the invention may be otherwise variously embodied within the scope of the following claims of invention. |
|
053012186 | summary | FIELD OF THE INVENTION This invention generally relates to fuel elements containing fissionable fuel material for use in nuclear reactors. In particular, the invention is directed to an improvement in nuclear reactor fuel elements containing fissionable fuel in metallic form and metal alloys which are housed within stainless steel containers. BACKGROUND OF THE INVENTION Typical fissionable nuclear fuels in metal form comprise uranium, plutonium and thorium, which can be employed alone or alloyed. The fuels currently utilized are primarily comprised of uranium metal. A preferred fuel comprises combinations of a major portion of uranium alloyed with a minor portion of plutonium, for example, 60-98 wt. % uranium metal with 2-40 wt. % plutonium metal. Fissionable fuel materials in metallic form have a long history in the nuclear reactor field, but due to significant shortcomings, have conventionally been used primarily in the so-called breeder type of reactors utilizing liquid metal coolants. The primary drawbacks of the use of metallic fissionable material as fuel are their relatively low melting temperatures and accompanying loss of structural characteristics such as tensile strength at moderately low temperatures, and their high degree of reactivity with other elements, including susceptibility to corrosion. Uranium in metallic form (the most prevalent fissionable fuel material) melts at only 2070.degree. F. (1132.degree. C.), and an alloyed metal fuel containing uranium and plutonium normally has an even lower melting temperature. For example, an 88 wt. % U--12 wt. % Pu alloy has a melting temperature of about 1130.degree. F. (610.degree. C.). On the other hand, fissionable fuels in metallic form provide excellent thermal conductivity for highly efficient heat transfer, and maximum concentration of fissionable atoms per unit volume. Thus, more power can be produced per unit volume with metallic fuel and heat can be transferred more efficiently to the liquid coolant. Alloys of uranium metal and/or plutonium metal have been proposed and used to enhance metal fuels and overcome the shortcomings of metallic fuel. For example, small amounts of alloying metals such as molybdenum, niobium, titanium, zirconium, vanadium or chromium have been used to stabilize phase structures and, in turn, the properties attributable thereto in metallic fuels. For instance, the article "Properties of Uranium-Plutonium-Base Metallic Alloys" by R. J. Dunworth et al., Argonne National Laboratory, Annual Progress Report for 1965, ANL-7155 (1965), pp. 14-25, discloses the alloying of metal fuel with zirconium or titanium to increase the melting temperature of uranium-plutonium fuel. Zirconium is also included as an alloying component in such metallic fuels to provide an elevated solidus temperature for the fuel and to enhance its chemical compatibility with stainless steel, which is commonly employed in fuel containers for service in liquid metal-cooled nuclear reactors. A preferred metal fuel alloy which has been considered for commercial service comprised an alloyed composition of 64 wt. % U--26 wt. % Pu--10 wt. % Zr. Such an alloy has a melting point of about 1868.degree. F. (1020.degree. C.). However, additional problems attend the technique of alloying conventional fissionable metal fuels with non-fuel elements such as zirconium metal. It has been found that evidently due to inherent reactor conditions of intense radiation and high temperatures, metal alloy fuels which initially comprise a substantially uniform mixture of alloyed ingredients become chemically redistributed into nonuniform mixtures. This restructuring of the metal alloyed fuel has a pronounced effect upon its properties and their uniformity throughout the mass of the fuel body. One significant aspect of this redistribution of the alloyed ingredients, such as zirconium, is the inward migration of the zirconium metal to the inner or central area of the fuel body. This is accompanied by an increase in the solidus temperature of the inner or central area and correspondingly a reduced solidus temperature in the outer or peripheral area of the unit. Thus, the melting temperature of the remaining alloy in the peripheral area of the fuel body is lowered, and the intended effect of the added zirconium to avoid low-melting phase formation is reduced or negated. A lower melting temperature of the surface portion of a fuel body increases the potential for chemical interaction with adjoining materials. When the zirconium migrates, the remaining alloy ingredients form lower-melting-point alloys or eutectic compositions. Moreover, in the absence of zirconium, components remaining in the peripheral area of the fuel, such as plutonium and fission-produced cerium, form low-melting-point phases which can attack or react with the stainless steel of the fuel container (hereinafter "cladding"), thereby degrading the integrity of the cladding. An interaction between fuel components and the stainless steel cladding will degrade the structural strength of the relatively thin wall of the cladding due to reduced thickness, altered composition or resulting permeability. A paper entitled "Chemical Interaction of Metallic Fuel with Austenitic and Ferritic Stainless Cladding", by G. L. Hofman et aI., Argonne National Laboratory, Tucson Conference, September (1986), discussed interdiffusion phenomena between metallic fuel comprising U-Pu-Zr and components of ferritic stainless steel fuel cladding which could degrade the performance of the fuel composition. These phenomena include formation of strength-reducing diffusion zones within stainless steel, intergranular penetration of fuel ingredients into stainless steel, and formation of eutectic areas having a melting temperature below the operating temperature. Typical liquid metal-cooled nuclear reactors conventionally use stainless steel cladding, preferably those stainless steel alloys marketed under the commercial designations HT9 or D9. Typical compositions for these stainless steel alloys are given in Table 1. TABLE 1 ______________________________________ Ingredient (wt. %) HT9 D9 ______________________________________ Fe 84.8 65.7 Cr 12.0 14.5 Ni 0.6 14.5 Mo 1.0 2.0 Mn 0.5 2.0 W 0.4 -- V 0.3 -- Si 0.2 1.0 Ti -- 0.3 C 0.2 0.03 N 0.004 0.005 O 0.006 0.006 ______________________________________ Low-melting-point metal alloys form at the interface between U-Zr or U-Pu-Zr metal alloy fuels and stainless steel cladding such as HT9 and D9 alloys. These low-melting-point alloys can seriously degrade cladding performance during high-temperature reactor transient events. Cladding materials are selected for their resistance to interaction with U-Zr or U-Pu-Zr metal alloy fuels. Nevertheless, diffusion couple studies and irradiation evaluations have demonstrated that diffusion of plutonium, uranium and fission products to the cladding material occurs without a corresponding diffusion of enough zirconium to maintain the desired high-melting-point alloy composition at the interface of the fuel and the cladding. Irradiation studies demonstrated that contact between HT9 cladding and 71 wt. % U--19 wt. % Pu--10 wt. % Zr metal alloy fuel resulted in a 7 to 10-.mu.m-deep reaction zone in the steel alloy at 2.9 a/o burnup, which zone was enriched in plutonium and the radiation product cesium. Both plutonium and cesium form low-melting-point alloys with stainless steel alloy constituents as illustrated in Table 2. TABLE 2 ______________________________________ Melting Point Composition Alloy (.degree.C.) (wt. %) ______________________________________ Pu--Fe 410 2.5 Fe Pu--Ni 475 4 Ni Ce--Ni 477 8 Ni Ce--Fe 592 4 Fe Pu--U 610 12 U Pu--Mo 613 0.5 Mo Pu--Cr 615 0.5 Cr Pu--Ce 625 12 Ce Pu 640 -- Pu--Zr >640 * U--Fe 725 11 Fe U--Ni 740 11 Ni Zr--Ce 750 3 Zr U--Cr 860 5 Cr U--26Pu--2Zr 913 -- Zr--Fe 934 16 Fe Zr--Fe 961 17 Ni U 1134 -- U--Zr >1134 * Zr--Cr 1300 18 Cr ______________________________________ * Form solid solutions, Pu and U have lowest melting points in the system The nonuniform melting conditions of metal alloy fuels in reactor service and the potential effect is a subject of an article entitled "Post-Irradiation Examination of U-Pu-Zr Fuel Elements Irradiated in EBR-11 to 4.5 Atomic Percent Burnup" by W. F. Murphy et al., Argonne National Laboratory, ANL-7602, November (1969). This article additionally discusses the extensive physical changes which occur in metal alloy fuel during fission, such as extensive deformation. Such deformation includes expansion or swelling of up to about 30% by volume due to thermal effects and internal generation of fission-produced gases. The potential for cladding failure is discussed in an article entitled "Metallic Fuel Cladding Eutectic Formation During Post-Irradiation Heating" by B. R. Seidel, Argonne National Laboratory, Trans. Ans. 34, June (1980), pp. 210 and 211. A method of inhibiting an interaction between a metal alloy fissionable duel for a nuclear reactor and a stainless steel cladding for the fuel was disclosed in U.S. Pat. No. 4,971,753, which patent is assigned to the assigned of the present application. In accordance with that teaching, a fuel element having a body of alloyed uranium metal fuel housed within stainless steel cladding is provided with an expendable source of alloying metal which raises the melting temperature of the metallic fuel. In particular, a zirconium barrier is placed between the alloyed uranium metal fuel body and the stainless steel cladding. This barrier protects the stainless steel cladding from the deleterious effects of low-melting-point alloys resulting from irradiation by increasing the melting point of such alloys the availability of zirconium to raise the melting point of alloys formed at the fuel/cladding interface. U.S. Pat. No. 4,971,753 states that the zirconium barrier can be installed in the fuel element in the form of a layer of zirconium formed on the outer peripheral surface of the fuel body or on the inner peripheral surface of the cladding; or in the form of a hollow cylinder, e.g., rolled zirconium metal foil or a rigid tubular section, of zirconium inserted in the space intermediate the fuel body and cladding. The amount of barrier material between the metal fuel and the stainless steel cladding necessary to raise the interface alloy temperature to an acceptable level is in the range of 0.002 to 0.004 inch. Traditional techniques of providing a thin, high-integrity barrier material are unacceptable from the standpoint of fabrication and inspection difficulties as well as cost. The required barrier can be provided by thin-wall tubing positioned between the metal fuel and cladding Tubing with a 0.002 to 0.004-inch wall thickness is difficult as well as expensive to fabricate. The inspection to assure the absence in the tube wall of any defects which would provide a path for fuel or blanket alloy and cladding contact is also expensive. Another problem associated with the tubing approach is the possible failure of the tube wall as the fuel swells during irradiation. The chemical vapor deposition technique can be utilized to deposit barrier material on the inside of the cladding. However, the carrier gas is fluorine, which causes stress corrosion in stainless steels. As a result, all halogens are excluded from methods for fabricating stainless steel cladding intended for use in a nuclear reactor. The hot isostatic pressing approach wherein the barrier material is bonded to the stainless steel cladding is unacceptable because the bonding cycle may alter special heat treatments or work hardening of the cladding required to withstand irradiation effects. Although sputtering techniques can be used to deposit barrier material on the inner surface of the cladding, the small diameter and long length of the cladding severely limits use of this approach. All deposition, bonding or sputtering techniques for applying barrier metal to the inside of the cladding are relatively expensive, and inspection and verification of the barrier uniformity are difficult. SUMMARY OF THE INVENTION The present invention improves upon the teachings of U.S. Pat. No. 4,971,753 by providing a simple and cost-effective method for installing a barrier between stainless steel cladding and metal fuel and blanket alloys. In accordance with the method of the invention, a multi-layer, rolled metal foil is laser tack-welded to form a tube which can be inserted in the intermediate space between the fuel and cladding during a nonradioactive assembly step. The laser welding penetration is adjusted so that the foil weld is maintained at a thickness one or more layers less than the number of layers of foil in the barrier, with a minimum of two foil layers. This weld is designed to fail in response to fuel or blanket alloy swelling during irradiation. After weld failure, the overlapping layers slip and the multi-layer foil unrolls as the fuel swells, providing a continuous, unbroken barrier between the fuel or blanket alloy and cladding which masks defects in the barrier from welding or weld failure. The slippage of the individual layers in the multi-foil barrier also masks any undetected fabrication defects in the foil. |
claims | 1. An elongated nuclear fuel assembly including:a top nozzle;a bottom nozzle;a plurality of fuel rods respectively situated between below the top nozzle and above the bottom nozzle; andan axis along an elongated dimension extending from the top nozzle to the bottom nozzle; andwherein the bottom nozzle comprises:a multi-sided face having sides extending in the axial direction and around the circumference of the bottom nozzle,a circular, tubular mounting at a lower end of the bottom nozzle, anda transition region between the multi-sided face and the bottom nozzle, wherein the transition region has a frustoconical shape having a plurality of flat taper regions formed therein, each flat taper region corresponding to one side of the multi-sided face such that each flat taper region directly adjoins its corresponding side of the multi-sided face and edges of each flat taper region are aligned with edges of its corresponding side of the multi-sided face where each flat taper region adjoins its corresponding side of the multi-sided face,wherein, outside of the flat taper regions, the transition region linearly transitions in radius from a radius of the multi-sided face to a radius of the circular, tubular mounting,wherein the flat taper regions extend approximately half of a height of the transition region,wherein each of the flat taper regions extends at an angle between 5-15 degrees relative to its corresponding side of the multi-sided face. 2. The nuclear fuel assembly of claim 1 wherein the multi-sided face has more than four sides. 3. The nuclear fuel assembly of claim 2 wherein the bottom nozzle has a hex face. 4. The nuclear fuel assembly of claim 1 wherein the sides of the multi-sided face are substantially parallel to the axis of the fuel assembly. 5. The nuclear fuel assembly of claim 1 wherein each of the flat taper regions extends at an angle between 8-12 degrees relative to its corresponding side of the multi-sided face. 6. The nuclear fuel assembly of claim 1 wherein each of the flat taper regions extends from its corresponding side of the multi-sided face. |
|
054992761 | description | DESCRIPTION OF THE PREFERRED EMBODIMENTS FIG. 2 is an illustration showing an example of a fast breeder reactor for carrying out a method according to the invention. The reactor core structure adopts a homogeneous 2-region core wherein outer reactor cores 12 have higher enrichment of plutonium (Pu) than inner reactor cores 10 for flattening power distribution. Axial blankets 14 are disposed on the top and bottom of the reactor core and a radial blanket 16 is disposed on the outer peripheral surface of the core. Minor actinide nuclides are extracted by using the above-mentioned known technique. To prevent rare earth elements adversely affecting reactor core characteristics from mixing with reactor core fuel, in the invention, neptunium separated and extracted by the Purex process is added to the reactor core fuel and americium, curium, and rare earth elements extracted by the Truex process are added to the axial blankets and/or radial blankets. FIG. 2A shows a structure wherein neptunium is added to all of the reactor core fuel, while americium, curium, and rare earth elements are added to the axial blankets 14. FIG. 2B is a structure wherein neptunium is added to all of the reactor core fuel, while americium, curium, and rare earth elements are added to the radial blanket 16. FIG. 2C is a structure wherein neptunium is added to all of the reactor core fuel, while americium, curium, and rare earth elements are added to the axial blankets 14 and the radial blanket 16. A burner reactor intended only for burning plutonium has no blankets; instead it comprises shields located axially and radially. In this case, neptunium may be added to the reactor core fuel and americium, curium, and rare earth elements may be added to either or both of the axial and radial shields, as in the fast breeder reactor described above. In the invention, if neptunium is added to the reactor core fuel in an amount of about 2%-5% by weight,based on the weight of the fuel and rare earth elements are added to the blankets or the shields in an amount of about 50% by weight,based on the fuel weight, the operation characteristics become substantially equal to those of a fast reactor core with normal mixed oxide (MOX) fuel not containing minor actinide nuclides or rare earth elements. By the way, americium and curium are nuclides having a large neutron generation amount, gamma dose, and heat generation amount; their manufacturing requires a cell surrounded by thick shields. In contrast, neptunium has a very small neutron generation amount, gamma dose, and heat generation amount; manufacturing of neptunium does not require any large-scaled shields, and a glove box is sufficient for the purpose. Therefore, according to the invention, reactor core fuel with severe manufacturing specifications can be manufactured in a glove box and a rise in costs can be suppressed. Curium-244 occupying most of the neutron generation amount has a comparatively short half-life of 18.1 years. Thus, curium-244 may be made to decay by storage in order to reduce the manufacturing load before it is loaded into the reactor core. Table 1 lists reactor core characteristics of a 1000-MWE class fast breeder reactor using oxide fuel. Case 1 is an example in which neptunium, americium, curium, and rare earth elements are all added to the reactor core fuel. In contrast, Cases 2 to 4 in the invention are examples where neptunium is added to the reactor core fuel, while americium, curium, and rare earth elements are added only to the axial blankets, only to the radial blanket, and to both the axial and radial blankets, respectively. TABLE 1 __________________________________________________________________________ PARAM- ETER CASE 1 CASE 2 CASE 3 CASE 4 __________________________________________________________________________ ADDITION Np: 5% Np: 5% Np: 5% Np: 5% TO REAC- Am,Cm: 0.7% TOR CORE RARE EARTH FUEL ELEMENTS: 20% ADDITION NONE Am,Cm: 0.7% NONE Am,Cm: 0.7% TO AXIAL RARE EARTH RARE EARTH BLANKETS ELEMENTS: ELEMENTS: 20% 20% ADDITION NONE NONE Am,Cm: 0.7% Am,Cm: 0.7% TO RADIAL RARE EARTH RARE EARTH BLANKET ELEMENTS: ELEMENTS: 20% 20% BURN UP 4.18 0.82 1.02 0.84 REACTIVETY (%.DELTA.k/kk') MAXIMUM 390 360 380 360 LINEAR HEAT RATE (W/cm) BREEDING 0.97 1.22 1.22 1.23 RATIO MA INCIN- 104 104 104 105 ERATION AMOUNT (kg/cycle) __________________________________________________________________________ In Case 1 in which 5 wt. % of neptunium, 0.7 wt. % of americium and curium, and 20 wt. % of rare earth elements are added to the reactor core fuel, the burn up reactivity becomes 4.2% .DELTA.k/kk', which drastically exceeds the limit value 3% .DELTA.k/kk', which is the guide for control rod design, making it difficult to control the operation, and introducing a safety problem. Also, the breeding ratio drastically lowers to 0.97 and necessary performance as a fast breeding reactor cannot be demonstrated. In contrast, although the minor actinide nuclides are added in the same amount, if they are added to the reactor core fuel and blankets separately in response to the type of minor actinide nuclide as in the invention (Cases 2-4), the burn up reactivity becomes about 1% .DELTA.k/kk' , which sufficiently falls below the limit value 3% .DELTA.k/kk'. Also, the breeding ratio can be kept at 1.2 or more and necessary performance as a fast breeding reactor can be demonstrated. As described above, the invention is characterized by the fact that neptunium is added to the reactor core fuel, and that americium (and curium) and rare earth elements are added to the blankets or shields. Therefore, minor actinide nuclides with long half-lives extracted from the spent fuel can be burnt until they incinerate without the load of separating minor actinide nuclides and rare earth elements. |
description | 1. Field of the Invention The present invention pertains to a system for irradiating patients with charged particles and to a method for monitoring the system. 2. Description of the Related Art Irradiation systems that irradiate using charged particles offer considerable advantages over conventional irradiation systems which work with x-rays or photon beams. These advantages include the greater accuracy with which the administered dose can be targeted and the decrease in the severity of the side effects on healthy tissue. Conventional photon beams penetrate the body, but they are also absorbed during their interaction with the molecules of the body and thus undergo a continuous loss of intensity. The maximum dose is present just under the skin, as can be seen from dose-distribution curve A in FIG. 1. This effect is based on the “recruiting” of stray radiation, which occurs after the beam has reached a point just under the skin. As the beam proceeds onward toward the tumor area Z, the radiation dose then decreases in accordance with an exponential curve. A deep tumor thus receives less of the dose than the healthy tissue located in the path of the beam in front of the tumor, and even the organs situated behind the tumor still receive a considerable dose of radiation. In contrast, charged particles such as protons and heavy ions lose relatively little energy at first, i.e., just after entering the body, but then they are decelerated by repeated interactions with matter (see FIG. 2). The slower the particles become, the more energy they give off and the more they are decelerated. This leads to an “energy explosion” at the end of the particle path, the so-called “Bragg peak” (dose-distribution curve B in FIG. 2). The dose of charged particles in front of the tumor is much smaller than that delivered by irradiation with photons, and the greater part of the dose is thus concentrated in the tumor. In the case of protons, the patient actually remains free of the radiation behind the tumor. Through proper control of the generated particle velocity in coordination with the scanning method, this physical phenomenon makes it possible to deliver the dose into the tumor three-dimensionally. The Bragg peak is so sharp that it must be moved not only laterally over the tumor but also in the depthwise direction through variation of the particle velocity, as can be seen in FIG. 3, which shows a Bragg plateau C. A preferred scanning method is the so-called “raster scanning method”, in which the Bragg peak of the beam of charged particles travels across the tumor under computer control with millimeter accuracy in a three-dimensional grid preestablished by various diagnostic and irradiation planning procedures. According to this method, the beam, which typically has a diameter of 10 mm FWHM (full width at half maximum), is aimed at the individual raster points one after the other, each point thus being exposed typically for 60-90 seconds to the specifically selected dose in each irradiation session. A patient treatment takes place over the course of several of these irradiation sessions on successive days. Especially in cases of tumors located close to healthy structures vital to life and of very deep tumors, which in many cases cannot be treated with conventional photon beam therapy at all because of the unavoidable, undesirable damage to healthy tissue or which in other cases cannot be treated with conventional photon beam therapy except at very high risk, irradiation with charged particles represents a significant advance in the area of cancer treatment. When charged particles are used to irradiate patients according to the raster scanning method, the sharp, concentrated dose distribution of the pencil beam explained above and the associated accurately targeted three-dimensional irradiation also impose additional requirements on the accuracy which must be maintained. For this reason, systems for irradiating patients with charged particles comprise a plurality of safety devices for checking the therapeutic planning data and the functionality of the system, so that incorrectly calculated therapy planning data and data transmission errors between the individual components of the system can be minimized. Thus even isolated data corruption (random errors), which can also have highly disadvantageous effects on the results of the irradiation treatment, can be excluded even more effectively. It is an object of the present invention to provide a system for irradiating patients with charged particles which creates an additional and even more efficient redundancy with respect to safety measures, namely, a redundancy which makes it possible for the clinical user to obtain transparent access to the monitored data and by means of which, in addition, the risk of isolated corruption of the data on which the treatment is based can be minimized immediately prior to the irradiation, and to provide a corresponding method for monitoring the system for irradiating patients with charged particles. According to an aspect of the invention, the system for irradiating patients with charged particles comprises a raster scanning irradiation unit, which comprises a particle accelerator, a beam guide unit, and a 3D scanning system, wherein the 3D scanning system comprises an energy variation unit for setting the energy of the particle beam and thus the penetration depth of the beam into the patient in the beam direction and a deflecting unit with several deflecting magnets for the two-dimensional deflection of the beam between individual raster points in each layer of predefined penetration depth in the patient—layers which are defined by the energy variation unit and which are situated transversely to the beam direction. In addition, the system comprises a therapy planning system for generating therapy planning data, which comprise the energy and number of charged particles for each raster point in each layer as derived from the desired dose distribution, and a therapy control system, which converts the therapy planning data generated by the therapy planning system into irradiation data and irradiation commands for the particle accelerator, the beam guide unit, and the 3D scanning system. Finally, the system comprises a plurality of safety devices for ensuring that the therapy planning data have been converted correctly and for verifying the functionality of the system, these devices comprising an evaluation unit, which checks the irradiation data and the irradiation commands supplied by the therapy control system to the 3D scanning system to verify their therapy-specific plausibility. As a result, an additional safety component is instrumentalized, which can recognize isolated data corruption in the irradiation data and irradiation commands immediately prior to the irradiation and thus increase the safety of the system even more. In particular, the clinically determined relationships are used to conduct a rapid and efficient plausibility check and thus to increase the safety of the treatment. The corresponding method for monitoring a system for irradiating patients with charged particles comprises the following steps: providing a raster-scanning irradiation unit, which comprises a particle accelerator, a beam guide unit, and a 3D scanning system, wherein the 3D scanning system comprises an energy variation unit for setting the energy of the particle beam and thus the penetration depth of the beam into the patient in the beam direction, and a deflecting unit with several deflecting magnets for the two-dimensional deflection of the beam between individual raster points in each of the layers of predetermined penetration depth in the patient, which layers are defined by the energy variation unit and are oriented transversely to the beam direction; generating therapy planning data in a therapy planning system, wherein the therapy planning data comprise the energy and number of charged particles for each raster point in each layer as derived from the desired dose distribution; converting the therapy planning data generated by the therapy planning system into irradiation data and irradiation commands for the particle accelerator, the beam guide unit, and the 3D scanning system by means of a therapy control system for irradiating patients; and checking the therapy planning data to ensure that they have been converted correctly and to verify the functionality of the system by means of a plurality of safety devices. Here the checking of the correct conversion of the therapy planning data and the verification of the functionality of the system comprise the checking of the irradiation data and irradiation commands supplied by the therapy control system to the 3D scanning system by means of an evaluation unit to verify their therapy-specific plausibility. The evaluation unit is preferably located either in a scanning control module, which forms a part of the 3D scanning system and is suitable for receiving the irradiation data and irradiation commands supplied by the therapy control system, or between the therapy control system and the scanning control module. The evaluation unit examines a data file containing the irradiation data and irradiation commands generated by the therapy control system to verify their therapy-specific plausibility and generates a message concerning the result of this examination. Because the evaluation unit is installed immediately upstream of the actual particle application, it is possible to run a direct and reliable final check of the irradiation data and the irradiation commands supplied to the 3D scanning system to verify their therapy-specific plausibility. In a first examination method, the evaluation unit checks the data file containing the irradiation data and the irradiation commands generated by the therapy control system to verify their therapy-specific plausibility with respect to allowed energy ranges of the charged particles. These energy ranges are either established in advance or determined by the therapy planning data. As a result, unallowed or prohibited energy ranges for the application are excluded. The raster scanning irradiation unit is preferably equipped with a rotatable gantry, and the evaluation unit checks the data file containing the irradiation data and the irradiation commands generated by the therapy control system to verify their therapy-specific plausibility with respect to allowed gantry angles for the administration of the charged particles. Thus, it is possible in a simple way to prevent the gantry from being set to an incorrect angle. The allowed gantry angles can be defined both by user-specific input values and by a range preestablished by therapy planning. In another examination stage, the evaluation unit checks the file containing the irradiation data and the irradiation commands generated by the therapy control system to verify their therapy-specific plausibility with respect to the number of charged particles to be administered per raster point. The number of charged particles per raster point can be compared with a preestablished maximum limit value, which may not be exceeded. The average number of charged particles per raster point can also be compared with conventional values. In this way, it is possible during the final check to exclude an overdose at individual raster points. The course of the irradiation process always takes place in a predetermined sequence, which is preestablished on the basis of logical ordering principles. The evaluation unit preferably also examines the file containing the irradiation data and the irradiation commands generated by the therapy control system to verify their therapy-specific plausibility with respect to these predetermined logical ordering principles. These principles include the strictly decreasing monotonicity of the energy values of the charged particles to be administered between the individual irradiation layers, which monotonicity corresponds to the decreasing penetration depth between the individual layers to be traversed during the scanning process. The strictly decreasing monotonicity of the energy values of the charged particles to be administered can be checked by the evaluation unit. By means of an examination of this type, it is possible to exclude errors in the penetration depth of the particle beam for each layer. Also falling into the category is the checking, by the evaluation unit, of the sequence and arrangement of the raster points for each layer to be scanned during the scanning process. The evaluation unit derives the x values and the y values for all the raster points of a layer of predetermined penetration depth which are to be hit by the treatment beam, determines from them the progression from one raster point to another in the sequence of administration (or, in other words, the course according to which the individual raster points within the two-dimensional arrangement are targeted one after the other) and checks this progression against predetermined criteria. Such criteria are, for example, the presence of raster points which do not lie on the predetermined raster, overly large jumps in the x direction or y direction, etc. By means of this checking process, individual outliers can be easily detected and excluded. The evaluation unit derives the x values and y values for all of the raster points preferably from the magnet currents supplied to the deflecting magnets of the deflecting unit. Another form of checking, according to which all of the irradiation data and irradiation commands supplied by the therapy control system to the 3D scanning system for an irradiation session are stored in the evaluation unit and, on the next day, are compared with the entire set of new irradiation data and irradiation commands supplied by the therapy control system to the 3D scanning system for the next irradiation session, is especially preferred. Because therapy plans usually provide completely identical irradiation plans for all the sessions, it is possible in this way to determine very easily the deviations which can occur primarily as a result of changes in external influences such as temperature, air pressure, configuration parameters of the system, etc. The checking methods described above offer the particular advantage that they do not require large amounts of computing work or time and therefore make it possible to check immediately the irradiation data and the irradiation commands supplied by the therapy control system to the 3D scanning system. In a more highly elaborated application, the evaluation unit can back-calculate a raster-dose distribution from the number and energy of the charged particles to be applied to each raster point and to check this distribution against preestablished criteria to verify their therapy-specific plausibility. The computing effort associated with this is considerable, however, but in this way it is possible to determine very accurately the presence of any holes or islands in the dose distribution over the three-dimensional tumor and then to exclude them. Other objects and features of the present invention will become apparent from the following detailed description considered in conjunction with the accompanying drawings. It is to be understood, however, that the drawings are designed solely for purposes of illustration and not as a definition of the limits of the invention, for which reference should be made to the appended claims. It should be further understood that the drawings are not necessarily drawn to scale and that, unless otherwise indicated, they are merely intended to conceptually illustrate the structures and procedures described herein. To achieve the uniform irradiation profile of tumor tissue in the human body shown in FIG. 3 or a profile which can be adapted as desired, the system for irradiating patients with charged particles according to the invention comprises a raster scanning irradiation unit 1, which is illustrated schematically in FIG. 4. The raster scanning irradiation unit 1 comprises a particle accelerator 2 for charged particles. Protons or heavy ions, for example, can be used as the charged particles for the irradiation of tumors. The raster scanning irradiation unit 1 also comprises a beam guide unit 4, which consists of several beam guide magnets 6 and usually straight beam guide sections 8 arranged between the magnets. One of the most important requirements when irradiating patients with charged particles is that the beam be guided with extreme precision. The particle beam is conducted by the beam guide unit 4 into a treatment room, in which, in the present example, a gantry 10 is arranged, which can be rotated 360°. The 3D scanning system 12 serves to direct the beam accurately onto the tumor tissue and comprises various elements, to be described in greater detail below with reference to FIG. 5, for the precise control of the particle beam. In the example illustrated in FIG. 5, the deflecting unit 16 of the 3D scanning system 12 is connected to the ring of the gantry 10 and can be rotated together with it into any desired position, from which the patient is then irradiated. As a result, it is possible to irradiate from various directions for various applications. The invention is also applicable to stationary irradiation machines not designed as a gantry 10. With the inventive system, the patients are preferably irradiated in a raster scanning process, for which purpose the tumor tissue is divided into uniformly spaced raster points 62 (see FIG. 11b), to which the dose is administered, the points being arranged in a three-dimensional raster. So that the tumor can be scanned accurately with the treatment beam, i.e., so that the particle beam can be directed accurately onto each of the individual 3D raster points 62 in the target volume, the 3D scanning system 12 (see FIG. 5) has, first, an energy variation unit 14 for setting the energy of the particle beam and thus the penetration depth of the beam into the patient in the beam direction. In the present example, the energy variation unit is designed as a degrader wedge, which in a specific case can be pushed a certain distance into the path of the beam, where it will thus absorb a certain amount of the energy of the particle beam. In this way, the energy of the particle beam and thus the penetration depth of the particle beam in the beam direction into the body can be determined with an accuracy on the sub-millimeter scale. In addition to the degrader wedge, it is also possible to use other types of energy variation units 14 such as range shifter plates. The deflecting unit 16 aims the particle beam at various selected raster points within each layer 22 of predetermined penetration depth in the patient; the layer in question is defined by the energy variation unit 14 and is oriented transversely to the beam direction. The deflecting unit 16 comprises, for example, a double-pole deflecting magnet 18 for deflecting the particle beam in the x direction and a double-pole deflecting magnet 20 for deflecting the particle beam in the y direction. Other arrangements are also conceivable. The deflecting unit 16 thus causes the particle beam to travel along a meandering path in each layer 22 of predetermined penetration depth, wherein the particle beam is always turned off in the sections of the path located between two adjacent raster points 62. To ensure the administration of a precise dose, furthermore, the beam is directed onto the tumor only after the process of adjusting the settings of the deflecting magnets 18, 20 has been completed. The dose or the number of charged particles to be administered to one raster point 62 can differ considerably from that administered to the adjacent point, depending on the shape of the tumor. A highly complex system which establishes the individual irradiation parameters down to the smallest detail and monitors the functionality of the system is provided for therapy planning and for ensuring precise irradiation. The electronic components of a system according to the invention which participate in the calculation and transmission of the irradiation data and the irradiation commands are illustrated in FIG. 6. The therapy planning system 24 comprises a therapy planning stage 26 for dose calculation and optimization. To this stage flow all the results of the previously completed medical evaluation, the indication, the contouring of the target volume, and the therapy concept as well as the associated CT data. On that basis, the therapy planning stage 26 calculates first the desired dose distribution {x, y, z dose} under consideration of the parameters established by the physician in charge. Then, under consideration of the functional data of the overall system, a therapy plan 28 is generated, which establishes the energy and number of charged particles for each raster point 62 in each layer 22 by calculations based on the desired dose distribution. Thus, a data set of the type {x, y, particle energy, number of particles} is assigned to each raster point 62 in the so-called “spot space”. These data of the therapy plan 28 are transmitted to a therapy data memory 36, which also contains all the patient data 30 and the CT data 32 for the purpose of, for example, patient position verification. In addition, safety devices 34, which check the therapy plan in detail, are provided even at this early point. The therapy planning system 24 then supplies the therapy control system 42 with all of the therapy planning data 40 from, for example, the therapy data memory 36. Configuration data 38 of the system are also taken into account, including specific machine settings and configurations. The therapy control system 42 converts the therapy planning data 40 generated by the therapy planning system 24 into irradiation commands 44 for the particle accelerator 2 and the beam guide unit 4. All of the machine-relevant data are also checked and monitored continuously in highly engineered safety devices 48. This extends from the monitoring of the doors and the monitoring of the beam to the checking of the sensors (not shown) which check the treatment beam during the irradiation process and which thus represent in themselves yet another safety device. The therapy control system 42, furthermore, converts the therapy planning data 40 generated by the therapy planning system 24 into irradiation data and irradiation commands 52 for the 3D scanning system 12. A scanning control module 60 suitable for receiving the irradiation data and irradiation commands 52 transmitted by the therapy control system and for taking over the job of aiming the components of the 3D scanning system 12 on the basis of those data and commands is preferably incorporated into the 3D scanning system. According to the invention, an evaluation unit 56, which checks the irradiation data and irradiation commands 52 supplied by the therapy control system 42 to the 3D scanning system 12 before they are actually processed or implemented to verify their therapy-specific plausibility, is installed between the therapy control system 42 and the scanning control module 60 or in the scanning control module 60 itself. This means that the transmitted irradiation data and irradiation commands 52 are examined in an least one respect but preferably with respect to several therapy-specific parameters which ensure a simple but comprehensive plausibility check of the irradiation data and irradiation commands 52 from a clinical standpoint for the specific case in question. This point will be discussed again in greater detail below with reference to FIGS. 7-11. The evaluation unit 56 is preferably suitable for generating a message 58 concerning the result of the examination, i.e., a message which can serve as the basis for interrupting the treatment or for implementing other adaptive measures. The irradiation data and irradiation commands 52 transmitted by the therapy control system 42 to the scanning control module 60 are usually contained in a single data file, the content of which is reproduced by way of example in FIG. 7. For example, the irradiation data and irradiation commands 52 can contain the magnet current in the x direction, the magnet current in the y direction, the number of charged particles per raster point 62, and the energy of the particle beam. They can also contain, for example, monitoring limits for the detectors of the 3D scanning system 12 and many other irradiation-specific and irradiation-relevant parameters. As illustrated in FIG. 8, the evaluation unit 56 now checks, for example, the required energy of the particle beam to make sure that its values are within the range permitted for the specific treatment. Particle energies which are too high or too low can therefore be detected at all times. In a similar manner, the gantry angle (see FIG. 9) can be examined to determine its therapy-specific plausibility for the administration of the charged particles in the specific application. It is also helpful to evaluate the therapy-specific plausibility of the irradiation data and the irradiation commands 52 with respect to the number of charged particles to be administered per raster point 62, as shown in FIG. 10. Here, too, certain upper and lower limits can be set, which the clinical user can easily use to verify that the values in question do not exceed or fall below them. FIG. 11a shows the jumps in the magnet currents in the x and y directions versus their frequency of occurrence. This type of graph clearly shows the raster settings of the desired irradiation and makes it especially easy to detect deviations, e.g., magnet current jumps located between the desired raster settings of the magnet current or wide outliers. Another way in which the irradiation data and irradiation commands 52 can be checked is to verify their therapy-specific plausibility with respect to predetermined logical ordering principles. An example of this is to check the energy values of the charged particles to be administered to verify their strictly decreasing monotonicity and thus to verify the continuously decreasing penetration depth between the individual layers 22 to be traversed during the scanning process. In an especially preferred embodiment, the evaluation unit 56 can also check the sequence and arrangement of the raster points 62 in each layer 22 to be scanned during the scanning process (see FIG. 11b). The evaluation unit 56 preferably derives the x values and y values for all raster points 62 of one layer 22 of a predetermined penetration depth which are to be hit by the treatment beam. On this basis, the progression from one raster point 62 to another in the sequence of administration within the two-dimensional arrangement of each layer 22 is determined and this progression is checked against predetermined criteria such as the presence of “island points” or deviations in the systematic irradiation pattern. The evaluation unit 56 derives the x values and the y values for all raster points 62 preferably from the magnet currents of the deflecting magnets 18, 20 of the deflecting unit 16. In a more highly elaborated embodiment, the evaluation unit 56 calculates a raster-dose distribution from the number and energy of the charged particles to be administered to each raster point 62 and checks this against predetermined criteria to verify its therapy-specific plausibility. This approach requires a very great deal of computing power. Another form of checking, according to which all of the irradiation data and irradiation commands 52 supplied by the therapy control system 42 to the 3D scanning system 12 for an irradiation session are stored in the evaluation unit 56 and compared on the next day with the entire set of new irradiation data and irradiation commands 52 supplied by the therapy control system 42 to the 3D scanning system 12 for the next irradiation session, is especially preferred. Because therapy plans usually provide completely identical irradiation plans for all sessions, it is especially easy in this way to determine the deviations which can occur primarily as a result of changes in external influences such as temperature, air pressure, configuration parameters of the system, etc. In all of these variants, the final evaluation of the data automatically acquired by the evaluation unit 56 can be carried out manually by the clinical user or automatically by a computer. When at least one, preferably, however, several of the above-mentioned checking methods are used, it is possible to instrumentalize not only the already existing efficient safety devices 34, 48 (including the sensors for the treatment beam), but also another function, which checks the irradiation data and irradiation commands 52 supplied by the therapy control system 42 to the 3D scanning system 12 to verify their therapy-specific plausibility for each specific application and thus almost completely excludes even any randomly occurring errors in the irradiation data and irradiation commands 52 under consideration of their clinical relevance. Thus, while there have shown and described and pointed out fundamental novel features of the invention as applied to a preferred embodiment thereof, it will be understood that various omissions and substitutions and changes in the form and details of the devices illustrated, and in their operation, may be made by those skilled in the art without departing from the spirit of the invention. For example, it is expressly intended that all combinations of those elements and/or method steps which perform substantially the same function in substantially the same way to achieve the same results are within the scope of the invention. Moreover, it should be recognized that structures and/or elements and/or method steps shown and/or described in connection with any disclosed form or embodiment of the invention may be incorporated in any other disclosed or described or suggested form or embodiment as a general matter of design choice. It is the intention, therefore, to be limited only as indicated by the scope of the claims appended hereto. |
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.