patent_number
stringlengths
0
9
section
stringclasses
4 values
raw_text
stringlengths
0
954k
abstract
A fast reactor having a reflector control system is provided which decreases the change in reactivity of the reactor core with time without controlling a reflector lifting speed and a water flow rate. The fast reactor has a neutron reflector provided outside the reactor core and which is moved in a vertical direction for adjusting neutron leakage to control the reactivity of the reactor core. The neutron reflector is moved in an upward direction with the change in reactivity caused by fuel burn-up. At least a part of a lower region of the neutron reflector may be a region having a high neutron reflection ability as compared to that of the other region. The high reflection region is located at the bottom of the neutron reflector and extends from between one fourth and one half of the height of the neutron reflector.
060411015
abstract
A collimator apparatus for a treatment planning machine that delivers a beam. A circular collimator defines a circular aperture for the beam. At least one movable collimator jaw is moved into the projection of the circular aperture with respect to the beam in order to eclipse a portion of the beam.
056595905
claims
1. In a pressure vessel of a nuclear reactor containing a core assembly enclosed within a core shroud, the core shroud spaced radially inwardly of a side wall of the pressure vessel with an annular pump deck located in an annular radial space between the core shroud and the side wall of the pressure vessel, the improvement wherein the shroud is removably secured to an annular support leg extending upwardly from the bottom of the pressure vessel, wherein a radially inwardly directed flange ring is provided on the lower end of the shroud, said flange ring provided with a plurality of annularly spaced holes for receiving a corresponding number of fasteners used to secure the shroud to said annular support leg, and further wherein said pump deck is sandwiched between said flange ring and said annular support leg at its radially inner end and is supported within a radially inwardly facing groove in said side wall of the pressure vessel about most of its radially outer end. 2. In a pressure vessel of a nuclear reactor containing a core assembly enclosed within a core shroud, the core shroud spaced radially inwardly of a side wall of the pressure vessel with an annular pump deck located in an annular radial space between the core shroud and the side wall of the pressure vessel, the improvement wherein the shroud is removably secured to an annular support leg extending upwardly from the bottom of the pressure vessel, and further wherein a plurality of wedge supports are fixed to said pump deck, with at least a corresponding plurality of wedge elements functionally engaged between said wedge supports and said core shroud. 3. The improvement of claim 2 wherein two wedge elements are provided for each wedge support.
053902203
claims
1. In a boiling water reactor fuel bundle which includes a lower tie plate assembly having a fuel rod supporting tie plate grid and an inlet nozzle with an open flow volume defined therebetween, a debris catching grid construction for placement within the open flow volume comprising: at least a first layer of helical springs, said helical springs being placed in side-by-side parallel relation; at least a second layer of helical springs, said helical springs being placed in side-by-side parallel relation at an angle with respect to said first layer of helical springs so as to intersect at a first plurality of crossing points, said first and second layers of helical springs forming a filter mass within said open flow volume. wherein means are provided for fastening said first and second layers together at said first plurality of crossing points. at least a third layer of helical springs, said springs being placed in side-by-side parallel relation at an angle with respect to said second layer of helical springs and arranged to intersect said second layer at a second plurality of crossing points; at least a fourth layer of helical springs, said springs being placed in side-by-side parallel relation at an angle with respect to said third layer of helical springs so as to intersect said third layer at a third plurality of crossing points. whereas means are provided for fastening said respective helical springs of said layers one to another at said first, second and third pluralities of crossing points whereby said first, second, third and fourth layers become a unitary filter mass. a lower tie plate assembly including a fuel rod supporting grid, an inlet nozzle, and sidewall extending between said nozzle to the edges of said grid to define therebetween an open flow volume interior of said tie plate; a plurality of upstanding fuel rods, said fuel rods supported on said rod supporting grid and extending in upstanding vertical side-by-side relation; an upper tie plate for supporting at least some of fuel rods and providing connection to said lower tie plate through at least some of said fuel rods; at least a first layer of helical springs, said springs being placed in side-by-side parallel relation; at least a second layer of helical springs, said springs being placed in side-by-side parallel relation at an angle with respect to said first layer of helical springs so as to intersect at a first plurality of crossing points, said first and second layers of helical springs forming a filter mass within said open flow volume; and means mounting said layers of helical springs in said open flow volume of said lower tie plate. wherein means are provided for fastening said first and second layers together at said first plurality of crossing points. at least a third layer of helical springs, said springs being placed in side-by-side parallel relation at an angle with respect to said second layer of helical springs and arranged to intersect said second layer at a second plurality of crossing points; at least a fourth layer of helical springs, said springs being placed in side-by-side parallel relation at an angle with respect to said third layer of helical springs so as to intersect said third layer at a third plurality of crossing points. whereas means are provided for fastening said respective helical springs of said layers one to another at said first, second and third plurality of crossing points whereby said first, second, third and fourth layers become a unitary filter mass. 2. In a boiling water reactor fuel bundle, a debris catching grid construction according to claim 1 3. In a boiling water reactor fuel bundle, a debris catching grid construction according to claim 1 and further including: 4. In a boiling water reactor fuel bundle, a debris catching grid construction according to claim 3 5. In a boiling water reactor fuel bundle, comprising in combination: 6. In a boiling water reactor fuel bundle, a debris catching grid construction according to claim 5 7. In a boiling water reactor fuel bundle, a debris catching grid construction according to claim 5 and further including: 8. In a boiling water reactor fuel bundle, a debris catching grid construction according to claim 5
summary
abstract
A getter element includes a getter material reactive with a fission product contained within a stream of liquid and/or gas exiting a fuel assembly of a nuclear reactor. At least one transmission pathway passes through the getter element that is sufficiently sized to maintain a flow of the input stream through the getter element at above a selected flow level. At least one transmission pathway includes a reaction surface area sufficient to uptake a pre-identified quantity of the fission product.
055703992
abstract
A control rod and fuel supporting member gripping apparatus for gripping a fuel supporting member and a control rod is disposed in a reactor pressure vessel. The fuel supporting member is mounted on a core supporting plate and has fuel assembly supporting holes in which the bottom portions of fuel assemblies are inserted. The control rod is detachably connected with a control rod driving mechanism through a bayonet coupling and is passed through a control rod passage so as to be lifted up and down in order to remove the fuel supporting member and the control rod from the core supporting plate and the control rod driving mechanism. The control rod and fuel supporting member gripping apparatus comprises a gripping apparatus body hoisted vertically liftably in an installed state within the reactor pressure vessel, a fuel supporting member gripping device disposed at a portion below the gripping apparatus body, a control rod gripping device device disposed at a portion below the gripping apparatus body to be liftable up and down and rotatable with respect to the gripping apparatus body, and a rotating mechanism for rotating the control rod gripping device.. In withdrawing the control rod and fuel supporting member from the reactor pressure vessel, the fuel supporting member is raised to a height above the control rod and is prevented from rotating, the control rod is thereafter rotated, and the fuel supporting member and the control rod are withdrawn from the reactor pressure vessel substantially at the same time.
abstract
A ventilation system for an operating space accessible to operators in a nuclear installation is intended to allow a supply of decontaminated fresh air for a period of a few hours in the event of serious accidents involving the release of radioactive activity. In particular, the component of radioactive inert gases in the fresh air supplied to the operating space should be as small as possible. For this purpose, the ventilation system has a supply air line that is guided from an external inlet to the operating space, and into which a first fan and a first inert gas adsorber column are connected. An exhaust air line is guided from the operating space to an external outlet, and into which a second fan and a second inert gas adsorber column are connected. A switching device is provided for interchanging the roles of the first and second inert gas adsorber columns.
claims
1. Gamma scanning apparatus comprising:a gamma-ray detector;a collimator that limits a measuring range in a fuel assembly and limits entry of gamma rays from the fuel assembly into the gamma-ray detector;an absorber that controls intensity of the gamma rays entering the gamma-ray detector;a shield that shields the gamma-ray detector;a housing that contains the gamma-ray detector, the collimator, the absorber, and the shield;moving and fixing means that moves the housing to a definite position and fixing the housing thereto;rotating and moving means that moves the fuel assembly vertically in addition to rotating the assembly;a gamma-ray counting circuit that measures an output of the gamma-ray detector; anddata collecting/analyzing and controlling apparatus that analyzes data output from the gamma-ray counting circuit, in association with data relating to the rotation and movement of the fuel assembly by the rotating and moving means;wherein:the rotating and moving means, after fixing the vertical position of the fuel assembly with that of the housing, rotates the fuel assembly through 360 degrees with its height kept constant, at a fixed or variable angular velocity;during the 360-degree rotation of the fuel assembly, the gamma-ray counting circuit measures either a time average of any count values which the gamma-ray detector has detected during the rotation of the fuel assembly (i.e., an average counting rate), or an integral value of any counts detected within a fixed time by the detector; andthe data collecting/analyzing and controlling apparatus analyzes the data output from the gamma-ray counting circuit, in association with the data relating to the rotation and movement of the fuel assembly by the rotating and moving means, and thus derives a burn-up distribution and power distribution of the fuel assembly. 2. The gamma scanning apparatus according to claim 1, wherein:the gamma-ray detector is disposed in plurality in a vertical direction and the detectors are each installed at desired measuring height in the vertical direction or at an integral multiple of the desired measuring height. 3. The gamma scanning apparatus according to claim 1, wherein:during the rotation and vertical movement of the fuel assembly, the data collecting/analyzing and controlling apparatus simultaneously collects data on rotational and vertical positions of the fuel assembly existing at particular moving time of day, and data on counting rates of the gamma-ray detector existing at the particular time. 4. The gamma scanning apparatus according to claim 1, wherein:the burn-up or power distribution of the fuel assembly is derived by using an average counting rate with respect to a burn-up index nuclide or power index nuclide or using an integral value of any count values detected within a fixed time. 5. The gamma scanning apparatus according to claim 1, wherein:a germanium semiconductor detector or an LaBr3 (Ce) scintillation detector is used as the gamma-ray detector. 6. The gamma scanning apparatus according to claim 1, further comprising:collimator driving means that drives a collimator, the collimator being disposed in the gamma scanning apparatus, so as to make height of the collimator variable and to render a distance between the fuel assembly and the detector variable;wherein the collimator driving means makes variable a solid angle at which the fuel assembly is viewed from the detector. 7. The gamma scanning apparatus according to claim 1, wherein:the shield includes iron, stainless steel, or any other metallic equivalent, which is placed around the gamma-ray detector in order to shield against characteristic X-rays generated when a heavy metal is irradiated with the gamma rays. 8. Gamma scanning apparatus comprising:a gamma-ray detector;a collimator that limits a measuring range in a fuel assembly and limits entry of gamma rays from the fuel assembly into the gamma-ray detector;an absorber that controls intensity of the gamma rays entering the gamma-ray detector;a shield that shields the gamma-ray detector;a housing that contains the gamma-ray detector, the collimator, the absorber, and the shield;moving and fixing means that moves the housing to a definite position and fixing the housing thereto;rotating and moving means that moves the fuel assembly vertically in addition to rotating the assembly;a gamma-ray counting circuit that measures an output of the gamma-ray detector; anddata collecting/analyzing and controlling apparatus that analyzes data output from the gamma-ray counting circuit, in association with data relating to the rotation and movement of the fuel assembly by the rotating and moving means;wherein:after fixing the housing, the rotating and moving means simultaneously conducts the rotation and vertical movement of the fuel assembly, and during 360-degree rotation, moves the fuel assembly vertically to an appropriate position according to desired measuring height;during the 360-degree rotation, the gamma-ray counting circuit measures either the time average of the count values which have been detected during the rotation and vertical movement of the fuel assembly (i.e., the average counting rate), or the integral value of the counts detected within a fixed time by the detector, and thus derives the burn-up and power distribution of the fuel assembly; andthe data collecting/analyzing and controlling apparatus analyzes the data output from the gamma-ray counting circuit, in association with the data relating to the rotation and movement of the fuel assembly by the rotating and moving means, and thus derives a burn-up distribution and power distribution of the fuel assembly. 9. Gamma scanning apparatus comprising:a gamma-ray detector;a collimator that limits a measuring range in a fuel assembly and limits entry of gamma rays from the fuel assembly into the gamma-ray detector;an absorber that controls intensity of the gamma rays entering the gamma-ray detector;a shield that shields the gamma-ray detector;a housing that contains the gamma-ray detector, the collimator, the absorber, and the shield;moving and fixing means that moves the housing to a definite position and fixing the housing thereto;rotating and moving means that moves the fuel assembly vertically in addition to rotating the assembly;a gamma-ray counting circuit that measures an output of the gamma-ray detector; anddata collecting/analyzing and controlling apparatus that analyzes data output from the gamma-ray counting circuit, in association with data relating to the rotation and movement of the fuel assembly by the rotating and moving means;wherein:after fixing the housing, the rotating and moving means simultaneously conducts the rotation and vertical movement of the fuel assembly, and during 180-degree rotation, moves the fuel assembly vertically to an appropriate position according to desired measuring height;during the 180-degree rotation, the gamma-ray counting circuit measures either a time average of any count values which have been detected during the rotation and vertical movement of the fuel assembly (i.e., an average counting rate), or an integral value of any counts detected within a fixed time by the detector, and thus derives a burn-up and power distribution of the fuel assembly; andthe data collecting/analyzing and controlling apparatus analyzes the data output from the gamma-ray counting circuit, in association with the data relating to the rotation and movement of the fuel assembly by the rotating and moving means, and thus derives a burn-up distribution and power distribution of the fuel assembly.
047599049
claims
1. An assembly for use in a pressure vessel of generally vertically oriented, cylindrical configuration having at lease one fluid outlet at a predetermined elevation and a fluid inlet, and which accommodates liquid therein under pressure and defines an axially upward direction of liquid flow throughout a portion of the height of the vessel, parallel to the vessel axis, and a radial direction of liquid flow at the predetermined elevation through each fluid outlet thereof, the assembly, as mounted within said vessel at the predetermined elevation, receiving the liquid flow in the axial direction and turning same to the radial direction and comprising: first and second parallel plates having corresponding, generally circular perimeters, said first plate being of substantially greater thickness than the thickness of said second plate and affording the main structural support for said assembly within said vessel; a flanged, cylindrical shell joined at its bottom edge to said first plate and at the flange thereof to said vessel for supporting said assembly at the predetermined elevation within said vessel; a skirt of generally cylindrical configuration, substantially rigid in the axial direction and joined at its opposite, open ends to the perimeters of the respective first and second plates and having an opening aligned with each said fluid outlet; plural, axially aligned pairs of first and second mounting holes in said respective first and second plates; plural first hollow tubes disposed in parallel axial relationship within said assembly, each having upper and lower ends disposed contiguous a corresponding pair of aligned, first and second mounting holes of said first and second plates; plural first connecting means and plural second connecting means respectively received in said plural first and second mounting holes of said first and second plates and connecting the corresponding upper and lower ends of said plural tubes to said first and second plates in sealed relationship therewith; said second plate having a plurality of flow holes therein symmetrically disposed about each of said first mounting holes and receiving therethrough the liquid flow within said vessel; said first plate, with said plural first hollow tubes connected at the upper ends thereof to said corresponding first mounting holes by said respective, plural first connecting means, being impervious to the axial liquid flow received within said assembly; and each of said plural second connecting means comprises an annular portion of said second plate, coaxial with the associated mounting hole and uniformly contoured in a radially inward direction to be of decreasing thickness, relative to the thickness of said second plate, and defining the interior sidewall of the mounting hole, and an annular weld joint joining the interior sidewall of the mounting hole to the lower end of the associated hollow tube, for affording axially flexible connections of the lower ends of said first hollow tubes to said second plate for limiting thermal stresses to an acceptable level while providing relatively rigid lateral support for said hollow tubes. each said annular portion is of J-shaped cross-section; and each said annular weld joint is a full penetration weld. a generally cylindrical, hollow connector having an annular collar of an outer diameter corresponding to the inner diameter of the corresponding said first mounting hole in said first plate; and a full penetration weld formed between the sidewall of said first mounting hole of said first plate and said annular collar of the corresponding connector. plural second hollow tubes, each having upper and lower ends, respectively corresponding to and aligned with said plural first hollow tubes and connected at the lower ends thereof to the respectively corresponding first connecting means and extending in parallel axial relationship above the first plate, the second ends thereof being in open communication with the interior of the head assembly; each of said aligned first and second hollow tubes and associated first and second connecting means being adapted to receive a corresponding elongated rod in sliding, coaxial relationship therewithin and defining an annular downcomer flow path between the interior surface thereof and the exterior surface of the rod received therein; at least selected ones of said first connecting means comprising hollow cylindrical connectors received in said first mounting holes of said first plate and having a portion thereof extending axially above said first plate and into said head assembly interior, and having flow holes extending through the sidewalls of said portions within said head assembly interior; and generally cylindrical diverter means mounted coaxially within each of said selected, first connecting means and positioned to surround a corresponding drive rod received therein, for shielding the drive rod from jetting of liquid through the flow holes. each of said diverter means comprises an annular flange and an integrally connected tubular extension; each of said first connecting means has an interior, annular ledge therewithin for receiving and having secured thereto the corresponding annular flange of the associated diverter; and said annular tubular extension of said diverter means extends coaxially within the associated first connecting means in juxtaposed relationship with and beyond said flow holes. each said diverter means further comprises a flow restrictor for restricting flashing of steam through the annular downcomer flow path. lower and inner barrel assemblies, a calandria assembly, and a head assembly defining a head region therein, at successive, higher elevations within the vessel; a coolant inlet for introducing coolant into the vessel; at least one radially disposed coolant outlet nozzle, disposed at a predetermined elevation; said vessel defining an axial, upward direction of coolant flow throughout a portion of the height of the vessel, parallel to the vessel axis, from said lower barrel assembly to said calandria assembly; said calandria assembly being mounted within said vessel at the predetermined elevation for receiving the coolant flow in the axial, upward direction and turning same to a radial direction to exit through each said outlet nozzle and comprising: each said annular portion is of J-shaped cross-section; and each said annular weld joint is a full penetration weld. a generally cylindrical, hollow connector having an annular collar of an outer diameter corresponding to the inner diameter of the corresponding first mounting hole in said upper calandria plate; and a full penetration weld formed between the sidewall of said first mounting hole of said upper calandria plate and said annular collar of the corresponding connector. plural flow shrouds, each having upper and lower ends, respectively corresponding to and aligned with said calandria tubes and connected at the lower ends thereof to the respectively corresponding first connecting means and extending in parallel axial relationship above the upper calandria plate, the second ends thereof being in open communication with the interior of the head assembly; each of said aligned calandria tube, flow shroud, and associated first and second connecting means being adapted to receive a corresponding elongated rod in sliding, coaxial relationship therewithin and defining an annular downcomer flow path between the interior surface thereof and the exterior surface of the rod received therein; at least selected ones of said first connecting means comprising hollow cylindrical connectors received in said first mounting holes of said upper calandria plate and having a portion thereof extending axially above said upper calandria plate and into said head assembly interior, and having flow holes extending through the sidewalls of said portions within said head assembly interior; and generally cylindrical diverter means mounted coaxially within each of said selected, first connecting means and positioned to surround a corresponding drive rod received therein, for shielding the drive rod from jetting of liquid through the flow holes. each of said diverter means comprises an annular flange and an integrally connected tubular extension; each of said first connecting means has an interior, annular ledge therewithin for receiving and having secured thereto the corresponding annular flange of the associated diverter; and said annular tubular extension of said diverter means extends coaxially within the associated first connecting means in juxtaposed relationship with and beyond said flow holes. each said diverter means further comprises a flow restrictor for restricting flashing of steam through the annular downcomer flow path. 2. An assembly as recited in claim 1, wherein: 3. An assembly as recited in claim 1, wherein each of said first connecting means further comprises: 4. An assembly as recited in claim 1, further comprising: 5. An assembly as recited in claim 4, wherein: 6. An assembly as recited in claim 5 wherein steam develops in the head assembly interior, displacing liquid therefrom, and wherein: 7. An assembly as recited in claim 6, wherein said flow restrictor comprises an annular collar of a smaller interior diameter relative to that of said tubular extension so as to be disposed closely adjacent the exterior diameter surface of a rod received therein and provide a restricted annular flow passage therebetween which extends for a predetermined axial distance above said flow holes. 8. A pressure vessel for a nuclear reactor system, of generally vertically oriented, cylindrical configuration comprising: 9. An assembly as recited in claim 8, wherein: 10. A vessel as recited in claim 8, wherein each of said first connecting means further comprises: 11. A vessel as recited in claim 8, further comprising: 12. A vessel as recited in claim 11 wherein: 13. A vessel as recited in claim 12, wherein steam develops in the head assembly interior, displacing liquid therefrom, and wherein: 14. A vessel as recited in claim 12, wherein said flow restrictor comprises an annular collar of a smaller interior diameter relative to that of said tubular extension so as to be disposed closely adjacent the exterior diameter surface of a rod received therein and provide a restricted annular flow passage therebetween which extends for a predetermined axial distance above said flow holes.
050292498
abstract
An electron microscope eliminates external ducting for evacuation by interlinking the interiors of a sample chamber for a sample, a casing for electron lenses and a chamber for an electron gun. Those interiors form a closed space with an evacuation path extending therethrough and are evacuated by evacuation means a vacuum pump connected to the sample chamber. The electron lenses are contained within sealed modules and the evacuation path passes between the sides of those modules and the internal walls of the casing. The resulting structure may be enclosed in substantially unbroken magnetic shielding.
description
This application claims the benefit under 35 U.S.C. § 119 of application No. 62/702,120 filed 23 Jul. 2018, and entitled QUANTUM PRESSURE STANDARD which is hereby incorporated herein by reference for all purposes. The technology described herein relates to measuring the pressure of residual particles in low pressure (vacuum) environments. Particular embodiments provide methods for determining and using universal quantum pressure standards for determining the pressure of residual particles in low pressure (vacuum) environments. Vacuum processing of materials has enabled the fabrication of many modern semiconductor devices, driving the computer and communication revolutions now being enjoyed throughout society. One problem that has persisted with vacuum processing is the lack of a primary pressure definition for very low vacuum pressures. National standards agencies across the globe can calibrate commonly used pressure gauges such as ionization gauges, but use of these ionization gauges involves comparison against secondary standards and, in general, only Nitrogen and Argon calibration services are offered. There is a general desire for a convenient, primary standard that is capable of measuring the partial pressure of any type of gas (atomic or molecular) in a vacuum environment. Such a primary standard would be a boon to both metrology and to advanced material processing technologies, for example. Cold atom technology involves the laser cooling and trapping of atoms. It is known that collisions between so-called cold atoms trapped in such traps and residual particles in a vacuum environment can cause the trapped atoms to escape the trap. U.S. Pat. No. 8,803,072 (referred to hereinafter as the '072 Patent), which is hereby incorporated herein by reference describes magnetic traps (MTs) and magneto-optic traps (MOTs) which trap and cool sensor atoms and methods for using these trapped sensor atoms for estimating the pressure of residual atoms in vacuum environments. The '072 Patent also describes how pure optical or pure magnetic traps can be used after the initial laser cooling. Such cold atom traps are described, for example, in “Cooling Neutral Atoms in a Magnetic Trap for Precision Spectroscopy”, Pritchard, David E. Phys. Rev. Lett., 1983 and in the '072 Patent. There are a wide variety of such cold atom traps known in the art. For brevity, such cold atom traps may be referred to herein as cold atom traps, magneto-optical traps (MOTs), magnetic traps (MTs) or simply as traps. The techniques described by the '072 Patent for determining the pressure of residual particles are based on determining the number density (nb) of the residual particles measured in units of inverse volume, which is related to the pressure (p) of the residual particles by the ideal gas law p=nkBT, where kB is Boltzmann's constant and T is the temperature. The “cold” atoms trapped in the trap may be knocked out of the trap when struck by residual particles in the vacuum. On average, the number of trapped sensor atoms will decrease according to N(t)=Ae−Γt where A is the initial number of cold atoms in the trap, gamma, Γ, is the decay rate, and t is the elapsed time after the laser source was extinguished and the sensor particles were transferred into the trap. According to the '072 Patent, the average decay rate Γ is proportional to the number density nb of the residual particles in the vacuum environment (i.e. Γ=knb). Consequently, the number density (or equivalently, the pressure), nb, of the residual particles can be determined from a measurement of the decay rate Γ and a knowledge of the proportionality constant k. For a single component gas, the gas density is given by n b = Γ k . The parameter k described in the '072 Patent is known as the velocity averaged cross-section σ·ν where a is a collision cross-section parameter of the two species (i.e. the trapped sensor atoms and the residual particles), ν is the relative velocity of the residual particles relative to the trapped sensor atoms and the angle brackets indicate that the product of the cross-section and the relative velocity is averaged over the Maxwell-Boltzmann velocity distribution of the residual particles. The '072 Patent also describes how the parameter k (or the velocity averaged cross-section σ·ν) varies with trap depth (U). The '072 Patent suggests that the parameter k may be determined by known immutable interaction potentials between the two species in question (the trapped sensor atoms and the residual particles) and the thermal distribution of the residual particles. Since publication of the '072 Patent, its pressure measurement techniques have been criticized based on the view that the inaccuracy of ab initio calculations of the interaction potentials used to determine how the parameter k (or the velocity averaged cross-section σ·ν varies with trap depth introduce uncertainty into the parameter k and the corresponding calculation of the number density of the residual particle number density according to n b = Γ k . Consequently, there remains a general desire to determine the number density (or pressure) of residual particles in a vacuum environment. Based on the above-described criticism of the techniques described in the '072 Patent, there is a general desire to determine the velocity averaged cross-section σ·ν for pair of species (a trapped atom and a residual particle) at any trap depth (U) without having to rely on a priori knowledge of the interatomic potentials between the species pair. The foregoing examples of the related art and limitations related thereto are intended to be illustrative and not exclusive. Other limitations of the related art will become apparent to those of skill in the art upon a reading of the specification and a study of the drawings. The following embodiments and aspects thereof are described and illustrated in conjunction with systems, tools and methods which are meant to be exemplary and illustrative, not limiting in scope. In various embodiments, one or more of the above-described problems have been reduced or eliminated, while other embodiments are directed to other improvements. One aspect of the invention provides a method for determining a total velocity average cross-section parameter Υtotν in a relationship of the form Γloss(U)=nbtotν·ƒ(U, Ud), where: Γloss(U) is a rate of exponential loss of sensor atoms from a cold atom sensor trap of trap depth potential energy U in a vacuum environment due to collisions with residual particles in the vacuum environment; nb is a number density of residual particles in the vacuum environment; Ua is a parameter given by U d = 2 ⁢ k B ⁢ T / m bg ⁢ 4 ⁢ π ⁢ ⁢ ℏ 2 m t ⁢ 〈 σ tot ⁢ v 〉 which relates the masses of the sensor atoms mt and residual particles mbg to the total velocity average cross-section parameter σtotν; and ƒ(U, Ud) is a function of the trap depth potential energy U and the parameter Ud which models a naturally occurring dependence of the loss rate Γloss(U) on the trap depth potential energy U and the parameter Ud, where ƒ(U=0, Ud) is unity for all Ud. The method comprises: for a particular species pair comprising the sensor atoms and the residual particles, iterating a process which comprises in each iteration: varying the trap depth potential energy U for the cold atom sensor trap in which the sensor atoms are trapped; and measuring the loss rate Γloss(U) of the sensor atoms from the cold atom sensor trap; after a plurality of iterations of the process, extrapolating the measured loss rate Γloss(U) data to obtain an estimate of Γloss(U=0); and determining the total velocity average cross-section parameter σtotν wherein determining the total velocity average cross-section parameter σtotν comprises performing a curve fitting process to fit Γ loss ⁡ ( U ) Γ loss ⁡ ( U = 0 ) = 〈 σ loss ⁢ v 〉 〈 σ tot ⁢ v 〉 = f ⁡ ( U , U d ) to solve for the total velocity average cross-section parameter σtotν. Performing the curve fitting process to fit Γ loss ⁡ ( U ) Γ loss ⁡ ( U = 0 ) = 〈 σ loss ⁢ v 〉 〈 σ tot ⁢ v 〉 - f ⁡ ( U , U d ) to solve for the total velocity average cross-section parameter σtotν may comprise: expressing ƒ(U, Ud) as ƒ(U, σtotν) based on U d = 2 ⁢ k B ⁢ T / m bg ⁢ 4 ⁢ π ⁢ ⁢ ℏ 2 m t ⁢ 〈 σ tot ⁢ v 〉 ;and; and performing the curve fitting process to solve directly for the total velocity average cross-section parameter σtotν. Performing the curve fitting process to fit Γ loss ⁡ ( U ) Γ loss ⁡ ( U = 0 ) = 〈 σ loss ⁢ v 〉 〈 σ tot ⁢ v 〉 = f ⁡ ( U , U d ) to solve for the total velocity average cross-section parameter σtotν may comprise: performing the curve fitting process to fit Γ loss ⁡ ( U ) Γ loss ⁡ ( U = 0 ) = 〈 σ loss ⁢ v 〉 〈 σ tot ⁢ v 〉 = f ⁡ ( U , U d ) to thereby determine the parameter Ud; and determining the total velocity average cross-section parameter σtotν according to 〈 σ tot ⁢ v 〉 = 2 ⁢ k B ⁢ T / m bg ⁢ 4 ⁢ π ⁢ ⁢ ℏ m t ⁢ U d . The model function ƒ(U, Ud) may have a form of a polynomial expansion in a variable ( U U d ) .The model function ƒ(U, Ud) may have a form of (1−pQM), where p QM = ∑ j = 1 J ⁢ β j ⁡ ( U U d ) j where J is an integer greater than 1 and βj are parameters of the model function ƒ(U, Ud). The parameter pQM of the model function ƒ(U, Ud) may represent a probability that a sensor atom stays in the cold atom sensor trap after a collision with a residual particle. The parameters βj of the model function ƒ(U, Ud) may be determined based on a theoretical model of collisions between the sensor atoms and the residual particles. The parameters βj of the model function ƒ(U, Ud) may be experimentally determined. Once the total velocity average cross-section parameter, σtotν is determined in accordance with the method described above, another aspect of the invention provides a method for determining a number density nb of second residual particles in a second vacuum environment comprising second sensor atoms trapped in a second cold atom sensor trap of trap depth potential energy U*. The method comprises: using the total velocity average cross-section parameter σtotν determined in accordance with the method described above wherein the second sensor atoms are the same as the sensor atoms and the second residual particles are the same as the residual particles; measuring a loss rate Γloss(U=U*) of second sensor atoms from the second cold atom sensor trap at the trap depth potential energy U*; and determining the number density nb of second residual particles in the second vacuum environment according to nb=Γloss(U*)/[σtotν·ƒ(U=U*, Ud)]. The second vacuum environment may be the same as or different from the vacuum environment and the second cold atom trap may be the same as or different from the cold atom trap. The model function ƒ(U, Ud) may have a form of (1−pQM), where p QM = ∑ j = 1 J ⁢ β j ⁡ ( U U d ) j where J is an integer greater than 1 and βj are parameters of the model function ƒ(U, Ud). Once the total velocity average cross-section parameter σtotν is determined in accordance with the method described above, another aspect of the invention provides a method for calibrating an ionization gauge which measures a pressure Pb of second residual particles in a second vacuum environment comprising second sensor atoms trapped in a second cold atom sensor trap of trap depth potential energy U*. The method comprises: using the total velocity average cross-section parameter σtotν determined in accordance with the method described above, wherein the second sensor atoms are the same as the sensor atoms and the second residual particles are the same as the residual particles; measuring a loss rate Γloss(U=U*) of second sensor atoms from the second cold atom sensor trap at the trap depth potential energy U*; measuring the pressure Pb of the second residual particles in the second vacuum environment using the ionization gauge at the same trap depth potential energy U*; and determining a calibration factor ig for the ionization gauge according to: i g = P d ⁢ 〈 σ tot ⁢ v 〉 ( k B ⁢ T ) ⁢ Γ loss ⁡ ( U ) ⁢ f ⁡ ( U = U * , U d ) . The second vacuum environment may be the same as or different from the vacuum environment and the second cold atom trap may be the same as or different from the cold atom trap. The model function ƒ(U, Ud) may have a form of (1−pQM), where p QM = ∑ j = 1 J ⁢ β j ⁡ ( U U d ) j where J is an integer greater than 1 and βj are parameters of the model function ƒ(U, Ud). Once the number density nb of second residual particles in the second vacuum environment determined in accordance with the method described above, another aspect of the invention provides a method for calibrating an ionization gauge which measures a pressure Pb of second residual particles in a second vacuum environment comprising second sensor atoms trapped in a second cold atom sensor trap of trap depth potential energy U*. The method comprises: using the number density nb of second residual particles in the second vacuum environment determined in accordance with the method described above, wherein the second sensor atoms are the same as the sensor atoms and the second residual particles are the same as the residual particles; measuring a loss rate Γloss(U=U*) of second sensor atoms from the second cold atom sensor trap at the trap depth potential energy U*; measuring the pressure Pb of the second residual particles in the second vacuum environment using the ionization gauge at the same trap depth potential energy U*; and determining a calibration factor ig for the ionization gauge according to: i g = P b n b ⁡ ( k B ⁢ T ) . The second vacuum environment may be the same as or different from the vacuum environment and the second cold atom trap may be the same as or different from the cold atom trap. The model function ƒ(U, Ud) may have a form of (1−pQM), where p QM = ∑ j = 1 J ⁢ β j ⁡ ( U U d ) j where J is an integer greater than 1 and βj are parameters of the model function ƒ(U, Ud). Once the total velocity average cross-section parameter σtotν is determined in accordance with the method described above, another aspect of the invention provides a method for calibrating a mass spectrometer which measures a signal Sb corresponding to second residual particles in a second vacuum environment comprising second sensor atoms trapped in a second cold atom sensor trap of trap depth potential energy U*. The method comprises: using the total velocity average cross-section parameter σtotν determined in accordance with the method described above wherein the second sensor atoms are the same as the sensor atoms and the second residual particles are the same as the residual particles; measuring a loss rate Γloss(U=U*) of second sensor atoms from the second cold atom sensor trap at the trap depth potential energy U*; measuring a signal Sb corresponding to the second residual particles in the second vacuum environment using the mass spectrometer at the same trap depth potential energy U*; and determining a calibration factor is for the mass spectrometer according to: i s = s b ⁢ 〈 σ tot ⁢ v 〉 ( k B ⁢ T ) ⁢ Γ loss ⁡ ( U ) ⁢ f ⁡ ( U = U * , U d ) . Once the number density nb of second residual particles in the second vacuum environment determined in accordance with the method described above, another aspect of the invention provides a method for calibrating a mass spectrometer which measures a signal Sb of second residual particles in a second vacuum environment comprising second sensor atoms trapped in a second cold atom sensor trap of trap depth potential energy U*. The method comprises: using the number density nb of second residual particles in the second vacuum environment determined in accordance with the method described above wherein the second sensor atoms are the same as the sensor atoms and the second residual particles are the same as the residual particles; measuring a loss rate Γloss(U=U*) of second sensor atoms from the second cold atom sensor trap at the trap depth potential energy U*; measuring a signal Sb corresponding to the second residual particles in the second vacuum environment using the mass spectrometer at the same trap depth potential energy U*; and determining a calibration factor is for the mass spectrometer according to: i s = s b n b ⁡ ( k B ⁢ T ) . Another aspect of the invention provides a method for determining number densities n1,2,3, . . . of a plurality of second residual particles in a second vacuum environment comprising second sensor atoms trapped in a second cold atom sensor trap. The method comprises, for each of the plurality of second residual particles, using the total velocity average cross-section parameter σtotν determined in accordance with the method described above wherein the second sensor atoms are the same as the sensor atoms and the second residual particles are the same as the residual particles, to thereby obtain a plurality of total velocity average cross-section parameters σtotν1,2,3, . . . corresponding to the plurality of second residual particles. The method comprises iterating a process which comprises in each iteration: varying the trap depth potential energy U for the cold atom sensor trap in which the sensor atoms are trapped and measuring the loss rate Γloss(U) of the sensor atoms from the cold atom sensor trap, to thereby obtain a plurality of loss rates Γloss1,2,3, . . . (U1,2,3, . . . ) at different trap depth potential energies U1,2,3, . . . . The plurality of loss rates Γloss1,2,3, . . . (U1,2,3, . . . ) is greater than or equal to the plurality of second residual particles. The method comprises: determining corresponding values of Ud1, 2, 3, . . . for the second residual particles according to U d 1 , 2 , 3 , … = 2 ⁢ k B ⁢ T / m bg ⁢ 4 ⁢ π ⁢ ⁢ ℏ 2 m t ⁢ 〈 σ tot ⁢ v 〉 1 , 2 , 3 , … ;and solving a system of equations having the form: Γ loss ⁡ ( U 1 ) = n 1 ⁢ 〈 σ tot ⁢ v 〉 1 ⁡ [ 1 - ∑ j = 1 N ⁢ β j ⁡ ( U 1 U d ⁢ ⁢ 1 ) j ] + n 2 ⁢ 〈 σ tot ⁢ v 〉 2 ⁡ [ 1 - ∑ j = 1 N ⁢ β j ⁡ ( U 1 U d ⁢ ⁢ 2 ) j ] + n 3 ⁢ 〈 σ tot ⁢ v 〉 3 ⁡ [ 1 - ∑ j = 1 N ⁢ β j ⁡ ( U 1 U d ⁢ ⁢ 3 ) j ] + … ( 13 ⁢ A ) Γ loss ⁡ ( U 2 ) = n 1 ⁢ 〈 σ tot ⁢ v 〉 1 ⁡ [ 1 - ∑ j = 1 N ⁢ β j ⁡ ( U 2 U d ⁢ ⁢ 1 ) j ] + n 2 ⁢ 〈 σ tot ⁢ v 〉 2 ⁡ [ 1 - ∑ j = 1 N ⁢ β j ⁡ ( U 2 U d ⁢ ⁢ 2 ) j ] + n 3 ⁢ 〈 σ tot ⁢ v 〉 3 ⁡ [ 1 - ∑ j = 1 N ⁢ β j ⁡ ( U 2 U d ⁢ ⁢ 3 ) j ] + … ( 13 ⁢ B ) Γ loss ⁡ ( U 3 ) = n 1 ⁢ 〈 σ tot ⁢ v 〉 1 ⁡ [ 1 - ∑ j = 1 N ⁢ β j ⁡ ( U 3 U d ⁢ ⁢ 1 ) j ] + n 2 ⁢ 〈 σ tot ⁢ v 〉 2 ⁡ [ 1 - ∑ j = 1 N ⁢ β j ⁡ ( U 3 U d ⁢ ⁢ 2 ) j ] + n 3 ⁢ 〈 σ tot ⁢ v 〉 3 ⁡ [ 1 - ∑ j = 1 N ⁢ β j ⁡ ( U 3 U d ⁢ ⁢ 3 ) j ] + … ⁢ ⁢ ⁢ ⋮ ⁢ ⁢ ⋮ ⁢ ⁢ ⋮ ( 13 ⁢ C ) to thereby obtain the number densities n1,2,3, . . . of the plurality of second residual particles in the second vacuum environment. In addition to the exemplary aspects and embodiments described above, further aspects and embodiments will become apparent by reference to the drawings and by study of the following detailed descriptions. Throughout the following description specific details are set forth in order to provide a more thorough understanding to persons skilled in the art. However, well known elements may not have been shown or described in detail to avoid unnecessarily obscuring the disclosure. Accordingly, the description and drawings are to be regarded in an illustrative, rather than a restrictive, sense. Aspects of the invention provide methods for determining a total collision rate Γtot=nbσtotν and a total velocity averaged cross-section parameter σtotν between a species pair (a trapped atom and a residual particle) based on measurement of the loss rate Γloss=nbσlossν of trapped sensor atoms from a cold atom trap due to collisions with the residual particles in a vacuum environment at a variety of trap depths (U), where σloss is a function of the trap depth U and σlossν=σloss(U)ν is velocity averaged cross-section of the species pair at a given trap depth U. At U=0, the loss rate Γloss equals the total collision rate Γtot. Aspects of the invention provide using the total cross-section parameter σtotν for species pair determined using the above technique for determining the number density nb (or pressure Pb) of the residual particles in a vacuum environment by: measuring the loss rate Γloss=nbσlossν of trapped sensor atoms from a cold atom trap due to collisions with the residual particles in a vacuum environment at a given trap depth (U); using the zero-depth velocity averaged cross-section parameter σtotν to determine the velocity averaged cross-section of the species pair at a given trap depth U (i.e. σlossν=σloss(U)ν); and determining the number density nb according to n = Γ loss 〈 σ loss ⁡ ( U ) ⁢ v 〉 . Because, at U=0, the loss rate Γloss should be equal to the total collision rate Γtot, the inventors have determined that the measured loss rate Γloss=nlossν may be expanded according to:Γloss=nbσlossν=nbσtotν·(1−pQM)  (1)where pQM is defined as the probability that a sensor atom remains in the trap after the collision. The inventors have determined that there is a relationship that explains this probability pQM in terms of the parameter Ud which represents the characteristic quantum diffraction energy associated with a position measurement of the sensor atom that localizes the sensor atom to a region of average cross-section σ and where the parameter Ud is given by: U d ≡ 4 ⁢ ⁢ π ⁢ 2 m t ⁢ σ _ ( 2 ) where h is the reduced Planck's constant and mt is the mass of the trapped atom. The average cross-section σ may be defined according to:σ=σtotν/ν  (3)whereν=√{square root over (2kBT/mbg)}  (4)is the most probable relative velocity given the Maxwell-Boltzmann velocity distribution of the residual particles and where mbg is the mass of the residual particle. Equations (2)-(4) demonstrate that the relationship that explains this probability pQM in terms of the parameter Ud is dependent only on the masses (mt, mbg) of the trapped and residual particles. This relationship that explains this probability pQM may be modelled by a polynomial expansion in the scaled trap depth ( U U d )according to: p QM ≡ ∑ j = 1 N ⁢ β j ⁡ ( U U d ) j ( 5 ) where N may be selected to be a suitably large integer and the βjs are parameters of the model. The inventors have determined further that the combination of equations (1) and (5): 〈 σ loss ⁢ v 〉 〈 σ tot ⁢ v 〉 = 1 - ∑ j = 1 N ⁢ ⁢ β j ⁡ ( U U d ) j ( 6 ) is universal in the sense that the coefficients βj on the right hand side of equation (6) are independent of the short range details of the trap's potential energy, independent of the strength of the van der Waals interaction (i.e. the value for C6), and independent of the masses of the trapped and residual particles. Without wishing to be bound by theory, the inventors speculate that this universality is due to thermal averaging and the quantum measurement properties of quantum diffractive collisions. This expansion is also universal for potentials other that van der Waals—i.e. for potentials of the form Cn/rn with n=4, 5 where the set of coefficients βj in equation (5) is distinct for each n. Thus, the long range shape of the interaction potential is encoded in the coefficient values (βj in equation (5)); the thermally-averaged total cross section parameter σtotν and particle masses are encoded in the parameter Ud. It follows from this universally that the thermally-averaged total and loss collision cross sections σtotν, σlossν for small U (and the coefficients βj in equation (5)) are independent of the short range part of the potential. To illustrate this, consider FIG. 1A which shows the total velocity dependent (not velocity averaged) cross section computed using the time-independent coupled channel (CC) approach (described by A. Arthurs and A. Dalgarno, Proc. R. Soc. London, Ser. A 256, 540 (1960)) for an atom-diatomic molecule collision using three potential energy surfaces (PES). Each PES has the same long range van der Waals potential but radically different short range core potentials, differing in depth by more than a factor of 104. The inset of FIG. 1A shows the PES cross-sections at a 90° Jacobi angle of approach. The FIG. 1A cross sections exhibit core-dependent oscillations super-imposed on a trend defined by the long-range part of the potential. The effect of thermal averaging is clear: while an accurate prediction of the collision rate for a given velocity requires knowledge of the potential core, averaging the cross section over one or more oscillations removes the core-dependent effects. In particular, σtotν=[0.361, 0.361, 0.363]×10−8 cm3/s for the PESs shown respectively as dark solid, dotted, and dashed lines in FIG. 1A. Without wishing to be bound by theory, the inventors are of the view that the loss cross section for small U is also independent of the short range part of the potential because inelastic and small impact parameter elastic collisions that probe the PES core tend to lead to loss for shallow traps. Thus, the loss rate Γloss departs from the total collision rate Γtot due to quantum diffractive collisions occurring at exceedingly large impact parameters and correspondingly large angular momenta where the cross section is independent of the PES core. FIG. 1B shows the cross-section versus total angular momentum, J, for the three PESs shown in FIG. 1A at a collision energy of 300 cm1. While the curves core-dependent oscillations are below angular momentums of J=125 h, the curves exhibit the same universal shape, independent of the core potential above J=125. The scattering angles of such collisions are also relatively small (<1 mrad for U=1 mK and a collision energy of 300 cm−1), and the inset of FIG. 1B shows that the differential cross section is dominated by large impact parameter elastic scattering (solid), more than a factor of 1000 times larger than inelastic scattering (dashed plot) for θ<10 mrad, arising primarily from low J collisions. FIG. 1C shows model-based calculations for the velocity average loss cross-sections σlossν (in units of 10−15 m3/s) at 21° C. versus trap depth for He (triangles), Ar (circles), and Xe (squares) residual particles colliding with Li (dashed lines) and Rb (solid lines) trapped particles. For the case of the FIG. 1C plots, the interatomic interaction was modeled as a Lennard-Jones potential, V ⁡ ( R ) = 4 ⁢ ⁢ ϵ ⁡ [ ( r 0 R ) 12 - ( r 0 R ) 6 ] = C 12 R 12 - C 6 R 6 ,where ∈ is the depth of the potential well and r0 is the range of the core repulsion. The C6 values were those described by A. Derevianko, S. G. Porsev, and J. F. Babb, Atomic Data and Nuclear Data Tables 96, 323 (2010) and the potential depth was E=50 cm−1. It will be appreciated (and explained below) that while the FIG. 1C plots show model-based calculations, data of the type shown in FIG. 1C can be measured experimental using a cold atom trap. When these FIG. 1C loss cross-sections σlossν are normalized by their values at U=0 (i.e. their respective total cross-sections σtotν) and plotted versus the scaled trap depth ( U U d ) ,the result is represented by the plots shown in FIG. 1D, where all of the FIG. 1C curves collapse into a single curve (i.e. (1−pQM)) shown in equation (1), with the coefficients βj provided in the first numerical row of Table I below. The inventors have experimentally determined that the residuals for each calculation (from the universal curve (1−pQM)) are below 0.1% for trap depths up to U=2.5 mK. TABLE 1Coefficients βj of Relationship Given by Equation (6)T (K)∈ (cm−1)β1β2β3β4β5β6pQM(0.3)294500.6730 (7) −0.477 (3) 0.228 (6)−0.0703 (42)0.0123 (14)−0.0009 (2)0.165294500.6754−0.4992 (2)0.2775 (6)−0.1165 (7)0.0321 (4)−0.00413 (8)0.164273500.6754−0.4996 (2)0.2779 (6)−0.1165 (7)0.0319 (4)−0.00408 (8)0.164373500.6749−0.4970 (2)0.2759 (5)−0.1165 (6)0.0326 (4)−0.00433 (8)0.16440500.6754−0.4991 (7)0.2687 (14)−0.1011 (12)0.0228 (4)−0.00223 (6)0.1643500.6471 (7)−0.4317 (21)0.1889 (23)−0.0516 (11)0.0078 (2)−0.00048 (2)0.160988500.7051−0.5389 (1)0.3086 (3)−0.1369 (5)0.0421 (3)−0.00640 (9)0.1702945000.6736−0.4976 (2)0.2765 (5)−0.1161 (7)0.0320 (4)−0.00411 (8)0.16429450000.6736−0.4977 (2)0.2763 (7)−0.1157 (8)0.0318 (4)−0.00408 (9)0.164294500000.6736−0.4977 (2)0.2767 (5)−0.1162 (7)0.0320 (4)−0.00412 (8)0.164 Table 1 shows the first six coefficients βj (for j=1, 2, . . . 6) for the relationship described by equations (1), (6) and (7) above. The first row of Table 1 shows the βj coefficients for van der Waals collisions at room temperature extracted from the best fit to the calculations in FIG. 1D. The following rows of Table 1 show the βj values fit to calculations for trapped Rb and residual Ar at different temperatures and potential (trap) depths. Based on the pQM values at U U d = 0.3(the rightmost column in Table 1), the results are insensitive over a relatively large temperature range (from 40-373K) and to radical changes of the core potential depth ∈ (see the last three rows). However, at temperatures of 3K and 988K, there is some deviation in the pQM values. Without wishing to be bound by theory, the inventors are of the view that at 3K, the thermal average is too narrow and does not sample a large enough velocity range to average away the cross section oscillations (see FIG. 1A), and at 988 K, the temperature is too high and involves collisions at very large velocities whose cross section is influenced by the core shape of the potential. Despite this deviation at temperature extremes, the systematic error in σtotν (and thus the number density nb of the residual particles) that would result by naïvely fitting experimental data following the predictions at 3K and 988K to the (equation (6) curve derived for T=294K (the first row of Table 1) is only 0.2% and 0.17% respectively. While the embodiments described herein involve the determination of the coefficients βj based on theoretical model of particle interaction, it is conceivable that the coefficients βj could be determined experimentally in some embodiments—e.g. by comparing measured data to the model of sensor atom loss (e.g. equation (1)). FIG. 7 is a schematic illustration of an apparatus 10 on which the experiments described below were conducted and a pressure measurement apparatus 10 according to a particular embodiment of the invention. Pressure measurement apparatus 10 comprises a low base pressure (10−10 Torr) test section 12 housing an ionization gauge 14 and comprising a six-beam magneto-optic trap (3D MOT) 16. The MOT 16 was loaded by a flux of cold 87Rb atoms entering from a secondary 2D MOT chamber 18 through a low conductance differential pumping tube 20. In other embodiments, other atoms or particles (other than 87Rb) could be used as the “cold” trapped atoms. The residual gas was introduced into the test section 12 through a leak valve 22 connected to a secondary chamber backed by a turbo-pump, and the apparatus 10 was designed to ensure that there was no pressure gradient between the ionization gauge 14 and the 87Rb sensor atoms trapped in test section 12. Two lasers, a pump and a repump (shown schematically in FIG. 7 as red cylinders 11), were used for cooling and trapping Rb in the 2D and 3D MOTs. The pump laser 11 was tuned 12 MHz below the D2 (2S1/2→2P3/2), F=2-3′ transition, while the overlapping repump laser 11 was resonant with the F=1-2′ transition. The pump and repump laser beams 11 (which were 2.4 cm in diameter) were split into three beams and retro-reflected to create the 3D MOT 16 with total powers of 100 mW and 2 mW, respectively. The magnetic field configuration was a spherical quadrupole with an axial gradient of 13.6 G/cm. The pressure measurement cycle started by loading 107 atoms (to be trapped) into the 3D MOT. The atom number (i.e. the number of trapped atoms in the magneto-optical trap (MOT)—87Rb in the case of the experimental embodiment discussed herein) was determined by the MOT fluorescence. As is well known in the art of cold atom traps, when an atom escapes the trap, it releases a photon which can be measured by a suitable photodetector (not shown) and the MOT fluorescence VMOT (detected by the photodetector) is generally proportional to the atom number NMOT. To avoid counting errors due to high optical depth, the initial atom number (i.e. the initial number of trapped atoms in the magneto-optical trap (MOT)) was limited, to ensure that the voltage reading of the fluorescence photodetector (VMOT) was linear with atom number NMOT. After loading, the atoms were cooled and transferred into the F=1 state by changing the pump tuning from 12 to 60 MHz below resonance, waiting 50 ms, and then eliminating the repump light while leaving the pump light on for 4 ms. A magnetic trap (MT) 24 was established in test section 12 after the pump light was extinguished by increasing the magnetic field gradient to either 122 or 272 G/cm, depending on the maximum trap depth desired. This procedure captured 2×106 (±1.2%)|F=1, MF=−1 state atoms in the MT 24, while ejecting atoms in the other MF states, where |F=1, MF=−1 uses the Dirac bra-ket notation known in the art of quantum mechanics. The magnetically trapped atoms in MT 24 were then held in complete darkness for a time t, and some were lost due to collisions with residual particles in the vacuum of test section 12. At the end of the holding time, the atoms were subjected to a RF field that sets the trap depth by ejecting all atoms above a certain energy from MT 24. The remaining atoms were recaptured in the 3D MOT 16 and their fluorescence, VMT, was recorded. This fluorescence, VMT, measurement (which is proportional to the atom number NMT Of trapped atoms) was normalized by the 3D MOT fluorescence, VMOT, just before transfer to the MT. A series of measurements for different holding times were carried out and the MT loss rate (Γloss) was extracted from, N MT N MOT ∝ V MT V MOT = V MT V MOT ⁢ ❘ ( t = 0 ) ⁢ e - Γ loss ⁢ t ( 7 ) Where: NMT is the number of trapped particles in the magnetic trap (MT) 24 (after being transferred from the 3D MOT 12); NMOT is the initial number of trapped particles in the 3D magneto-optical trap (MOT) 12; VMT is the fluorescence of the trapped particles in the MT 24; VMOT is the fluorescence of the trapped particles in 3D MOT 12; Γloss is the loss rate of the trapped particles inside the MT 24; and t is the holding time. Collision-induced heating of the sensor ensemble and an overestimate in the loss rates were avoided by limiting the hold times t such that the fraction of heated atoms in the remaining ensemble (ƒ=1−e(Γtot−Γloss)t) was always less than 20%. If σtotν was known, then a single value of Γloss(U) at any trap depth U provides the number density nb according to:nb=Γloss(U)/[σtotν·(1−pQM)]  (8)where this expression represents a combination of equations (1) and (5) If σtotν was not known, then by repeating the measurement of Γloss(U) at a variety of trap depths (U), the normalized loss rate function Γloss(U)/Γloss(0) can be obtained and fit to the relationship (1−pQM) to find the value of Ud, thus determining σtotν and nb. More specifically, once Ud is obtained, then the combination of equations (2), (3) and (4) may be used to determine σtotν according to: 〈 σ tot ⁢ v 〉 = 2 ⁢ ⁢ k B ⁢ T ⁢ / ⁢ m bg ⁢ 4 ⁢ ⁢ π ⁢ 2 m t ⁢ U d ( 8 ⁢ A ) and, once σtotν is determined, equations (5) and (8) may be used to determine nb. With this latter method (i.e. where σtotν was unknown), the inventors were able to use the method could be used to calibrate an ionization gauge for different species without using ab initio computations of the interaction potentials. It was assumed the ionization gauge reading was linear in the background density: Pb=ignbkBT where kB is Boltzmann's constant, T is the temperature of the background particles, and ig is the (unknown) gauge calibration factor (species-dependent response) of the ionization for the background gas. Using the three experimentally measured quantities (Γloss, T, Pb) at a series of trap depths (U), the quantity on the left hand side of the following expression was constructed: Γ loss ⁡ ( U ) P b ⁢ / ⁢ ( k B ⁢ T ) = 〈 σ tot ⁢ v 〉 i g ⁡ [ 1 - ∑ j = 1 N ⁢ ⁢ β j ⁡ ( U U d ) j ] ( 9 ) and fit to the right hand side of this expression using two free parameters σtotν and ig (it being observed that Ud is determined by σtotν). This construction has the advantage that the residual particle pressure need only remain constant during a single MT lifetime measurement or only during a single MT hold time duration once the initial MT number is known, since shot-to-shot pressure variations are normalized out by dividing Γloss by Pb. Table II below shows the results of these ionization gauge calibration experiments.  σtotν   exp (10−15 m3/s)igig,expHe2.40 (0.12) (±5.0%)0.180.163 (±4.9%)Ar2.77 (0.05) (±1.8%)1.291.238 (±2.1%)Xe2.71 (0.03) (±1.1%)2.752.511 (±1.2%)H25.09 (0.15) (±2.9%)0.460.559 (±3.2%)CO22.79 (0.08) (±1.2%)1.430.958 (±1.5%)N23.11 (0.05) (±1.6%)0.94 (±2.0%)0.943 (±2.0%)Specifically, Table II shows experimentally determined total cross-sections σtotνexp and gauge calibration factors ig,exp extracted from fitting measured trap loss data (the left hand side of equation (9)) to the right hand side of equation (9). The expected ionization gauge factors in the column head ig are the industry standard values (with a 10% to 20% uncertainty) except for the N2 factor which was provided by a calibration at the National Institute of Standards and Technology (NIST) for this gauge. Only the values for σtotνexp were needed for a 87Rb cold atom pressure standard.Method for Determining Total Velocity Averaged Cross-Section σtotν and Experiments Relating to Same FIGS. 2A-2F show experimentally obtained data relevant to a method for determining the total velocity averaged cross-section σtotν in accordance with a particular embodiment. FIG. 2A is a plot which relates the number of “cold” trapped 87Rb sensor atoms in the above-described magnetic trap versus time. More specifically, FIG. 2A shows on its y-axis, the natural logarithm of the relative atom number (i.e. a ratio of the atom number at a given time (NMT ∝VMT) to the atom number at time t=0 (NMT(t=0)∝VMT(t=0)) in the magnetic trap ln [ V MT ⁢ / ⁢ V MT ⁡ ( t = 0 ) ]versus time. In particular, the atom number (NMT∝VMT) may be determined in the inventor's experimental set-up by measuring the atomic fluorescence upon recapture of 87Rb atoms in the magneto-optical trap (MOT). Circular data point curve 110 (which represents the lowest rate of decay of trapped sensor atoms shown in FIG. 2A) illustrates the decay of the trapped 87Rb sensor atoms prior to adding any residual particles to the vacuum. FIG. 2A shows that the trapped sensor atoms have a background decay rate, which may be due to a variety of loss mechanisms including, in part, collisions with residual background gasses present in the vacuum. Square data point curve 112, which is steeper than curve 110, shows the rate of escape of 87Rb sensor atoms after introducing a partial pressure of Ar atoms with PAr=8.9n Torr. Triangle data point curve 114, which is steeper still, shows the rate of escape of 87Rb sensor atoms after introducing a partial pressure of Ar atoms with PAr=11n Torr. FIG. 2B shows the measured magnetic trap (MT) loss rate Γloss (in units of s−1) as a function of trap depth (U) in units of mK. The FIG. 2B data relates to introduced Xe residual particles for PXe=14.5n Torr (square data point curve 116) and for PXe=18n Torr (triangle data point curve 118). The FIG. 2B plots are shown after subtracting background losses—i.e. the background loss rate (based on data of the type shown in curve 110 of FIG. 2A) is subtracted from the loss rates shown in FIG. 2B, so that the FIG. 2B loss rates Γloss are due only to collisions between Xe and the trapped 87Rb sensor atoms. FIG. 2C shows two curves 116′ and 118′ which represent the FIG. 2B curves 116, 118 divided by the respective pressure readings (PXe) for each measurement. In the case of FIG. 2B, an approximate value of PXe was obtained by measuring PXe using ionization gauge 14 (see FIG. 7). As explained above, and in more detail below, the ionization gauge 14 measurement of PXe is at least approximately proportional to the actual pressure of PXe=nXekBT by a proportionality constant ig and so the relative effect of scaling to the curves 116, 118 to get from FIG. 2B to curves 116′, 118′ of FIG. 2C is at least approximately the same as dividing by the true pressure of Xe. It can be see from FIG. 2C that the FIG. 2B curves 116, 118 move “on top” of one another when divided by their pressures PXe to become the FIG. 2C curves 116′, 118′. This observation is consistent with equation (9) above. The FIG. 2C curves 116′, 118′ (or analogous curves for other species of residual particles) may be extrapolated to U=0 to yield their corresponding loss rates at zero trap depth (i.e. Γloss(U=0)). FIG. 2D shows a number of curves where the loss rates Γloss are normalized by dividing them by their extrapolated zero-trap-depth loss rates Γloss(U=0) for 87Rb sensor atoms with residual particles of Xe (square data point curve 120), He (triangle data point curve 122) and Ar (circle data point curve 124). That is, curves 120, 122, 124 represent plots of Γloss(U)/Γloss(0)=σlossν/σtot ν versus trap depth (U) 87Rb sensor atoms with residual particles of Xe, He and Ar respectively. Both the maximum normalized loss rate Γloss(U)/Γloss(0) and the shapes of the normalized trap loss rate versus trap depth (U) curves are different for each species pair. FIG. 2E shows sets of data points 120′, 122′, 124′ which represent the FIG. 2D curves 120, 122, 124 with the abscissa scaled by Ud. FIG. 2E shows that σlossν/σtotν for the different species pairs collapse to the single curve (shown by solid line) 126. This curve 126 is expected based on the right hand side of equation (6). FIG. 2F shows similar data points to those of FIG. 2E for molecular residual gasses H2 (triangular data points 128), N2 (square data points 130) and CO2 (circular data points 132) and a similar curve (shown by solid line) 126 representing the right hand side of equation (6). In each experimental scenario, the values for Ud and ig were determined by fitting the curve of equation (9) to the measured data and the results are shown in Table 2. In this regard, the experiment conducted on N2 was particularly significant because the ionization gauge was calibrated at NIST for N2 using their orifice flow standard and found to have a gauge calibration factor of ig=0.94 (±2%). This NIST value is in agreement with the cold atom standard result of ig,exp=0.943 (±2%). It is noteworthy that the NIST N2 measurements were carried out after carefully preconditioning or “dosing” the ionization gauge. The cold atom standard result determined by the experiments conducted by the inventors required no preconditioning. The calibration measurements (i.e. obtaining ig) with H2 and CO2 were performed without preconditioning. Method for Determining Total Velocity Averaged Cross-Section σtotν FIG. 3 is a schematic depiction of a method 200 for determining the total velocity averaged cross-section σtotν for a particular species pair (trapped atoms and residual particles) according to a particular embodiment. Method 200 operates in the environment of a cold atom trap. Method 200 may be performed using any suitable cold atom trap known in the art and capable of providing the functionality described herein. Method 200 involves a number of steps similar to those described above in connection with FIGS. 2A-2F. Method 200 begins in block 202 which involves calibrating the trap environment. This may be performed, by measuring the atom number NMT (and/or equivalently the photodetector fluorescence VMT) of the cold trapped atoms when there are no residual particles in the vacuum environment over time (t) and taking a ratio of this atom number NMT and/or fluorescence VMT against the atom number or fluorescence at t=0 (NMT(t=0) and/or VMT(t=0)). This block 202 calibration procedure obtains data similar to that shown in curve 110 of FIG. 2A which relates to the background decay rate of the trapped sensor atoms, which may be due to a variety of loss mechanisms including, in part, collisions with residual background gasses present in the vacuum. This block 202 background decay rate may be used to modify (e.g. subtracted from) other measured decay rates described herein to obtain calibrated decay rates that can be ascribed to interaction between trapped particles and residual particles (as opposed to background loss mechanisms). For the sake of brevity, this disclosure refers to measuring loss rates Γloss without explicitly describing how the block 202 background decay rate is used to calibrate the measurement in each instance. Method 200 then proceeds to block 205 which involves introducing residual particles into the cold atom trap. Method 200 then enters a loop 204. Loop 204 involves measuring a number of loss rates Γloss for trapped atoms at different trap depths. In each iteration, cold atom trap loop 204 begins at block 210 which involves preparing the trapped atoms a new trap depth. In each iteration, block 215 involves measuring the loss rate Γloss(U) for trapped atoms at the trap depth (U) set in block 210. Once the loss rate Γloss(U) data 220 is obtained in block 215, method 200 loops (via the block 225 YES branch) back to block 210 to perform another iteration of loop 204 until the block 225 inquiry determines that sufficient loss rate Γloss(U) data 220 has been obtained. The loss rate Γloss(U) data 220 obtained in each iteration of loop 204 is similar to the data shown in FIG. 2B. When sufficient loss rate Γloss(U) data 220 has been obtained, then the block 225 inquiry is positive and method 200 proceeds to block 230 via the block 225 YES branch. In block 230, the loss rate Γloss(U) data 220 is used to extrapolate to obtain the total loss rate Γloss(U=0) 235. Method 200 then proceeds to block 245, where the loss rate Γloss(U) data 220, the total loss rate Γloss(U=0) 235 and the coefficients βj 240 can be used in a curve fitting procedure according to: Γ loss ⁡ ( U ) Γ loss ⁡ ( U = 0 ) = 〈 σ loss ⁢ v 〉 〈 σ tot ⁢ v 〉 = 1 - ∑ j = 1 N ⁢ ⁢ β j ⁡ ( U U d ) j ( 10 ) This block 245 procedure involves fitting the right hand side of equation (10) to the measured data on the left hand side of equation (10) to obtain an estimate of the value Ud. As discussed above, the coefficients βj 240 can be obtained using one or more theoretical models. By way of non-limiting example the coefficients βj shown in Table 1 may be used as the coefficients βj 240 for the purpose of the block 245 curve fitting. The output of the block 245 curve fitting is the parameter Ud 250. Method 200 then proceeds to block 255 which involves determining the total velocity averaged cross-section σtotν 260 using the parameter Ud 250 obtained in block 245. Specifically, block 255 may involve determining the total velocity averaged cross-section σtotν 260 using equation (8A): 〈 σ tot ⁢ v 〉 = 2 ⁢ ⁢ k B ⁢ T ⁢ / ⁢ m bg ⁢ 4 ⁢ ⁢ π ⁢ 2 m t ⁢ U d ( 8 ⁢ A ) It will be appreciated that Ud is a function of σtotν. Specifically, rearranging equation (8A) yields: U d = 2 ⁢ ⁢ k B ⁢ T ⁢ / ⁢ m bg ⁢ 4 ⁢ ⁢ π ⁢ 2 m t ⁢ 〈 σ tot ⁢ v 〉 ( 8 ⁢ B ) Consequently, in some embodiments (not expressly shown in FIG. 3), it is not necessary to solve for Ud. Instead, in such embodiments, equation (8B) can be substituted into equation (10) and the block 245 curve fitting process may be used to solve directly for σtotν. Once σtotν 260 is determined, equation (8)nb=Γloss(U)/[totν·(1−pQM)]  (8)may optionally be used in block 255 to determine the number of residual particles nb 265 in the vacuum environment, where pQM is given by equation (5): p QM ≡ ∑ j = 1 N ⁢ ⁢ β j ⁡ ( U U d ) j ( 5 ) Method for Determining nb if Total Velocity Averaged Cross-Section σtotν Known FIG. 4 schematically illustrates a method 300 for determining, for a cold atom trap, the number density nb (or equivalently, via the ideal gas law, the pressure Pb) of any residual particle in the vacuum environment according to a particular embodiment. Method 300 may be performed using any suitable cold atom trap known in the art and capable of providing the functionality described herein. Method 300 involves determining the total velocity averaged cross-section σtotν 260 according to method 200 of FIG. 3 or otherwise obtaining the total velocity averaged cross-section σtotν 260. It will be appreciated that once σtotν 260 is determined for a particular species (trapped atom and residual particle) pair according to method 200, it need not be determined again for each implementation of method 300—i.e. σtotν 260 need only be determined once according to method 200 (FIG. 3) and thereafter method 300 (FIG. 4) may be practiced using the known value of σtotν 260. Method 300 also makes use of the coefficients βj 240. As discussed above, the coefficients βj 240 can be obtained using one or more theoretical models. By way of non-limiting example the coefficients βj shown in Table 1 may be used as the coefficients βj 240 for the purpose of method 300. In block 302, the trap loss rate Γloss(U) is measured for the particular species pair at a convenient trap depth (U). Although not explicitly shown in FIG. 4, calibration (background) loss rates may be obtained in a manner similar to that discussed for block 202 above and the block 302 measurement may be calibrated in a manner similar to that discussed above. Further, performing the block 302 measurement may involve setting up the trap using steps similar to those of blocks 205, 210 of method 200 (FIG. 3), but these steps are not explicitly detailed in the FIG. 4 illustration. Once the trap loss rate Γloss(U) is measured at a convenient trap depth (U), then method 300 proceeds to block 304 which involves calculating nb 265 according to equation (8): n b = Γ loss ⁡ ( U ) ⁢ / ⁡ [ 〈 σ tot ⁢ v 〉 · ( 1 - p QM ) ] ⁢ ⁢ where ⁢ : ( 8 ) p QM ≡ ∑ j = 1 N ⁢ ⁢ β j ⁡ ( U U d ) j ( 5 ) Advantageously, method 300 permits determination of the number density nb (or equivalently, via the ideal gas law, the pressure pb) of any residual particle in the vacuum environment at any convenient trap depth (U) which can be useful for some traps that do not have easily adjustable trap depths.Method for Calibrating Ionization Gauge FIG. 5 schematically illustrates a method 350 for calibrating, using a cold atom trap, an ionization gauge to determine the ionization gauge calibration parameter ig for any residual particle in the vacuum environment according to a particular embodiment. Method 350 may be performed using any suitable cold atom trap known in the art and capable of providing the functionality described herein. Method 350 involves determining the total velocity averaged cross-section σtotν260 according to method 200 of FIG. 3 or otherwise obtaining the total velocity averaged cross-section σtotν 260. It will be appreciated that once σtotν 260 is determined for a particular species (trapped atom and residual particle) pair according to method 200, it need not be determined again for each implementation of method 350—i.e. σtotν 260 need only be determined once according to method 200 (FIG. 3) and thereafter method 350 (FIG. 5) may be practiced using the known value of σtotν 260. Method 350 also makes use of the coefficients βj 240. As discussed above, the coefficients βj 240 can be obtained using one or more theoretical models. By way of non-limiting example the coefficients βj shown in Table 1 may be used as the coefficients βj 240 for the purpose of method 350. Method 350 may arrive at block 360 through one (or both) of two paths. One such path (through block 355) involves measuring the trap loss rate Γloss(U) for the particular species pair at a convenient trap depth (U). Although not explicitly shown in FIG. 5, calibration (background) loss rates may be obtained in a manner similar to that discussed for block 202 above and the block 355 measurement may be calibrated in a manner similar to that discussed above. Further, performing the block 355 measurement may involve setting up the trap using steps similar to those of blocks 205, 210 of method 200 (FIG. 3), but these steps are not explicitly detailed in the FIG. 5 illustration. Additionally or alternatively, method 300 (FIG. 4) may be performed to obtain the number density nb 265 (or equivalently, via the ideal gas law, the pressure Pb) of any residual particle in the vacuum environment. Either way, method 350 arrives at block 360, where the pressure of the residual particle Pb is measured using the ionization gauge at the same trap depth (U) used to obtain the trap loss rate Γloss(U) (in block 355) or the number density nb 265 (via method 300). Once the pressure of the residual particle Pb is measured using the ionization gauge in block 360, method 350 proceeds to block 365 which involves calculating the ionization gauge calibration factor ig according to a rearrangement of equation (9): i g = P b n b ⁡ ( k B ⁢ T ) = P b ⁢ 〈 σ tot ⁢ v 〉 ( k B ⁢ T ) ⁢ Γ loss ⁡ ( U ) ⁡ [ 1 - ∑ j = 1 N ⁢ ⁢ β j ⁡ ( U U d ) j ] ( 11 ) where the middle expression may be used if the number density nb 265 is known (via method 300) or the right hand expression may be used if rloss(U) is known via block 355. In addition to or in the alternative to calibrating an ionization gauge, method 350 may be used to determine a calibration factor is for a mass spectrometer, where block 360 procedure of the FIG. 5 embodiment is replaced by measuring a signal Sb for the mass spectrometer at the same trap depth (U) used to obtain the trap loss rate Γloss(U) (in block 355) or the number density nb 265 (via method 300) and, in the equation of block 365, is replaces ig and Sb replaces Pb: i s = S b n b ⁡ ( k B ⁢ T ) = S b ⁢ 〈 σ tot ⁢ v 〉 ( k B ⁢ T ) ⁢ Γ loss ⁡ ( U ) ⁡ [ 1 - ∑ j = 1 N ⁢ ⁢ β j ⁡ ( U U d ) j ] ( 12 ) Method for Determining the Pressures of Multiple Species FIG. 6 schematically illustrates a method 400 for determining, using a cold atom trap, the number density n1,2,3, . . . (or equivalently, via the ideal gas law, the pressures P1,2,3, . . . ) of a plurality of residual particles in the vacuum environment according to a particular embodiment. Method 400 may be performed using any suitable cold atom trap known in the art and capable of providing the functionality described herein. Method 400 involves determining the total velocity averaged cross-section σtotν1,2,3, . . . 260 for each species pair comprising the trapped atoms and one of the plurality of residual particles according to method 200 of FIG. 3 or otherwise obtaining the total velocity averaged cross-section σtotν1,2,3, . . . 260 for each such species pair (which may be determined using method 200 of FIG. 3). It will be appreciated that once σtotν 260 is determined for a particular species (trapped atom and residual particle) pair according to method 200, it need not be determined again for each implementation of method 400—i.e. σtotν1,2,3, . . . 260 need only be determined once according to method 200 (FIG. 3) and thereafter method 400 (FIG. 6) may be practiced using the known values of σtotν1,2,3, . . . 260. Method 400 also makes use of the coefficients βj 240. As discussed above, the coefficients βj 240 can be obtained using one or more theoretical models. By way of non-limiting example the coefficients βj shown in Table 1 may be used as the coefficients βj 240 for the purpose of method 400. Method 400 shares a number of steps that are similar, identical or analogous to the steps of method 200 described above. Elements of method 400 that correspond to elements of method 200 are illustrated with like reference numerals that have been incremented by 200. Blocks 402, 405, 410, 415, 425 and loop 404 are substantially the same as blocks 202, 205, 210, 215, 225 and loop 204 except that: (i) block 405 involves the introduction of a plurality of residual particles (rather than a single residual particles, as was the case with block 205); and (ii) the block 425 inquiry as to whether there is sufficient data comprises a minimum condition that the number of iterations of loop 404 is at least as many as the number of residual particles in the system under examination. Leaving block 425 via the YES branch means that there have been a number of trap loss rate Γloss1,2,3, . . . (U1,2,3, . . . ) for various trap depths (U1,2,3, . . . ). These measurements are shown in FIG. 6 as Γloss for various U 420. Before performing the procedures of block 435, method 400 also comprises, in block 430, determining the various Ud1,2,3, . . . for the species of residual particles in the system under consideration. This block 430 procedure involves using the total velocity averaged cross-sections σtot 1,2,3, . . . 260 for the various species of residual particles in the system under consideration. For each species of residual particle, the corresponding value of Ud may be determined according to a combination of equations (2)-(4): U d = 2 ⁢ ⁢ k B ⁢ T ⁢ / ⁢ m bg ⁢ 4 ⁢ ⁢ π ⁢ 2 m t ⁢ 〈 σ tot ⁢ v 〉 ( 13 ) The various Ud1,2,3, . . . for the species of residual particles in the system under consideration are shown in FIG. 6 as Ud1,2,3, . . . 434. Then, method 400 proceeds to block 435 which involves solving a system of equations to obtain the number densities n1,2,3, . . . (and equivalently, by the ideal gas law the pressures P1,2,3, . . . ) for the various residual particle species in the system under consideration. The block 435 system of equations may have the form: Γ loss ⁡ ( U 1 ) = n 1 ⁢ 〈 σ tot ⁢ v 〉 1 ⁡ [ 1 - ∑ j = 1 N ⁢ β j ⁡ ( U 1 U d ⁢ ⁢ 1 ) j ] + n 2 ⁢ 〈 σ tot ⁢ v 〉 2 ⁡ [ 1 - ∑ j = 1 N ⁢ β j ⁡ ( U 1 U d ⁢ ⁢ 2 ) j ] + n 3 ⁢ 〈 σ tot ⁢ v 〉 3 ⁡ [ 1 - ∑ j = 1 N ⁢ β j ⁡ ( U 1 U d ⁢ ⁢ 3 ) j ] + … ( 13 ⁢ A ) Γ loss ⁡ ( U 2 ) = n 1 ⁢ 〈 σ tot ⁢ v 〉 1 ⁡ [ 1 - ∑ j = 1 N ⁢ β j ⁡ ( U 2 U d ⁢ ⁢ 1 ) j ] + n 2 ⁢ 〈 σ tot ⁢ v 〉 2 ⁡ [ 1 - ∑ j = 1 N ⁢ β j ⁡ ( U 2 U d ⁢ ⁢ 2 ) j ] + n 3 ⁢ 〈 σ tot ⁢ v 〉 3 ⁡ [ 1 - ∑ j = 1 N ⁢ β j ⁡ ( U 2 U d ⁢ ⁢ 3 ) j ] + … ( 13 ⁢ B ) Γ loss ⁡ ( U 3 ) = n 1 ⁢ 〈 σ tot ⁢ v 〉 1 ⁡ [ 1 - ∑ j = 1 N ⁢ β j ⁡ ( U 3 U d ⁢ ⁢ 1 ) j ] + n 2 ⁢ 〈 σ tot ⁢ v 〉 2 ⁡ [ 1 - ∑ j = 1 N ⁢ β j ⁡ ( U 3 U d ⁢ ⁢ 2 ) j ] + n 3 ⁢ 〈 σ tot ⁢ v 〉 3 ⁡ [ 1 - ∑ j = 1 N ⁢ β j ⁡ ( U 3 U d ⁢ ⁢ 3 ) j ] + … ⁢ ⁢ ⁢ ⋮ ⋮ ⋮ ( 13 ⁢ C ) It will be appreciated from consideration of equations (13A), (13B), (13C), . . . that all of the quantities in these equations are know except for the number densities n1,2,3, . . . for the various residual particle species in the system under consideration. These number densities n1,2,3, . . . (and equivalently, by the ideal gas law the pressures P1,2,3, . . . ) for the various residual particle species in the system under consideration represent the output 440 of method 400. In some embodiments, method 400 may be combined with the use of a mass spectrometer, so that the mass spectrometer can be used to determine the identity of the residual particles in a system under consideration and then method 400 can be used to determine the number densities n1,2,3, . . . (and equivalently, by the ideal gas las the pressures P1,2,3, . . . ) for the various residual particle species. Unless the context clearly requires otherwise, throughout the description and the “comprise”, “comprising”, and the like are to be construed in an inclusive sense, as opposed to an exclusive or exhaustive sense; that is to say, in the sense of “including, but not limited to”; “connected”, “coupled”, or any variant thereof, means any connection or coupling, either direct or indirect, between two or more elements; the coupling or connection between the elements can be physical, logical, or a combination thereof; “herein”, “above”, “below”, and words of similar import, when used to describe this specification, shall refer to this specification as a whole, and not to any particular portions of this specification; “or”, in reference to a list of two or more items, covers all of the following interpretations of the word: any of the items in the list, all of the items in the list, and any combination of the items in the list; the singular forms “a”, “an”, and “the” also include the meaning of any appropriate plural forms. Words that indicate directions such as “vertical”, “transverse”, “horizontal”, “upward”, “downward”, “forward”, “backward”, “inward”, “outward”, “vertical”, “transverse”, “left”, “right”, “front”, “back”, “top”, “bottom”, “below”, “above”, “under”, and the like, used in this description and any accompanying claims (where present), depend on the specific orientation of the apparatus described and illustrated. The subject matter described herein may assume various alternative orientations. Accordingly, these directional terms are not strictly defined and should not be interpreted narrowly. Embodiments of the invention may be implemented using specifically designed hardware, configurable hardware, programmable data processors configured by the provision of software (which may optionally comprise “firmware”) capable of executing on the data processors, special purpose computers or data processors that are specifically programmed, configured, or constructed to perform one or more steps in a method as explained in detail herein and/or combinations of two or more of these. Examples of specifically designed hardware are: logic circuits, application-specific integrated circuits (“ASICs”), large scale integrated circuits (“LSIs”), very large scale integrated circuits (“VLSIs”), and the like. Examples of configurable hardware are: one or more programmable logic devices such as programmable array logic (“PALs”), programmable logic arrays (“PLAs”), and field programmable gate arrays (“FPGAs”)). Examples of programmable data processors are: microprocessors, digital signal processors (“DSPs”), embedded processors, graphics processors, math co-processors, general purpose computers, server computers, cloud computers, mainframe computers, computer workstations, and the like. For example, one or more data processors in a control circuit for a device may implement methods as described herein by executing software instructions in a program memory accessible to the processors. Processing may be centralized or distributed. Where processing is distributed, information including software and/or data may be kept centrally or distributed. Such information may be exchanged between different functional units by way of a communications network, such as a Local Area Network (LAN), Wide Area Network (WAN), or the Internet, wired or wireless data links, electromagnetic signals, or other data communication channel. For example, while processes or blocks are presented in a given order, alternative examples may perform routines having steps, or employ systems having blocks, in a different order, and some processes or blocks may be deleted, moved, added, subdivided, combined, and/or modified to provide alternative or subcombinations. Each of these processes or blocks may be implemented in a variety of different ways. Also, while processes or blocks are at times shown as being performed in series, these processes or blocks may instead be performed in parallel, or may be performed at different times. In addition, while elements are at times shown as being performed sequentially, they may instead be performed simultaneously or in different sequences. It is therefore intended that the following claims are interpreted to include all such variations as are within their intended scope. Software and other modules may reside on servers, workstations, personal computers, tablet computers, image data encoders, image data decoders, PDAs, color-grading tools, video projectors, audio-visual receivers, displays (such as televisions), digital cinema projectors, media players, and other devices suitable for the purposes described herein. Those skilled in the relevant art will appreciate that aspects of the system can be practised with other communications, data processing, or computer system configurations, including: Internet appliances, hand-held devices (including personal digital assistants (PDAs)), wearable computers, all manner of cellular or mobile phones, multi-processor systems, microprocessor-based or programmable consumer electronics (e.g., video projectors, audio-visual receivers, displays, such as televisions, and the like), set-top boxes, color-grading tools, network PCs, mini-computers, mainframe computers, and the like. The invention may also be provided in the form of a program product. The program product may comprise any non-transitory medium which carries a set of computer-readable instructions which, when executed by a data processor, cause the data processor to execute a method of the invention. Program products according to the invention may be in any of a wide variety of forms. The program product may comprise, for example, non-transitory media such as magnetic data storage media including floppy diskettes, hard disk drives, optical data storage media including CD ROMs, DVDs, electronic data storage media including ROMs, flash RAM, EPROMs, hardwired or preprogrammed chips (e.g., EEPROM semiconductor chips), nanotechnology memory, or the like. The computer-readable signals on the program product may optionally be compressed or encrypted. In some embodiments, the invention may be implemented in software. For greater clarity, “software” includes any instructions executed on a processor, and may include (but is not limited to) firmware, resident software, microcode, and the like. Both processing hardware and software may be centralized or distributed (or a combination thereof), in whole or in part, as known to those skilled in the art. For example, software and other modules may be accessible via local memory, via a network, via a browser or other application in a distributed computing context, or via other means suitable for the purposes described above. Where a component (e.g. a software module, processor, assembly, device, circuit, etc.) is referred to above, unless otherwise indicated, reference to that component (including a reference to a “means”) should be interpreted as including as equivalents of that component any component which performs the function of the described component (i.e., that is functionally equivalent), including components which are not structurally equivalent to the disclosed structure which performs the function in the illustrated exemplary embodiments of the invention. Specific examples of systems, methods and apparatus have been described herein for purposes of illustration. These are only examples. The technology provided herein can be applied to systems other than the example systems described above. Many alterations, modifications, additions, omissions, and permutations are possible within the practice of this invention. This invention includes variations on described embodiments that would be apparent to the skilled addressee, including variations obtained by: replacing features, elements and/or acts with equivalent features, elements and/or acts; mixing and matching of features, elements and/or acts from different embodiments; combining features, elements and/or acts from embodiments as described herein with features, elements and/or acts of other technology; and/or omitting combining features, elements and/or acts from described embodiments. Various features are described herein as being present in “some embodiments”. Such features are not mandatory and may not be present in all embodiments. Embodiments of the invention may include zero, any one or any combination of two or more of such features. This is limited only to the extent that certain ones of such features are incompatible with other ones of such features in the sense that it would be impossible for a person of ordinary skill in the art to construct a practical embodiment that combines such incompatible features. Consequently, the description that “some embodiments” possess feature A and “some embodiments” possess feature B should be interpreted as an express indication that the inventors also contemplate embodiments which combine features A and B (unless the description states otherwise or features A and B are fundamentally incompatible). It is therefore intended that the following appended claims and claims hereafter introduced are interpreted to include all such modifications, permutations, additions, omissions, and sub-combinations as may reasonably be inferred. The scope of the claims should not be limited by the preferred embodiments set forth in the examples, but should be given the broadest interpretation consistent with the description as a whole.
043371671
summary
FIELD OF THE INVENTION This invention relates to improved nuclear waste container materials possessing high corrosion resistance when buried in natural rock formations. Integrity is obtained by the use of alloys which are thermodynamically stable in the geochemical environment of natural underground rock systems. BACKGROUND OF THE INVENTION Modern nuclear reactors produce highly radioactive fission products and actinide elements which must be prevented from entering the biosphere over periods ranging from 10 to 1,000,000 years. The current policy and practice is to convert these high-level nuclear wastes to solid forms, such as glasses or ceramics, which are then encapsulated in metal containers and buried underground in impervious, stable rock formations. There are a great many patents directed to the structure of containers for nuclear wastes. Most contain only brief disclosures of the materials from which the containers are made. Stainless steel is named repeatedly, as well as iron, steel, lead, concrete, steel lined with copper, brass, zirconium alloy, cadmium, tantalum, tungsten, mercury, molybdenum, and sandwich constructions employing various gels and fibers between layers of metal. Perhaps the closest to the subject invention is U.S. Pat. No. 3,659,107, issued to Seele et al. on Apr. 25, 1972, which describes a radioactive fuel capsule, not a waste container, but which states that it may be made of various refractory materials, including nickel and alloys thereof. Because of the presence of chromium in stainless steel and other components in the other container materials now in use, they are all more or less thermodynamically unstable in the geochemical environments of natural rock formations, and it is accepted that they can become corroded and decompose within a few tens of years after burial. Accordingly, primary emphasis in immobilizing nuclear wastes is placed upon the insolubility of the radioactive elements in the solidified waste and on the impermeability and ion-exchange properties of the rock medium. However, while this solution has been the best available, it is far from completely satisfactory and it has long been obvious that, if the integrity of the metal container itself could be guaranteed for periods exceeding a million years, the problems associated with safe storage of nuclear wastes would be substantially reduced. OBJECTS OF THE INVENTION It is, therefore, a general object of the invention to provide containers for radioactive nuclear waste materials which will obviate or minimize problems of the type previously described. It is a particular object of the invention to provide such containers which will maintain their integrity for periods exceeding a million years. It is a further object of this invention to obtain this integrity by the use of alloys which, unlike container materials hitherto used, are thermo-dynamically stable in the geochemical environment of natural underground rock systems. Other objects and advantages of the present invention will become apparent from the following detailed description thereof.
041644433
description
DESCRIPTION OF THE PREFERRED EMBODIMENT A reactor vessel body 2 and a reactor vessel head 4 are joined by a bolted connection at flange 6. The reactor vessel body has an inlet opening 8 and an outlet opening 10 for flow of coolant water therethrough. A core 12 is comprised of a plurality of fuel assemblies 14, each of which is comprised of a plurality of elongated fuel rods. The core is supported on the core support assembly 16 which is in turn supported by the core support barrel 18. This core support barrel is supported by flange 20 from the reactor vessel body 2 at a location adjacent the flange 6. Immediately above the core 12 is a fuel assembly alignment plate 22 which serves to engage the upper ends of the fuel assemblies and to maintain alignment thereof. A seal plate structure 24 is located above the alignment plate, thereby defining the outlet plenum 26. After the coolant enters through inlet opening 8 a first quantity comprising the bulk of the flow passes downwardly through the annular space 28 between the reactor vessel and the core support barrel. This flow passes downwardly through the flow skirt 30 into an inlet plenum 32 located below the core 12. The flow passes upwardly through the core and through openings in the alignment plate 22 into the outlet plenum 26. From here the flow passes out through outlet opening 10 to a steam generator (not shown). Each of the fuel assemblies 14 contain within their structure four control rod guide tubes 40 which pass through the entire length of the fuel assembly. These guide tubes extend upwardly above the upper fuel assembly end plate (not shown). Finger shaped control rods 48 are vertically movable within the guide tubes 40 of the fuel assemblies. Each of these rods individually extends to an elevation above the seal plate 24 at which location they may be joined in subgroupings to the control rod extension 50. In addition to the flow holes 52, the alignment plate 22 also has openings 54 through which the control rods pass. The extensions of the guide tube 40 pass into these openings. This joint should be such as to take horizontal forces so that the fuel assemblies can be aligned, and must permit vertical movement to allow for expansion of the different fuel assemblies. Control rod shroud tubes 56 pass through the outlet plenum 26 and may be welded to the alignment plate 22 and the seal plate structure 24. These shroud tubes surround and protect the control rods from the effects of cross flow through the plenum 26. Since the seal plate structure 24 is used not only as a seal plate but also as part of the structural arrangement for the upper guide assembly it is supported from barrel 60 to form a more rigid structure. Furthermore, it permits the entire structure including the fuel assembly alignment plate 22 to be removed when refueling to expose the fuel assemblies. This barrel 60 is supported by flanges 62 resting on flanges 20 of the core support barrel. The upper guide structure support plate 64 is open to permit flow therethrough. A flow opening 70 is provided through the core support barrel and also through the upper guide assembly barrel so that a second minor portion of the flow entering the reactor vessel passes into a high pressure plenum 72. The flow then passes downwardly through the push rods 74 into the fuel assembly control rod guide tubes 40. This second minor portion of flow continues through the length of the fuel assemblies inside the guide tube to a location near the bottom of core 12 where it passes outwardly joining the first main portion of flow. These two flows are then combined and the total quantity passes upwardly through the core 12 and outlet plenum 26. It can be seen the two parallel flow paths exist between the inlet 8 and the bottom of core 12. The pressure drop is essentially established by the larger first portion of flow passing down through the annular space 28. Because of the fluid flow a high pressure is established at inlet 8, an intermediate pressure at the core 12 inlet, and a low pressure at outlet 10. The remaining portion of the flow passing through the other path experiences the same pressure drop with the flow being established by the geometry of the flow path. The high pressure plenum 72 is in direct fluid communication with the inlet 8 so that this portion of the flow path is of low resistance and, therefore, has a relatively low pressure drop. The portion of the flow path through the assembly shroud and ultimately through the guide tubes 40 should have a major portion of the available pressure drop. This tends to maintain the pressure in the high pressure plenum 72 at a relatively high level, approximating the pressure at inlet 8. The seal plate structure 24 is comprised of the seal plate 75 itself and vertical plate extensions 76. These extensions are located above the fuel assembly to be held down and in the embodiment are circular in shape. Piston 78 slidingly seals against the inner vertical surface of the extensions. A flexible seal 79 may be located around the periphery of the piston to improve the seal between the piston and the vertical extensions. The piston 78 is connected to the push rods 74 in such a manner as to permit the piston to exert a downward force on the push rods. In the illustrated embodiment the piston is securely welded to the push rod. Each assembly has located there within four control rods and four control rod guide tubes. Accordingly, there are four push rods 74 for each fuel assembly, these four push rods being mounted on a single piston 78. Opening 80 is provided in this seal plate structure within the confines of the vertical extending lip 76. This places the underside of the piston in direct fluid communication with the outlet from the reactor, thereby resulting in a low pressure beneath the piston. The vertical extensions of the seal plate structure may be bolted as indicated with bolts 84. The connection between the extensions and the seal plate should be as tight as possible to preclude leakage through the joint. It can be seen that a flow of coolant may pass from the high pressure plenum 72 downwardly along the control rods between the control rods and the push rod 74. This coolant then passes on through the control rod guide tubes. Any leakage between the piston and the seal plate extensions passes through opening 80 to the outlet region. Accordingly, a high pressure from plenum 72 which approximates the reactor vessel inlet pressure is applied to the upper surface of the piston while a low pressure approximating the pressure at the reactor vessel outlet is imposed on the lower surface of the piston. This differential pressure exerts a downward force through the push rods 74 which bear upon the upper surface of control rod guide tubes 40 for the purpose of holding down the fuel assemblies. Any variation in flow which would tend to increase the upward force on the fuel assemblies is reflected in an increased pressure drop through the core. This automatically increases the pressure differences across the piston and, therefore, the hold down force. In assembling the reactor the fuel assemblies are in place while the alignment plate and seal plate are installed as a unit. The minor chamfers illustrated on the top of the control rod guide tube are those which are used in normal practice and are sufficient to guide the fuel assemblies within the alignment plate. No critical clearances are required at this location, the critical clearance being maintained above the seal plate between the piston and the seal plate extensions, where the apparatus is readily excessible. In the event of a loss of coolant accident involving the breaking of the outlet line the piston hold down force is inherently increased. If the loss of coolant accident involves the break of the inlet line the pressure at the reactor vessel inlet, and, therefore, the pressure in high pressure plenum 72, is sharply reduced. The reverse flow on the piston raises it from its position out of contact with the seal plate extension 76, thereby permitting a flow from the core outlet up through plenum 72 to inlet 8. This minimizes the loss of water from the core which would occur if the steam were required to force its way backwardly through the core. The extreme simplicity of the apparatus can be seen in the area of the primary coolant flow. In fact, there is no increase in the structure beyond that already required to align the fuel assemblies. There is also minimal affect on the design of the alignment plate so that the openings 52 may be generously sized, thereby permitting low pressure drop and low turbulence in the primary coolant flow.
claims
1. A method of removing a radioactive material from a gas, comprising:directing the gas through a bed of salt, the gas including water vapor and the radioactive material, the salt constituting more than 50 percent by weight of the bed, the salt including sodium pentaborate;condensing the water vapor in the bed and dissolving a portion of the salt to form a salt solution; andabsorbing the radioactive material into the salt solution while a remainder of the gas passes through the bed. 2. The method of claim 1, wherein the directing includes a venting of the gas from a reactor containment during an accident at a nuclear plant. 3. The method of claim 1, wherein the radioactive material and the salt include an element in common. 4. The method of claim 1, wherein the salt further includes at least one of a cesium salt and an iodine salt. 5. The method of claim 1, wherein the salt includes at least 50 percent by weight of the sodium pentaborate. 6. The method of claim 1, wherein the radioactive material is at least one of cesium and iodine. 7. The method of claim 1, wherein the dissolving involves an endothermic reaction, a heat of solution of the endothermic reaction being provided by the gas such that a temperature of the gas is lowered by the endothermic reaction. 8. The method of claim 1, wherein the absorbing includes capturing the radioactive material via polar bonding. 9. The method of claim 1, wherein the decontamination factor is at least 100.
abstract
There is provided a connection device for connecting a first depressurization vessel to a second depressurization vessel. The connection device includes a communication hole comprising a first opening which is connected to the first depressurization vessel, and a second opening which is connected to the second depressurization vessel, the first opening and the second opening being, respectively, at opposite ends of the communication hole such that extreme ultraviolet radiation passes in a radiation direction from the first opening to the second opening; a gas inlet through which a gas flows into the communication hole in a direction perpendicular to the radiation direction of the extreme ultraviolet radiation; and a gas outlet which is opposed to the gas inlet such that the gas passes out the gas outlet.
047028815
summary
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates generally to nuclear reactor fuel assemblies and more particularly to an improved spacer grid assembly for spacing and cradling fuel rods in a nuclear fuel assembly. 2. Background In a nuclear fuel assembly, spacer grid assemblies are used to precisely maintain the spacing between the fuel rods in a nuclear reactor core, to prevent rod vibration, and to provide lateral support for the fuel rods. Conventional spacer grid assembly designs include a multiplicity of metal grid straps, interlocked into an egg-crate configuration designed to form cells through which fuel rods (standard cells) and control rod guide thimbles (thimble cells) pass. Slots are often utilized to effect the interlocking engagement between grid straps. Each standard cell provides support for one fuel rod at a given axial location through the use of relatively resilient grid springs and relatively rigid protuberancies (dimples) formed in or attached to the metal grid straps. A peripheral strap may be used to enclose the interlocked grid straps in order to impart strength and rigidity to the fuel assembly and to provide grid spring and dimple locations for the peripheral cells. In order to minimize the lateral displacement of fuel rods during operation and to improve the fuel characteristics of a fuel assembly, a number of such spacer grid assemblies may be spaced along the fuel assembly length. These grids are generally held in place by attachment to the control rod guide thimbles by well known bulging techniques or the like. Examples of such spacer grid assemblies may be found in U.S. Pat. Nos. 3,389,056 to E. Frisch, 3,713,971 to Van Santen et al., 3,944,467 to Biermann et a1., or 4,224,107 to Delafosse et al. During operation in a nuclear reactor, the grid springs and dimples undergo intense radiation which cause the grid springs to tend to lose the initial spring force exerted against the fuel rods, thus permitting the fuel rods to vibrate and chatter against the dimples. The result may be fretting of the fuel rod cladding. In a typical fuel rod, the cladding comprises a metal tube that confines nuclear fuel pellets in the fuel rod. As used herein, the term "fretting" means a rubbing action between the fuel rod cladding and the grid springs and dimples. If severe enough, fretting is believed to result in fuel rod failure. In a nuclear fuel assembly, fretting may be caused by vibration of the rods induced by coolant and/or moderator fluid flow in the reactor core and possibly by vibration forces during shipping and insertion forces during fuel rod loading. Further, as will be understood by the artisan, fuel rods are generally long (several yards), slender cylinders. The round surface of these slender cylinders, when inserted into conventional spacer grid assemblies during fuel loading, typically rests on a flat or raised surface of conventional dimples and grid springs resulting in a point or line contact between the fuel rod surface and those springs and dimples. When loading fuel rods into such spacer grid assemblies, scratches known as loading scratches are a common problem. It is believed that when the fuel rods are inserted into such conventional spacer grid assemblies, the sharp edge on the dimples and springs tends to carve longitudinal scratches into the cladding. Some conventional spacer grids contribute to an additional problem in that the fuel rod springs and dimples may not accurately position the fuel rod at the center of a standard cell. Deviations from that center position can result in adverse nuclear characteristics in the fuel assembly as well as hydraulic flow maldistribution and mechanical damage such as bent dimples and grid springs. SUMMARY OF THE INVENTION It is therefore an object of the invention to provide an improved spacer grid assembly which distributes forces between the fuel rod and the grid springs and dimples over a larger surface area as compared to conventional spacer grid designs. It is a further object of the invention to provide a spacer grid assembly design which will reduce any tendency for the fuel rods to become scratched during loading and/or transport. It is yet a further object of the invention to provide a spacer grid assembly design which will more accurately position a fuel rod within the standard cells of the spacer grid assembly. It is further object of the present invention to provide an improved spacer grid assembly design which will reduce any tendency for fretting between fuel rod cladding and spacer grid springs and dimples. To achieve these as well as other objects, and in accordance with the present invention, a nuclear fuel assembly containing a plurality of generally cylindrical fuel rods is provided which comprises a top and bottom nozzle and at least one control rod guide thimble extending between the top and bottom nozzle. A plurality of spacer grid assemblies are axially disposed along the control rod guide thimble for maintaining the fuel rods in a spaced lateral array. Each of the spacer grid assemblies comprises a plurality of grid straps interlocked into an egg-crate configuration to form standard cells through which the fuel rods pass. Each of the standard cells has at least one, and preferrbly two, grid springs and each grid spring has one, and preferably two, opposing dimples. Each of the grid springs and dimples has a surface portion contoured to cradle the generally cylindrical fuel rod as it passes through a standard cell. In accordance with another aspect of the present invention, a spacer grid assembly for supporting generally cylindrical fuel rods in a nuclear fuel assembly is provided which comprises a plurality of grid straps interlocked into an egg-crate configuration to form cells through which fuel rods are adapted to pass. At least one, and preferably two, grid springs and at least one, and preferably two, dimples opposing each grid spring are disposed in each of the cells in order to support the fuel rods as they pass through the cells. The grids springs and opposing dimples have arcuate face portions adapted to cradle the generally cylindrical fuel rod as the fuel rod passes through the cell. Additional objects, advantages and novel features of the invention will be set forth, in part in the description which follows, and in part will become apparent to those skilled in the art by practice of the invention. The objects and advantages of the invention may be realized and attained by means of the invention as particularly pointed out in the appended claims.
claims
1. A method of growing agricultural products with a reduced abundance of carbon-14 comprising:a. providing a mixture of atmospheric gases with a measurable abundance of carbon dioxide and a measurable abundance of carbon dioxide with carbon-14;b. removing, in a vortex chamber, carbon-dioxide with carbon-14 from said mixture of atmospheric gases;c. a forcing, via a blower, said mixture of atmospheric gases through said vortex chamber to produce filtered atmospheric gases consisting of low density molecular gases;d. venting, via an input control valve, airflow of said filtered atmospheric gases from said vortex chamber into a controlled environment having an airtight seal; ande. outputting, via an output control valve, gasses from said controlled environment; further comprising verifying carbon-dioxide removal in said vortex chamber prior to venting, via the input control valve, airflow of said filtered atmospheric gases from said vortex chamber into said controlled environment; wherein verifying carbon-dioxide removal in said vortex chamber prior to venting, via the input control valve, airflow of said filtered atmospheric gases from said vortex chamber into said controlled environment includes: a. detecting, via a first carbon-dioxide sensor, carbon-dioxide abundance outside said controlled environment; b. detecting, via a second carbon-dioxide sensor, carbon-dioxide abundance in a relief output of said vortex chamber; d. comparing, via a control system, the detected carbon-dioxide abundance outside said controlled environment and the detected carbon-dioxide abundance in the relief output; and e. opening, via the control system, the input control valve when the detected carbon-dioxide abundance outside said controlled environment and the detected carbon-dioxide abundance in the relief output is at or above a predetermined delta. 2. The method according to claim 1, further comprising regulating carbon-dioxide abundance in said controlled environment. 3. The method according to claim 2, wherein regulating carbon-dioxide abundance in said controlled environment includes:a. detecting, via a carbon-dioxide sensor, carbon-dioxide abundance in said controlled environment; andb. controlling, via a control system, at least one of the input control valve, the output control valve, or the blower based on the detected carbon-dioxide abundance to maintain a predetermined carbon-dioxide abundance in said controlled environment. 4. The method according to claim 1, further comprising maintaining a predetermined positive pressure into said controlled environment when said output control valve is opened or closed. 5. The method according to claim 4, wherein maintaining a predetermined positive pressure into said controlled environment when said output control valve is opened or closed includes:a. detecting, via an internal air pressure sensor, internal air pressure in said controlled environment;b. detecting, via an external air pressure sensor, external air pressure of atmospheric gases outside said controlled environment; andc. operating, via a control system, the input and output control valves to maintain the predetermined positive pressure into said controlled environment based on the detected internal and external air pressures. 6. The method according to claim 1, wherein verifying carbon-dioxide removal in said vortex chamber prior to venting, via the input control valve, airflow of said filtered atmospheric gases from said vortex chamber into said controlled environment additionally includes:a. detecting, via a third carbon-dioxide sensor, carbon-dioxide abundance in said controlled environment; andb. opening, via the control system, a relief output control valve to provide the relief output from the vortex chamber when the detected carbon-dioxide abundance in said controlled environment is below a predetermined amount. 7. The method according to claim 6, wherein verifying carbon-dioxide removal in said vortex chamber prior to venting, via the input control valve, airflow of said filtered atmospheric gases from said vortex chamber into said controlled environment further includes closing, via the control system, the relief output control valve when the detected carbon-dioxide abundance outside said controlled environment and the detected carbon-dioxide abundance in the relief output is below a predetermined delta.
abstract
A method of a nuclear fuel pellet including a thermal conductive metal and a nuclear fuel pellet prepared thereby. The method includes preparing an oxide nuclear fuel granule having about 30%-45% theoretical density, mixing the fuel granule with thermal conductive metal powder, compacting the fuel granule with which the thermal conductive metal powder is mixed to prepare a green pellet, and sintering the green pellet. In the method, the sintering may be performed under a reducing gas atmosphere that is the same as the commercial pellet preparing process. Thus, compatibility compared to existing commercial preparing processes may be superior. Also, since a liquefied oxide formation process and a reducing process are omitted, the distribution uniformity of the metal material within the pellet may be superior. Therefore, the nuclear fuel pellet in which the metal network and fine microstructure are uniformly distributed within the pellet may be prepared.
claims
1. An atomic force microscope adapted for performing nanolithography comprising:a sample holder adapted for receiving and holding a substrate; andat least one well adapted for holding a patterning compound which does not cover the substrate when the substrate is placed in the sample holder so that the patterning compound can be transferred from the well to the substrate by a tip disposed on a cantilever,the well being positioned so that the well will be adjacent the substrate when the substrate is placed in the sample holder,wherein the atomic force microscope further comprises an isolation chamber for control of relative humidity during the performance of the nanolithography. 2. The microscope of claim 1 comprising a plurality of wells, at least one well holding a patterning compound, the other well(s) holding a patterning compound or a rinsing solvent, the wells being positioned so that they will be adjacent the substrate when the substrate is placed in the sample holder. 3. The atomic force microscope adapted for performing nanolithography according to claim 1 further comprising: a plurality of scanning probe microscope tips; and a tilt stage adapted for receiving and holding the sample holder, the sample holder being adapted for receiving and holding the substrate. 4. The microscope of claim 3 wherein the plurality of scanning probe microscope tips comprises an imaging tip and at least one writing tip. 5. The microscope of claim 3 wherein at least one of the tips is coated with a patterning compound. 6. The microscope of claim 5 further comprising a substrate in the sample holder and wherein at least one of tips is contacted with the substrate so that the patterning compound coated on the tip is applied to the substrate so as to produce a desired pattern. 7. The microscope of claim 6 wherein the tilt stage is adjusted so that all of the tips are contacted with the substrate simultaneously and each of them produces the same pattern. 8. The microscope of claim 7 wherein the plurality of scanning probe microscope tips comprises an imaging tip and at least one writing tip, and each writing tip produces the same pattern as the imaging tip. 9. The microscope of claim 6 wherein the tilt stage is adjusted so that each of the plurality of tips is contacted separately with the substrate so that each tip produces a separate desired pattern. 10. The microscope of claim 3, wherein the tips are atomic force microscope tips. 11. An instrument comprising:a scanner adapted for (i) contacting a scanning probe microscope tip on a cantilever with a patterning compound in a well to coat the tip with patterning compound; (ii) transferring the patterning compound from the tip to a substrate surface;a sample holder adapted for receiving and holding the substrate; andat least one well adapted for holding the patterning compound which does not cover the substrate when the substrate is placed in the sample holder so that the patterning compound can be transferred from the well to the substrate by a tip,the well being positioned so that the well will be adjacent the substrate when the substrate is placed in the sample holder,wherein the instrument further comprises an isolation chamber for control of relative humidity during the transferring. 12. The instrument of claim 11, wherein the scanning probe microscope tip is an atomic force microscope tip. 13. The instrument of claim 11, wherein the scanning probe microscope tip on a cantilever is part of an array of a plurality of scanning probe microscope tips on cantilevers. 14. An atomic force microscope adapted for performing nanolithography comprising:a sample holder adapted for receiving and holding a substrate; andat least one well adapted for holding a patterning compound which does not cover the substrate when the substrate is placed in the sample holder so that the patterning compound can be transferred from the well to the substrate by a tip disposed on a cantilever,the well being positioned so that the well will be adjacent the substrate when the substrate is placed in the sample holders,wherein the atomic force microscope further comprises a device adapted for control of relative humidity during the performance of the nanolithography.
summary
claims
1. Central dryer for electron beam curing witha first application unit to apply a first coating to a web;an irradiation unit in which a first electron beam generator and a second electron beam generator are arranged for irradiation of the web, the irradiation unit having a screen for the electron beam generators, the screen screening against high-energy radiation generated in the irradiation unit, whereby the electron beam generators arranged in the irradiation unit have connections for at least one pump system to generate an operating vacuum; anda web guiding system arranged to (a) feed the web through the screen into the irradiation unit for irradiation after passing through the first application unit, thereafter (b) feed the web through the screen out of the irradiation unit, thereafter (c) feed the web to a second application unit for application of a second coating to the web, thereafter (d) feed the web through the screen back into the irradiation unit for additional irradiation, thereafter (e) feed the web through the screen back out of the irradiation unit. 2. Central dryer for electron beam curing according to claim 1, characterised in that the web guiding system is designed to feed the web into the irradiation unit after passing through the first application unit where the web is irradiated by the first electron beam generator and by the second electron beam generator and then led back out of the irradiation unit. 3. Central dryer for electron beam curing according to claim 1, characterised in that the first electron beam generator and the second electron beam generator are designed for electron beam curing of the first coating. 4. Central dryer for electron beam curing according to claim 1, characterised in that the first electron beam generator is designed for the electron beam curing of the first coating and the second electron beam generator is designed for the electron beam curing of one or more previously applied coatings. 5. Central dryer for electron beam curing according to claim 1, characterised in that the web guiding system is designed to feed the web to the irradiation unit after passing through the first application unit where the web is beamed by the first electron beam generator and then led out of the irradiation unit and fed into the second application unit and the web, after passing through the second application unit is fed into the irradiation unit where it is beamed by the second electron beam generator and then led out of the irradiation unit. 6. Central dryer for electron beam curing according to claim 1, characterised by at least three application units outside the irradiation unit and at least three electron beam generators arranged inside the irradiation unit, whereby each application unit is assigned at least one electron beam generator and the web guiding system is designed to feed the web after passing through an application unit to the irradiation unit where the web is beamed by the at least one assigned electron beam generator and then led back out of the irradiation unit. 7. Central dryer for electron beam curing according to claim 1, characterised in that an electron beam generator assigned to an application unit is designed to cure at least one coating applied by at least one previously passed application unit. 8. Central dryer for electron beam curing according to claim 1, characterised by one or more of the following features:each of the electron beam generators arranged inside the irradiation unit extends over the whole width of the web;the irradiation is executed as a self-contained, separate module;the irradiation unit is arranged in a spatially delineated area of the central dryer for electron beam curing;the application units are arranged in a first spatially delineated area of the central dryer for electron beam curing and the irradiation unit is arranged in a second spatially delineated area of the central dryer for electron beam curing;the first application unit is arranged adjacent the irradiation unit;the irradiation unit is arranged centrally with respect to the application units;the web passes into and out of the irradiation unit at least three times. 9. Central dryer for electron beam curing according to claim 1, characterised in that at least one of said application units includes at least one of the following: a laminating unit, a lining unit, an adhesive application unit, an ink application unit, a varnish application unit, a powder application unit, a coating unit, an extruder, an extruder with moulding tool. 10. Central dryer for electron beam curing according to claim 1, characterised in that at least one of said coatings includes one or more of the following: an ink coating, a varnish coating, an adhesive coating, a lining foil with adhesive, a laminating coating, a plastic coating, a siliconisation, a finishing coating. 11. Central dryer for electron beam curing according to claim 1, characterised in that one or more of the application units are printing units for at least one of the following printing processes: flexographic, intaglio, screen, offset printing. 12. Central dryer for electron beam curing according to claim 1, characterised in that between one of the application units and one electron beam generator assigned to the application unit one or more of the following are arranged: a web dryer, a convection dryer, a solvent dryer, an excimer dryer, a UV dryer, an IR dryer, a heat treatment unit. 13. Central dryer for electron beam curing according to claim 1, characterised in that the electron beam generators arranged inside the irradiation unit are connected to a joint vacuum system for all electron beam generators. 14. Central dryer for electron beam curing according to claim 13, characterised in that the joint vacuum system has a pump system for the generation of the operating vacuum. 15. Printing press which comprises at least one central dryer for electron beam curing according to claim 1. 16. Method for application of at least two coatings on a web, the method utilizing an irradiation unit in which a first electron beam generator and a second electron beam generator are arranged for irradiation of the web, the irradiation unit having a screen for the electron beam generators, the screen screening against high-energy radiation generated in the irradiation unit, the method comprising the following steps:applying a first coating to the web;thereafter feeding the web through the screen into the irradiation unit and irradiating the web with electrons by the first electron beam generator;thereafter leading the web through the screen out of the irradiation unit;thereafter applying outside the irradiation unit a second coating to the web;thereafter feeding the web through the screen back into the irradiation unit and irradiating the web with electrons by the second electron beam generator; andthereafter leading the web through the screen back out of the irradiation unit. 17. The central dryer of claim 1, wherein the web passes into and out of the irradiation unit at least three times. 18. The central dryer of claim 1, wherein the web passes into and out of the irradiation unit at least four times. 19. The central dryer of claim 5, wherein the web guiding system is further designed to feed the web into a third application unit and the web, after passing through the third application unit, is fed into the irradiation unit where it is beamed by a third electron beam generator and then led out of the irradiation unit. 20. The method of claim 16, further comprising the steps of feeding the web through the screen into the irradiation unit a third time and thereafter leading the web through the screen back out of the irradiation unit a third time. 21. The method of claim 16, further comprising the steps of applying outside the irradiation unit a third coating to the web; thereafter feeding the web through the screen into the irradiation unit a third time and irradiating the web with electrons and thereafter leading the web through the screen back out of the irradiation unit a third time. 22. The method of claim 21, further comprising the steps of applying outside the irradiation unit a fourth coating to the web; thereafter feeding the web through the screen into the irradiation unit a fourth time and irradiating the web with electrons and thereafter leading the web through the screen back out of the irradiation unit a fourth time. 23. The method of claim 16, further comprising the step of irradiating the first coating with electrons from the second electron beam generator. 24. The method of claim 21, wherein the first coating is irradiated by at least the first electron beam generator, the second coating is irradiated by at least the second electron beam generator, and the third coating is irradiated by at least a third electron beam generator located within the irradiation unit. 25. The method of claim 16, characterized by one or more of the following features:(a) each of the electron beam generators arranged inside the irradiation unit extends over the whole width of the web;(b) the irradiation is executed as a self-contained, separate module; and(c) the web passes into and out of the irradiation unit at least three times. 26. The method of claim 16, wherein at least one of the coatings is applied by an application unit selected from the group consisting of a laminating unit, a lining unit, an adhesive application unit, an ink application unit, a varnish application unit, a powder application unit, a coating unit, an extruder, an extruder with moulding tool. 27. The method of claim 16, wherein at least one of the coatings is selected from the group consisting of an ink coating, a varnish coating, an adhesive coating, a lining foil with adhesive, a laminating coating, a plastic coating, a siliconisation, a finishing coating.
053965345
summary
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates generally to the field of devices for collimating x-rays. More specifically, the present invention discloses a simplified shutter mechanism using a flexible band extending about a frame opening to directly control the position of two shutters. 2. Statement of the Problem Shutter mechanisms for x-ray collimators present a unique set of design requirements. The shutters are typically made of a radio-opaque material, such as lead. This results in shutter plates that have substantial weight. In addition, x-ray diagnostic devices used in medical and dental offices are subject to .very tight space limitations. Therefore, the shutter mechanism must be as compact as possible. Finally, safety of the patient and health care providers is always a paramount concern. This dictates that the shutter mechanism must be capable of a high degree of positional accuracy to ensure an accurate x-ray dosage to the patient through a well-defined aperture and to prevent accidental exposure of others. Existing shutter mechanisms have a number of shortcomings. Most commercially available shutter mechanisms for x-ray collimators involve a relatively complex linkage mechanism and/or a series of gears to move the shutter plates. This complexity increases the size, cost, and positional tolerances of the shutter mechanism, and can also lead to reliability problems. A number of shutter mechanisms for x-ray collimators have been invented in the past, including the following: ______________________________________ Inventor U.S. Pat. No. Issue Date ______________________________________ Span et al. 5,012,506 Apr. 30, 1991 Hahn 4,641,335 Feb. 3, 1987 Holzermer 4,514,859 Apr. 30, 1985 Grass et al. 4,489,426 Dec. 18, 1984 Cutter 4,389,730 June 21, 1983 Cutter 4,380,820 Apr. 19, 1983 Hura 4,246,488 Jan. 20, 1981 Stodberg et al. 4,167,675 Sep. 11, 1979 Fekete 3,936,647 Feb. 3, 1976 Hura 3,829,701 Aug. 13, 1974 Peyser 3,609,370 Sep. 28, 1971 ______________________________________ Span et al. disclose a multileaf collimator having first and second pairs of leaves 30, 31 and 35, 36 at right angles. The leaves are independently adjustable. Holzermer discloses an x-ray collimator with orthogonal rectangular diaphragm plates and a plurality of additional triangular diaphragm plates for pivotal movement into the four corner regions of the opening defined by the rectangular diaphragm plates. Each triangular plate is moved by a parallelogram linkage. The linkages are driven in unison by a stepper motor 47 and a continuous belt 45. Hahn discloses a collimator for a stereo radiographic x-ray system with adjustable shutter leaves. Grass et al. disclose another example of an x-ray collimator with an adjustable aperture. The Cutter patents disclose two variations of a compact collimator with two orthogonally-disposed pairs of shutters. Mating inner edges of each pair move in a rectilinear path. The Hura patents disclose two radiation collimators having orthogonal pairs of shutters that are driven by mechanical linkages. Stodberg et al., Fekete, and Peyser disclose other examples of x-ray collimators using mechanical linkages and/or gears to control the position of shutters. 3. Solution to the Problem None of the prior art references uncovered in the search show a simplified shutter mechanism for collimating x-rays that uses an elongated flexible band coupled to a drive means, such as a stepper motor, to directly control the position a pair of shutter plates without intermediate mechanical linkages or gears. SUMMARY OF THE INVENTION This invention provides an improved shutter mechanism for collimating x-rays. The device includes a frame having an opening with two opposing interior edges. An elongated flexible band extends in sliding engagement about at least a portion of the periphery of the frame opening. A first shutter member made of an x-ray opaque material has a first end attached to the flexible band along the first interior edge of the frame. Similarly, a second shutter member made of an x-ray opaque material has a first end attached to the flexible band along the second interior edge of the frame. A drive means, such as a stepper motor, translates the flexible band relative to the frame to control the positions of the shutter members and the shutter aperture. In the preferred embodiment, the interior edges of the frame also include tracks that slidably engage the opposing edges of the shutter members for support. Two or more of these frame assemblies can be stacked in a rotated orientation about a common axis to provide two-dimensional control of the size of the shutter aperture. A primary object of the present invention is to provide a shutter mechanism for collimating x-rays that requires a substantially reduced number of component parts, thereby decreasing the cost of production and increasing reliability. Another object of the present invention is to provide a shutter mechanism that can be easily repaired. Another object of the present invention is to provide a shutter mechanism that offers a high degree of positional accuracy and repeatability in regard to the size and location of the shutter aperture. Yet another object of the present invention is to provide a shutter mechanism that is very compact and can be easily stacked for two-dimensional control of the shutter aperture. These and other advantages, features, and objects of the present invention will be more readily understood in view of the following detailed description and the drawings.
abstract
Alpha/beta radiation barrier materials and structures formed to include the barrier materials are described. Barrier materials include a matrix and particulate materials contained in the matrix. The particulates include alpha/beta radiation absorbers. Alpha/beta radiation absorbers of the barrier materials can be molecular, particulates, or defined nanostructures that are capable of absorbing incident alpha/beta particle energies. Matrix materials can include organic or inorganic materials including thermoplastic polymers, thermoset polymers, glasses, ceramics, etc.
summary
description
The present application claims the benefit and priority of the U.S. Provisional Application 60/735,659, filed on Nov. 10, 2005. The content of U.S. Provisional Application 60/735,659 is incorporated in its entirety in the present application. The invention relates to an optical device which is used in particular in an illumination system for microlithographic projection exposure apparatus. According to a first embodiment, the device includes a first raster element which receives light of a light source, wherein by the first raster element an image of the light source is formed on a second raster element. According to a second aspect of the invention, the optical device, in particular for an illumination system for use in particular in a microlithographic projection exposure apparatus, includes at least one first optical element with at least one first raster element wherein the first raster element has a first optical axis, and further includes at least one second optical element with at least one second raster element wherein the second raster element has a second optical axis. Arrangements of this kind with first and second raster elements, particularly if they are used in an illumination system, are also referred to as honeycomb condensers. In a microlithography projection exposure apparatus where an illumination area is to be illuminated with the light of a primary light source, honeycomb condensers of this kind serve to convert an input light distribution into an output light distribution. Optical channels are formed between the individual raster elements. In illumination systems of the kind that are used for example in microlithography projection exposure apparatus, the light of a primary light source is transmitted to an illumination area of a different shape in comparison to the light source. The problem presents itself to illuminate this illumination area as homogeneously as possible with the light from the light source. To accomplish this purpose, homogenization devices are frequently used in illumination systems. Two devices that achieve this kind of a homogenization effect are used with particular preference in illumination systems: Integrator rod or hollow waveguide arrangements, and honeycomb condensers. An integrator rod arrangement consists substantially of an elongated rod, often of rectangular cross-section, on whose lateral surfaces the light which enters at the end facing the light source is subjected to multiple total reflections, so that at the other end of the rod which faces the illumination area the light comes out mixed and thus largely homogenized. The number of the total reflections at the lateral surfaces of the rod depends substantially on the angle at which the light at the point of its entry into the rod is directed relative to these lateral surfaces. A honeycomb condenser includes two optical elements, with first and second raster elements between which normally a multitude of optical channels are formed. The way in which the homogenizing effect is achieved in the honeycomb condenser is that a multitude of images of the light source, so-called secondary light sources, are formed by the optical channels, and their respective contributions are then superimposed on each other. This superposition compensates to a certain degree for the variations of the illumination density of the light source from point to point and over time. In operating a microlithography projection exposure apparatus, an object called reticle is set up in the illumination area of the illumination system, and a projection objective which follows the illumination system in the light path projects an image of the reticle onto a wafer that is set up in an image plane of the projection objective. Illumination systems with an optical integrator in the light path from the light source to the illumination area are disclosed for example in U.S. Pat. Nos. 5,731,577, 5,461,456, 5,581,605, 6,333,777 or EP-A-0849637. All of the optical integrators described in these references have faceted, i.e. rastered, elements. In U.S. Pat. No. 5,581,605 an illumination system is disclosed in which a primary light source is split up by means of a honeycomb condenser into a multitude of secondary light sources. As a result of this an even, i.e. uniform illumination is achieved in the reticle plane. Reflective honeycomb condensers have been disclosed in U.S. Pat. Nos. 6,438,199,6,570,168, 6,611,574, 6,658,084 as well as U.S. Pat. No. 6,704,095 in particular for lithography applications in the EUV range of wavelengths, i.e. wavelengths from 11 to 15 nanometers. A further system with a reflective honeycomb condenser is shown in WO 01/09681. As means for influencing the field in the field plane, specifically to change its position, so-called reticle-mask apertures were set up in an intermediate field plane. An image of the intermediate field plane was then projected by means of optical elements onto the field plane. An optical imaging arrangement of this kind for reflective systems is disclosed in WO 01/09681. Systems of this kind for projecting an image of an intermediate image plane which are based on refractive designs have been disclosed for example in U.S. Pat. No. 4,474,463 and U.S. 2003/0043356. To delimit the field that is to be illuminated, a variable field aperture stop is always used in state-of-the-art systems. These illumination systems suffered from the drawback that to form the projected image of the intermediate field plane in which the variable field aperture stop was arranged, the variable field aperture stop was projected into the reticle plane by means of mirrors or a lens system. This had the consequence that in reflective systems of the kind that are used for example in EUV lithography, additional mirrors were required which strongly reduced the light yield of the illumination system. In refractive systems, likewise, a complex high-aperture optical imaging system with a large field has to be used which, for example, includes more than seven individual lenses with aspherical surfaces. The invention thus has the objective to propose an optical device, in particular for an illumination system in a microlithographic projection exposure apparatus in which an illuminated area in a field plane of the illumination system can be influenced. Thus, for example, the optical device should allow the illuminated surface to be changed in its position, without the need for a complex and expensive system for the projection of an intermediate field plane in which for example field aperture stops are arranged. The aim is in particular to propose an optical device for an illumination system in which an optical imaging system for producing an image of an intermediate field plane is not necessary, but in which it is possible to influence the illuminated area in the field plane. In particular, by placing the optical device into the light path of an illumination system between a light source and a field plane, the intention is to provide a reflective illumination system in which the field can be influenced, but the light losses are kept as small as possible, for wavelengths ≦100 nm, in particular wavelengths in the EUV range, for example between 5 nm and 30 nm, with preference for 11 nm to 15 nm. Under a first aspect of the invention, the object just outlined is achieved in an optical device which includes at least a first raster element and a second raster element through a design where the second raster element has a width (DPF) and the width (DPF) of the second raster element is larger than the size of the image of the light source which is projected by the first raster element onto the second raster element. The concept further includes devices whereby the position of the first raster element in relation to the second raster element can be changed. Under a further aspect of the invention, the optical device, in particular for an illumination system has at least one first raster element with a first axis and at least one second raster element with a second axis, wherein the first axis and the second axis have a distance from each other. The distance in the present context means the distance between the respective points of intersection of the first axis and the second axis in the meridional plane with the plane in which the first optical element, i.e. the first raster element, is arranged. The first optical element with a multitude of first raster elements is also referred to as first faceted optical element. Likewise, the second optical element with a multitude of second raster elements is also referred to as second faceted optical element. According to the invention it is intended that the position of the first raster element can be varied relative to the second raster element, so that the distance of the first axis from the second axis is variable. In a special embodiment of the invention, in particular in refractive systems, the first axis and the second axis can coincide in one common axis. In a special system of this kind, the distance of the first axis from the second axis in an aligned system would be substantially zero. If in an embodiment of this kind, the first raster element is moved relative to the second raster element, the first axis will no longer coincide with the second axis in one common axis. In other words, there will be an offset between the first axis and the second axis. Under the inventive concept, the first axis is moved off-center relative to the second axis. In a first, refractive embodiment of the invention, by moving the first optical element with the first raster elements in relation to the second optical element with the second raster elements, a lateral offset of the illuminated field is achieved. A field in a field plane, for example together with a fixed aperture stop, can now be variably illuminated. With an arrangement of this kind, a variable illumination of a field in the field plane is achieved without the need to use variable field aperture stops. In particular, no separate optical imaging system for the variable field aperture stops is needed. In this arrangement, the first raster elements are assigned to the first optical element and the second raster elements are assigned to the second optical element. In an alternative embodiment, a comparable effect can be achieved for a reflective configuration of an optical device with a first optical element with first reflective raster elements and a second optical element with second reflective raster elements, if instead of mutually off-centering a first optical element relative to a second optical element, the second optical element is tilted relative to the first optical element, or if individual second raster elements of the second optical element are tilted. The reflective raster elements are configured as mirrors. By tilting the second raster elements by different tilt angles in a reflective system in which there is generally no longer a common optical axis of the different optical components of the illumination system, the first reflective raster elements can be projected onto different locations in a field plane. This makes it possible to influence the illumination in the field plane and thus to influence the uniformity of the illumination. The first optical element in its reflective embodiment is also referred to as field honeycomb plate, and the first raster elements are referred to as field honeycombs. The second optical element is referred to as pupil honeycomb plate, and the second raster elements are referred to as pupil honeycombs. The light bundle traveling between a first raster element and an associated second raster element is referred to as light channel. This applies also to embodiments in which the first or the second raster element is designed as a reflective raster element. The first raster elements or field honeycombs receive the light of for example one or several light sources and split it into individual light bundles assigned to respective first raster elements. The first raster elements are configured so that a multitude of light source images are formed at or near the location at which the second optical element is arranged, where one light source image is assigned to each second raster element. With a multitude of field- and pupil honeycombs, a multitude of light channels are formed. In order to prevent crosstalk between the channels, the width or size of the light source image on the second raster element is preferably smaller than the size or width of the respective second raster element. The term “crosstalk” is used for example with the meaning that as a result of the change in position of the first raster element and the second raster element in relation to each other, the correlation between first and second raster elements is changed. If for example in a refractive embodiment of the invention, the first raster elements in relation to the second raster elements, or vice versa, are moved in a plane to which the first axis and second axis run perpendicular, the illuminated area can be changed in its position by this kind of a movement. If a field aperture stop which is configured as a fixed aperture stop is arranged in or close to a field plane or a conjugate plane to a field plane, the illuminated area on a reticle arranged in the field plane can be varied as described above by means of an optical device according to the invention in conjunction with the fixed aperture stop. In a special embodiment of the invention, it is even possible to cause the illuminated area in the field plane to totally disappear. If the first raster element and the second raster element are reflective elements, i.e. configured as mirrors, the illuminated area can be changed by tilting the second optical element relative to a plane that is defined by the first optical element, or by tilting or off-centering individual second raster elements on the second optical element. In order to prevent crosstalk also with a change in position, one needs not only to select the size of the light source image smaller than the width of the second raster elements, but to also limit the position change of a primary second raster element relative to a first raster element in such a way that the position change will not cause a secondary second raster element to be illuminated, for example one that lies adjacent to said primary second raster element. As explained above, as there are a multitude of first and second raster elements, i.e. field facets and pupil facets, there are a multitude of light channels, wherein to each specific field facet one specific pupil facet is assigned. In the case of crosstalk, light of one channel gets for example into a neighboring channel. If field facets and pupil facets have for example the same aspect ratio, cross talk will already occur in the refractive embodiment if field facets and pupil facets are offset or moved relative to each other by such an amount that the field is moved only by half of a field width. In an advantageous embodiment, the dimensions of the pupil facets in a direction that coincides with the scan direction in an illumination system are larger than the respective dimensions of the field facets. Accordingly, the aspect ratio of the field facets is different for field- and pupil facets. If the aspect ratio differs in the way described above, a crosstalk can be safely avoided. A crosstalk can in particular be safely avoided if the pupil facets have a larger width than the size of the images of the light source that are formed at the location of the pupil facets. For many applications, it is sufficient if the pupil facets are at least made larger in one dimension. In an alternative embodiment of the invention, crosstalk can be prevented from occurring, if for example the aspect ratio is the same in field- and pupil facets, through a design where the pupil facets are divided into at least two optical elements arranged one behind the other. The refractive powers of the consecutively arranged optical elements are selected so that they partially compensate each other if the optical axes coincide in one common axis, but that they exhibit a strong prismatic effect when they are laterally offset from each other. This makes it possible in refractive optical devices with field- and pupil facets that have equal aspect ratios or in cylindrical honeycomb plates to shift the illuminated area completely outside of the field range to be imaged, without thereby causing crosstalk to occur. If in a special embodiment of the invention the pupil facets are divided as described above, the system will have a first optical element with first raster elements, so-called field facets, a second optical element with second raster elements, so-called first pupil facets, and a third optical element with second pupil facets. With the third optical element with second pupil facets, it is possible to achieve that the illuminated area lies completely outside of a fixed aperture stop. The offset which is required for this in one of the two optical elements which are arranged between the field facets and the mirror- or lens device is smaller than a diameter of the first pupil facet or the second pupil facet. In the following, the focal length f1 of the first pupil facet as well as the focal length f2 for the second pupil facet are to be determined for the case where in a refractive system the illumination in the field plane is made to disappear by moving the second optical element with first pupil facets or by moving the third optical element with second pupil facets in combination a fixed aperture stop. With the boundary condition that, by design, the illumination be made to completely disappear through a movement of the second optical element with first pupil facets relative to the third optical element with second pupil facets, one obtains the following relationships for the focal lengths f1 and f2 of the first and second pupil facets, respectively: f 1 = δ * f FF f 2 = d 1 + δ δ - 1 * f FF in the case where the second optical element with first pupil facets is moved, and f 1 = δ δ - 1 ⁢ f FF f 2 = d 1 + δ * f FF in the case where the third optical element with second pupil facets is moved, wherein fFF: represents the focal length of the field facet, f1: represents the focal length of the first pupil facet, f2: represents the focal length of the second pupil facet, d1: represents the distance along the main axis HA from the second optical element to the third optical element, δ: represents a parameter that describes the offset, i.e. the displacement of the second optical element with first pupil facets in relation to the third optical element with second pupil facets, wherein D is the diameter of a pupil facet, and wherein the illuminated field in the field plane is made to disappear by a displacement of δ×D. If the illuminated field is made to disappear for example by a displacement equal to one-half of the width of the first pupil facet, then δ=½. In order to ensure the separation of the channels and to prevent a crosstalk into the neighboring channel, the divergence angle γ before the optical element with field facets is limited to sin ⁢ ⁢ γ < ( 1 - 2 ⁢ ⁢ δ ) ⁢ D f FF ,with D representing the given facet diameter. In a further developed embodiment, the afore-described optical element is used in an illumination system, in particular an illumination system for a microlithography projection exposure apparatus, for the illumination of an illumination area with light from a primary light source. The optical device in this arrangement includes a first optical element with at least one first raster element with a first optical axis and a second optical element with at least one second raster element with a second optical axis which is arranged so that it follows the primary light source in the light path from the primary light source to the illumination area. Preferably, the illumination system includes a mirror- and/or lens device arranged so that it follows the optical device in the light path from the light source to the illumination area, i.e. the field plane, with the mirror- and/or lens device in certain embodiments serving to shape the field and to project an image of the second raster elements or the third raster elements into a pupil plane of the illumination system. A shaping of the field with optical elements which are arranged so that they follow the two faceted optical elements with the at least one first raster element and the at least one second raster element is performed in particular in illumination systems that operate in the EUV range. To shape the field, the preference in EUV systems is to use so-called grazing-incidence mirrors. In grazing-incidence mirrors, the reflection of light takes place at a glancing pass. In contrast to this, the reflection at normal-incidence mirrors takes place at systems of multi-layered coating systems due to interference. Examples for such multi-layered coating systems are, e.g. multi-layered Mo/Si coating systems. Grazing-incidence mirrors, on the other hand, have only a small number of reflective layers, with preference for example a metallic layer of ruthenium where for example radiation with a wavelength of 13.5 nm is reflected. In reflective systems of the type that are used for example in the EUV range, the individual raster elements, i.e. the field raster element and/or the pupil raster element consist as a rule of facet mirrors which are operated with normal incidence. For EUV light with a wavelength of 13.5 nm, the angles of incidence of the rays of a light bundle which fall on the facet mirror under normal incidence are smaller than 40°, and preferably smaller than 30°, relative to the normal vector of the surface of the facet mirror. However, all optical elements, including the field raster elements and/or the pupil raster elements, can also be operated as grazing-incidence elements where the light meets the reflecting surface at a glancing pass. At a wavelength of 13.5 nm, the angle of incidence at which the rays of a light bundle arrive at and leave the surface in relation to the surface-normal direction is for example more than 70° for a mirror coated with ruthenium, and preferably lies between 70° and 85°. In an alternative embodiment of an illumination system without field-forming optical components, the first raster elements are not configured with a rectangular shape, but have the shape of the field to be illuminated, for example an arcuate shape. The design of the field raster element in the shape of the field to be illuminated is possible in reflective as well as refractive systems. In order to prevent that second raster elements, besides projecting images of first raster elements that are assigned to them through a light channel, also project neighboring first raster elements into areas adjacent to the field to be illuminated—in other words, that a so-called crosstalk takes place—it is advantageous if as described above, the size of the image of the light source that is produced by the respective first raster elements comes out smaller than the pupil facet in the plane in which the second raster elements are arranged, which means that the pupil facet is under-filled. With other than ideal point-shaped light sources, the size of the light source in the plane in which the second raster elements are arranged is determined by the size of the primary light source, modified by the imaging scale ratio under which the primary light source is projected into the plane of the second optical element, and/or the defocused arrangement of the second raster elements relative to the first raster elements. Crosstalk according to the present application exists in particular if by changing the relative position of the raster elements, e.g. by offsetting the field raster elements and/or by offsetting or tilting the pupil raster elements, the initially assigned channel correlation of the field raster elements to the pupil raster elements is changed. In a particularly preferred embodiment of the invention, the illumination system has a fixed aperture stop as a field aperture stop. The term “field aperture stop” is used herein with the meaning of an aperture stop by which the size and shape of the field in a field plane can be influenced. In illumination systems for scanning imaging systems, a field aperture stop is arranged preferably away from the field plane in order to create a half-shadowed area at the border of the field and thereby avoid steep jumps in intensity. Besides the optical device as well as the illumination system, the invention also provides a projection exposure apparatus, in particular for microlithography at wavelengths ≦248 nm, in particular ≦193 nm, which includes an illumination system according to the invention. With the illumination system a field such as a ring field in the field plane is illuminated. Arranged in the field plane is a structured mask, an image of which is projected by means of a projection objective onto a light-sensitive object in an image plane. The projection exposure apparatus serves the purpose to put a structure on surfaces of microelectronic components, so-called semiconductor chips. In this regard, the invention also provides a method for the manufacture of microelectronic components. The invention provides in particular an illumination system for wavelengths in the EUV range, i.e. for wavelengths between 5 nm and 30 nm, which is distinguished by the fact that placing the optical device with at least two reflective raster elements into the light path between a light source and a field plane, it becomes possible to change the illumination in the field of the illumination system by tilting and/or offsetting the pupil raster elements assigned to a channel, while the illumination in the pupil plane of the illumination system remains largely unchanged. FIG. 1 shows an embodiment of a refractive illumination system 10 of a microlithography projection exposure apparatus which can be used in the manufacture of semiconductor components and other microstructured components and which operates with light in the deep ultraviolet range so as to achieve levels of resolution as fine as a fraction of a micron. The microlithography projection exposure apparatus illustrated in FIG. 1 has a conjugate field plane 25, an image of which is projected by means of an objective 18 into a field plane 19 where a mask can be set up. As a primary light source 11, the refractive illumination system shown here uses for example an F2 excimer laser with an operating wavelength of approximately 157 nm, whose light beam is aligned coaxially with the optical axis 20 of the illumination system. Other UV light sources, for example ArF excimer lasers with an operating wavelength of 193 nm, KrF excimer lasers with an operating wavelength of 248 nm, as well as primary light sources with longer or shorter operating wavelengths are likewise possible. Alternatively, one could also use light sources of other kinds such as for example light-emitting diodes or laser diodes. The light beam of small rectangular cross-section arriving from the laser first encounters a an optical beam-expanding arrangement 12 which produces an outgoing beam containing largely parallel light of a larger rectangular beam cross-section. The optical beam-expanding arrangement further serves to reduce the degree of coherence of the laser light. The largely parallel light beam of, e.g., linearly polarized light falls on the entry surface of a first optical element 13 with first raster elements 21 which can be configured for example as cylindrical lenses of identical positive refractive power and rectangular cross-sections, with the first optical element with the first raster elements in this example being constituted by an array of 4×4 cylindrical lenses as first raster elements. The cylinder axes 20 of the cylindrical lenses 21 are also referred to as first axes of the first raster elements. The rectangular shape of the cylindrical lenses 21 corresponds to the rectangular shape of the illumination field 19, meaning that the shape of the first raster elements or field raster elements corresponds to the shape of the field that is to be illuminated. The cylindrical lenses 21 are arranged in a rectangular raster directly adjoining each other, i.e. essentially filling the raster area, in or near a field plane 23 of the illumination system 23. Based on their position in or near a field plane, the cylinder lenses 21 are called field raster elements or field facets. A three-dimensional arrangement of a multitude of field- and pupil raster elements is shown in FIG. 4c. The cylinder lenses 21 have the effect that the light falling on the plane 23 is split up into a number of light bundles equal to the number of the illuminated cylindrical lenses 21, which are focused onto a pupil plane 24 of the illumination system 10 which lies in the focal plane of the cylindrical lenses 21. In the pupil plane, images of the light source, so-called secondary light sources, are formed generally in the respective foci of the cylindrical lenses. In or near the plane 24 where the secondary light sources are formed, a second optical element with second raster elements is positioned, wherein the second raster elements are for example cylindrical lenses 22 of rectangular cross-section and, e.g., positive refractive power. The cylindrical lenses 22 of the second optical element are also called second raster elements or pupil facets. Each cylindrical lens 21 of the first optical element 13 projects an image of the light source 11 onto a respective corresponding second cylindrical lens 22 of the second element 14, so that a multitude of secondary light sources are produced in the pupil plane 24. A pair of corresponding cylindrical lenses 21, 22 of the first and second optical element 13, 14, respectively, form an optical channel. The first optical element 13 with first raster elements together with the second optical element 14 with second raster elements is also called a honeycomb condenser 15. In the cylinder lenses of the second optical element, the cylinder axes 50 which are perpendicular to the plane of the drawing, are likewise indicated. A cylinder axis 50 is also referred to as second optical axis of the second raster element. The pupil honeycombs 22 are arranged in the proximity of the respective secondary light sources and, through a field lens 16 which follows in the light path, project the field honeycombs 21 into a conjugate field plane 25 of the illumination system. In the projection, the rectangular images of the field honeycombs 21 are superimposed on each other in the conjugate field plane 25. This superposition has the effect that it makes the light intensity more homogeneous and uniform in the area of the conjugate field plane 25. In the system shown in FIG. 1, the illuminated field and in particular its size in the field plane, which is also referred to as illumination area 19, is influenced in the same way as in the prior art by a field aperture stop 27 which can be arranged for example in the conjugate field plane 25. The variable field aperture stop can be configured for example as an iris shutter which can delimit the light bundle as shown in FIG. 1 and indicated by the reference symbol 28. An image of the field aperture stop of the conjugate field plane 25 is projected by means of an objective 18 which follows in the light path onto the reticle that is set up in the area of the illumination field. As explained initially, a system of this kind is complicated and expensive to produce and has light losses that are due to the required objective 18. The objective 18 is therefore also denoted as REMA objective or REMA lens. As described above, the plane 25 is a conjugate field plane or a so-called intermediate plane of the illumination system, in which a so-called reticle-masking system (REMA) is arranged which includes an adjustable field aperture stop. The subsequent objective 18 projects an image of the intermediate plane 25 with the field aperture stop 27 onto the reticle or mask or lithography template which is present in the illumination area 19. The illumination system 10 shown in FIG. 1, together with a projection objective (not shown), forms a projection exposure apparatus for the microlithographic manufacture of electronic components and also of optical diffractive elements and other microstructured parts. Of course, the illustrated illumination system can also be used for any other kind of illumination with a variable field size, for example in projectors and the like. FIG. 2 shows a first embodiment of a honeycomb condenser 15 with a first optical element 100 with field facets 102 and a second optical element 104 with pupil facets 106 in a meridional section, i.e. in a plane that includes the main axis HA of the overall system. As may be clearly seen, the honeycomb condenser 15 includes a first optical element 100 with a multitude of first raster elements 102, so-called field facets, which are configured here as refractive optical raster elements or refractive field facets, and a second optical element 104 with a multitude of second raster elements 106 which are likewise configured as refractive optical raster elements or refractive pupil facets. As described above, the first and second refractive optical raster elements can for example be cylindrical lenses. Each first raster element 102 has a first axis 110, and each second raster element 106 has a second axis 112. As shown in FIG. 2, the first axis 110 and the second axis 112 are arranged along a common axis 114 which runs parallel to the main axis HA of the overall system. Through the lens device 120 and the second raster elements, images of the first raster elements are projected into a field plane 130 where an aperture stop 132 can be arranged. The images of the first raster elements largely superimpose themselves on each other in the field plane 130. Under the invention, it is proposed to influence the magnitude of the illuminated field in the field plane not by projecting an image of a variable field aperture stop arranged in an intermediate plane as shown in FIG. 1, but directly by moving for example the first raster elements relative to the second raster elements (FIG. 3a) or the second raster elements relative to the first raster elements (FIG. 3b) within a plane in which the first or the second optical element is arranged. All components that are identical to the components in FIG. 2 are identified by the reference symbols of FIG. 2 raised by 100. With the aforementioned movement, all first axes 210 of the first raster elements 202 become offset, or off-centered, from the second axes 212 of the second raster elements 206, meaning that they no longer lie on a common optical axis as shown in FIG. 2. The optical axes have an offset A relative to each other. By offsetting the first raster elements 202 relative to the second raster elements 206 and using a fixed aperture stop 232 arranged in the aperture stop plane 230, the illuminated area on the reticle in the reticle plane can be varied. This is illustrated in FIG. 3a. In the embodiment according to FIG. 3b, the second optical element with the second raster element 204 is offset in the meridional plane relative to the first optical element with raster elements 202, i.e. in a plane that contains the main axis HA of the system. This again has the effect of varying the position of the illuminated surface in the reticle plane of the illumination system. In the embodiment shown in FIGS. 3a and 3b, the first raster elements, the so-called field facets 202, as well as the second raster elements, the so-called pupil facets 206, have the same aspect ratio, in particular the same width in the scan direction, i.e. in the y-direction. In an arrangement where the field facets and the pupil facets have the same aspect ratio, as soon as the pupil facet is offset relative to the field facet by more than one-half of the facet diameter, the channel that is assigned to a given field facet is projected through the neighboring pupil facet, a condition that is also referred to as crosstalk. In order to prevent crosstalk from occurring, the field facet 202, as shown in FIG. 3a, can be moved relative to the pupil facet 206 only by Δ=½·DPF in the direction 250, or as shown in FIG. 3b, the pupil facet 206 can be moved relative to the field facet 202 only by ½·DPF in the direction 252. However, the field in the field plane 230 is in this case still half illuminated. The optical axis of the field facet element 202 is identified as 210 and the optical axis of the pupil facet element is identified as 212. In the embodiment shown in FIGS. 3a and 3b a light source image 253 of a primary light source (not shown in the drawing) comes out as practically point-shaped in the second raster element, meaning that the width of the light source image on the second raster element is much smaller than the width of the second raster element. This also applies in particular to the width in a scanning direction of an illumination system, which is referred to herein as y-direction. The light source images 253 are formed by projecting a primary light source (which is not shown in the drawing) for example through the individual first raster elements 102, 202. If one wishes to achieve that a complete image be projected into the plane in which the reticle is set up, it is preferable to select the width of the pupil facet element—with preference in the direction of movement in which the first or the second optical element is offset in refractive systems—to be larger than the width of the first raster element which is also referred to as field raster element. This is shown in FIGS. 4a and 4b in the form of an example for a pair of refractive field- and pupil raster elements. A complete system with a multitude of field and pupil raster elements of this kind is shown in FIG. 4c. FIGS. 4a and 4b illustrate in an example how it is possible to achieve a total blackout in the field plane while avoiding crosstalk by offsetting a field facet element relative to a pupil facet element in the case where the pupil facet element as shown here has a significantly larger width at least in the scan direction of the illumination system than the field facet element. An embodiment of a double-faceted illumination system with a honeycomb condenser in which the pupil facet elements have a larger width in scan direction than the field facet elements is shown in U.S. Pat. No. 5,594,526. The scan direction in a microlithography projection exposure apparatus as shown for example in FIG. 6 is also referred to as y-direction. FIG. 4c illustrates a system according to U.S. Pat. No. 5,594,526 in three-dimensional view, where the width of the pupil facets in the scanning direction, i.e in y-direction is larger than the width of the field facets. FIG. 4a shows the ray pattern for a field facet element 302 of the first optical element and a pupil facet element 306 of the second optical element as well as the lens 320 that follows in the light path, wherein the first axis 310 of the field facet element 302 coincides with the second axis 312 of the pupil facet element 306 and runs parallel to the main axis HA of the optical system. All components are identified by reference symbols analogous to FIG. 1 but raised by 200. The illuminated field in the field plane 330 is identified as 334.1. The fixed aperture stop which is arranged near the field plane 330 is identified as 332. As shown in FIG. 4a, the illuminated field 334.1 is projected in its entirety into the field plane 330 without vignetting. The light source images are identified by the reference symbol 353. The light source images are substantially point-shaped as they were already in the case of FIGS. 3a and 3b. As shown in FIG. 4b, if the field facet element is moved relative to the pupil facet element by a distance of Δ=½ DPF in the direction 350 in the first plane 352, a crosstalk between channels is avoided and the illuminated field is projected by the optical imaging system 320 entirely into an area 334.2 which is blocked off by the field aperture stop 332, so that the illumination in the field plane 330 is made to disappear. If a crosstalk between channels is to be avoided even with a multitude of first and second raster elements arranged above each other in a dense array of the first and second raster elements on a first and a second element, the field raster elements are arranged in rows as shown in FIG. 4c, and two rows lying above each other are arranged with an offset relative to each other. This is also indicated in the sectional drawing in FIG. 4b. A total of three field facets 302.1, 302.2, and 302.3 are shown which lie above each other. As can be seen in FIG. 4b, the field facet 302.2 is offset relative to the field facets 302.1 and 302.3. With preference, the facets are offset relative to each other by exactly one-half of their width as is shown in FIG. 4c which represents a detail of an illumination system from U.S. Pat. No. 5,594,526. The width is defined in this case in the x-direction, i.e. in a direction perpendicular to the scanning direction and perpendicular to the direction in which the main axis HA is oriented. The field facet element 302 in FIG. 4b has the same reference symbol as in the embodiment shown in FIG. 4a, as does the pupil facet element 306. The width in the scanning direction, i.e., in the y-direction of the pupil facets if DPF, the width of the field facet element is DFF, and the relationship between the two is in this exampleDPF=2·DFF Accordingly, the size of the pupil facets in the present case is about twice the size of the field facets in scanning direction, i.e in y-direction. The second optical element 304 is arranged in the second plane 354. The offsetting of the first optical element or the second optical element in relation to each other is performed for example with an electric motor, for example a stepper motor. FIG. 4c represents in three-dimensional view a refractive optical device consisting of a first optical element 10000 with a multitude of field raster elements 10002, so-called first raster elements, and a second optical element 10004 with a multitude of pupil raster elements 10006, so-called second raster elements. Also indicated are the main axis HA and the y-direction as well as the x-direction. As may be clearly seen, the width DFF of the field facets 10002 in the y-direction, i.e. in the scanning direction, is smaller than the width DPF of the pupil facets 10004 in the y-direction, analogous to FIGS. 4a and 4b. Also clearly shown is the staggered arrangement of the field facets 10002 in different rows 10010.1, 10010.2. As can be seen in FIG. 4c, the limits or borders 10020 of the individual field facets 10002 in neighboring field-facet rows 10010.1, 10010.2 are offset relative to each other in the x-direction by exactly one/half of the width B of an individual field facet. If the first optical element with field facets 10002 is moved in the scanning direction by one-half of the width DPF a crosstalk into a neighboring channel can be safely prevented. An alternative embodiment of the invention is illustrated in FIGS. 5a to 5c. In this example, the optical element with pupil facets which in the light path follows the first optical element with field facets is subdivided into two faceted optical elements. The latter two faceted optical elements will hereinafter be referred to as second optical element with first pupil facets and third optical element with second pupil facets. An embodiment of an arrangement with a second optical element with first pupil facets and a third optical element with second pupil facets is illustrated in FIGS. 5a, 5b, and 5c. FIG. 5a shows an optical system with a first optical element with field facets 502, a second optical element with first pupil facets 506, and a third optical element with second pupil facets 507. The field facets have a width DFF, and the first pupil facets 506 have a width DPF1. The aspect ratio of the field facets 502 equals that of the pupil facets 506. The second pupil facets are identified by the reference symbol 507. The second pupil facets 507 have a width DPF2 and are of negative refractive power. The distance between the first optical element 504 with first pupil facets 506 and the third optical element 509 with second pupil facets 507 is d1. The second optical element 504 with first pupil facets 506 as well as the third optical element 509 with second pupil facets 507 can be moved along the direction 513. In the embodiment shown in FIG. 5a, the optical axes 510, 512 and 515 that belong to the field facets and first and second pupil facets, respectively, lie on a common optical axis 514 which is parallel to the main axis HA of the system. The optical axis that belongs to the field facet is identified as 510, the axis that belongs to the first pupil facets 506 as 512, and the axis that belongs to the second pupil facets 507 as 515. In the following, it is assumed for the sake of simplicity that the width DFF of the field facets 502, the width DPF1 of the first pupil facets 506, and the width DPF2 of the second pupil facets 507 are all equal. With D representing the width of the facets 502, 506, 507, D=DFF=DPF1=DPF2. However, the system described here is not limited to this case. The width A of the illuminated area 534 in the field plane 530 is β×D. The parameter β represents the ratio between the width of the illuminated area in the field plane 534 and the width of the field facet 502. In the following, the aim is to develop a formal calculation for the focal length of the first pupil facets 506 of the second optical element 504 as well as for the second pupil facets 507 of the third optical element 509. The symbols used are f1 for the focal length of the first pupil facets 509, f2 for the focal length of the second pupil facets 507, fFF for the focal length of the field facets 502, and fc for the focal length of the lens device 521. If one postulates that the ray positions in the illuminated field be independent of the ray direction ahead of the field facet, one can establish the following condition for the focal length f2 of the second pupil facets 507 (Equation 1): f 2 = d 1 + f 1 * f FF f 1 - f FF . The imaging ratio β, i.e. the ratio between the width A of the illuminated field 534 and the width DFF of the field facets 502 conforms to the relationship: β = f C f FF ⁢ ( 1 - d 1 f 2 ) . FIG. 5b demonstrates how the illumination changes if one moves the second optical element 504 with first pupil facets 506 in the plane 554 relative to the third optical element 509 with second pupil facets 507. The optical design structure is the same as in FIG. 5a; consequently components that are analogous to those in FIG. 5a have the same reference symbols. When the first pupil facets 506 are moved in the plane 554 by an amount δ×D, one obtains for the offset A of the illuminated field 534 in the field plane 530: Δ = f C f 1 ⁢ ( 1 - d 1 f 2 ) * δ * D If the offset Δ of the illuminated field 534.1 in the field plane 530 is as large as the width A of the illuminated field 534, then the illuminated field 534.1 in the field plane 530 will be entirely in the area that is cut off by the field aperture stop 532. Consequently, no light within the field aperture stop 532 falls on the reticle. If the focal length f1 of the first pupil facets 506 and the focal length f2 of the second pupil facets 507 are selected as f 1 = δ * f FF f 2 = d 1 + δ δ - 1 ⁢ f FF then the offset δ×D of the first pupil raster element 506 in the plane 554 will cause an offset Δ=A of the illuminated field 534.1 in the field plane 530. At the same time, Equation 1 will be satisfied, i.e. the ray position in the field plane 530 will be independent of the ray direction ahead of the field facet 502. With the foregoing choice of the focal lengths f1 of the first pupil facets 506 and the focal lengths f2 of the second pupil facets 507, it is possible to achieve a complete blackout of the field plane 530 without crosstalk, even if the widths of the pupil facet elements 506 and 507 are no larger than those of the field facet elements 502. To achieve this result, it is necessary to select δ<½. In real (i.e. not idealized) systems the light source is not projected by the raster elements as a point into the plane in which the second raster elements are arranged, but rather as an image with a certain width. In order to prevent crosstalk, also when the optical elements are not offset relative to each other, the magnitude of the image of the light source in the plane in which the first pupil facets are arranged must be no larger than (1-2δ)·D. As a necessary condition for this, the angle of divergence y ahead of the first raster element with field facets 502 for a given facet diameter DFF of the field facets needs to be limited to sin ⁢ ⁢ γ < ( 1 - 2 ⁢ ⁢ δ ) ⁢ D FF f FF If this condition is satisfied, the illuminated portion at the location where the first pupil raster element 506 is positioned will be at most (1-2δ)·DFF. Consequently, an offset of the pupil facet element 506 in the plane 554 by an amount δ×DFF is possible in the positive as well as in the negative sense without crosstalk occurring. With a sufficiently small distance d1 between the second optical element and the third optical element, crosstalk can also be prevented at the second pupil facet element 507. In the embodiment shown in FIG. 5c, the optical device includes a second optical element with first pupil facets of negative refractive power and a third optical element of positive refractive power. In the embodiment shown in FIG. 5c, the optical element 509 with the second pupil facets 507 will be offset in the plane 556 relative to the second raster element 504 with the first pupil facets 506. If the second pupil facets 507 are moved in the plane 556 by a distance δ×D, one obtains for the offset A of the illuminated field 534 in the field plane 530: Δ = f C f 2 * δ * D . In the same manner as above, one can derive equations from this result for the focal lengths f1 of the first pupil facets 506 and the focal lengths f2 of the second pupil facets 507: f 1 = δ δ - 1 * f FF f 2 = d 1 + δ * f FF With this choice of the focal lengths f1 of the first pupil facets 506 and the focal lengths f2 of the second pupil facets 507, an offset δ×D of the raster element 509 in the plane 556 will cause an offset Δ=A of the illuminated field 534.2 in the field plane 530. At the same time, Equation 1 will be satisfied, i.e. the ray position in the field plane 530 will be independent of the ray direction ahead of the field facet 502. With the foregoing choice of the focal lengths f1 of the first pupil facets 506 and the focal lengths f2 of the second pupil facets 507, it is possible to achieve a complete blackout of the field plane 530 without crosstalk, even if the widths of the pupil facet elements 506 and 507 are no larger than those of the field facet elements 502. To achieve this result, it is necessary to select δ<½. Due to the condition that δ<½, it is therefore necessary for the first pupil facet 506 to have a negative refractive power in the case where the second pupil facet 507 is offset against the first pupil facet 506 in the embodiment shown in FIG. 5c. FIG. 6 shows in a schematic representation a projection exposure apparatus of a refractive design. An illumination system which includes an optical device of the kind shown in FIGS. 2 to 5c illuminates a field in a field plane 2010 by means of one or more light sources 2000. An x-y-z coordinate system is outlined in the field plane 2010. A reticle arranged in the field plane 2010 is moved in the scanning direction which is in this case the y-direction. An image of the reticle in the field plane is projected by means of a projection objective 2020 into a plane 2030 where a light-sensitive object is arranged. The optical device shown in FIG. 2 to 5c is included in the illumination device 2050. In a most preferred embodiment the illumination device is similar to the illumination device shown in FIG. 1 with the difference, that due to the optical device according to FIG. 1 the field in the field plane can be influenced without a reticle masking system. The reticle masking system as shown in FIG. 1 comprises an adjustable field aperture stop denoted with the reference number 28. Furthermore the illumination device 2050 does not comprise a REMA-objective, denoted in FIG. 1 with reference number 18 to project an intermediate plane into a field plane in which e.g. a reticle is situated. FIGS. 7a to 8 illustrate an example for a reflective design of the inventive concept of the kind that finds application for example in EUV lithography. FIG. 7a illustrates the ray pattern from a first optical element 3002 with first raster elements 3010.1 and 3010.2 to a second optical element 3202 with second raster elements 3020.1 and 3020.2. Only the principal ray CR of a light channel from a first raster element 3010.1 to a second raster element 3020.1 is shown. The first and second raster elements in a reflective design are configured as mirrors. They are also called facet mirrors. The first axis of the first raster element 3010.1 is identified as 3012, the second axis of the second raster element 3020.1 as 3022. The two axes, i.e. first and second axes 3012 and 3022, respectively, are spaced from each other at a distance ABST1, measured in the plane 3000 in which the first optical element 3002 is arranged. The second optical element 3202 is arranged in a second plane 3200. If for the purpose of adjusting the field illumination the second optical element 3200 is tilted by an angle δ as shown in FIG. 7b, the tilting changes the position of the second axis 3122 of the second raster element 3020.1 which is arranged on a support device 3124 of the second optical element, and thus it also changes the distance ABST2 from the first axis 3112 of the first raster element 3010.1 in the plane 3000 where the first optical element is arranged. Through the tilting, the illumination in the field plane can be changed or it can be made to entirely disappear. Especially one can see from FIG. 7b that the location of the secondary light source 3300 on the pupil facet 3020.1 changes, which influences the illumination in the field plane. As an alternative or in addition to the tilting of the second optical element 3202, it is also possible to offset the first optical element 3002 and/or the second optical element 3002 in the plane 3000 in order to change the illumination in the field plane. Particularly preferred is an arrangement where not the entire second optical element 3202 is tilted and/or offset, but where individual second raster element are tilted by different angles as shown in FIG. 7c. Thus, the second raster element 3020.1 in FIG. 7c is tilted by an angle α1 and the second raster element 3020.2 by and angle α2. In addition or as an alternative, each of the second raster elements can be individually offset. The same optical elements as in FIGS. 7a and 7b are denoted with the same reference numbers in FIG. 7c. Quite generally in reflective as well as refractive illumination systems, the field in the field plane is influenced according to the invention by moving the position of the light source images, i.e. of the secondary light sources, in the plane in which the second optical element with pupil raster elements is arranged. With preference, the moving of the light source images is effected in such a way that different locations are illuminated on the respective second raster element. Through this movement of the light source images one achieves a movement of the field in the field plane. If the position of the light source image on the second raster element is individually set for each second raster element, it is possible to individually select the position of the field that is taken up in the reticle plane by each individual field facet. By the superposition of the images of the individual field facets in the field plane or reticle plane, it is now possible to set a desired uniformity of the illumination in the field plane. With preference, the offsetting of the light-source images on the second raster element occurs in such a way that a crosstalk between channels is avoided. FIG. 8 illustrates a reflective design of a projection exposure apparatus, in particular for wavelengths in the EUV range, in which the honeycomb condenser is configured according to the invention as shown in FIGS. 7a and 7b. By tilting the second optical element 1230 by an angle δ as shown and described in the context of FIGS. 7a and 7b, the images of the field facets can be projected partially or completely outside of a field aperture stop. The field aperture stop is arranged either in a conjugate field plane or near the field plane itself in which a mask, for example a reticle, can be set up. Furthermore by tilting each pupil facet individually it is possible to project the images of each field facet in different positions in the field plane as shown in FIGS. 9a to 9b. The projection exposure apparatus shown in FIG. 8 represents a system of an entirely reflective design of the type that is used for example in lithography applications with wavelengths <100 nm, particularly for EUV lithography. In an entirely reflective system the optical components such as raster elements of the optical elements are configured as mirrors. The projection exposure apparatus 1000 in the embodiment shown in FIG. 8 includes as a light source a radiation source 1204 which emits light rays. The light of the radiation source 1204 is directed by means of an illumination system 1202 into the object plane of a projection objective of the projection exposure apparatus and illuminates a reticle in the object plane 1203 of the projection objective which coincides with the field plane of the illumination system. The illumination system 1202 can be configured in a way that is described for example in WO 2005/015314, entitled “Illumination System, in Particular for EUV Lithography”, or U.S. patent application Ser. No. 09/305,017, entitled “Microlithography”. The light of the light source or radiation source 1204 is gathered by a collector 1206. The collector 1206 illustrated in FIG. 8 is a grazing-incidence collector of the type that is disclosed, e.g. in WO 02/065482 A2. Following the collector 1206 in the light path is a spectral grid filter 1207 which together with the aperture stop 1209 in the vicinity of the intermediate image ZL of the light source 1204 serves the purpose of filtering out unwanted radiation of wavelengths other than the usable wavelength of, e.g. 13.5 nm and to keep it from entering into the illumination system that lies behind the aperture stop. Arranged behind the aperture stop 1209 is a first optical element 1210 with, e.g., 122 first raster elements or field facets (not shown in the drawing). The first optical element can be configured as shown in principle in FIGS. 7a, 7b and 7c, where the first optical element has field facets of a reflective design. The field facets form secondary light sources in a plane 1230. Arranged close to or in the plane 1230 in which the secondary light sources are formed is a second optical element 1212 with second raster elements or pupil facets (not shown in the drawing) which together with the mirrors 1232, 1233 and 1234 which follow in the light path after the second element project an image of the field into the field plane which coincides with the object plane 1203 of the projection objective 1200. The second optical element can be configured as illustrated in principle in FIGS. 7a, 7b and 7c. In a first embodiment of the invention, in order to change the illumination of the field in the object plane 1203, the second optical element 1212 or individual pupil facets are tilted e.g. by an angle δ in the tilt direction 1213 as described in the context of FIGS. 7a and 7b. Normally, tilting angles of less than one degree are sufficient for this. As an alternative or in addition to this, the second optical element 1212 can also be moved to an offset position. As an alternative or additional feature, it is also further possible that the first optical element 1210 with field facets (not shown in the drawing) is moved in the plane in which the first optical element 1210 is set up, as indicated by the arrow 1250. In an especially preferred embodiment of the invention, the positions of the light source images, which are also referred to as secondary light sources, are adjusted or changed on each individual pupil facet mirror. This can be achieved if each individual pupil facet mirror is individually tiltable and/or movable, i.e. changeable in its position. With such an arrangement each image of a field facet can be adjusted individually in the field plane. Also shown in the drawing are the first axis 1252 and the second axis 1254. In the illustrated embodiment, a fixed aperture stop 1235 is arranged as a field aperture stop in the vicinity of the object plane 1203. Due to the fixed aperture stop 1235 as described above, by tilting the first optical element 1210 relative to the second optical element 1212, the illumination in the object plane 1203 can be made to completely disappear. Also shown in FIG. 8 is the local x-y-z coordinate system in the field plane. The y-direction in this case represents the scanning direction, if the illustrated microlithography projection exposure apparatus is configured as a ring field scanner. Arranged in the object plane or field plane 1203 is for example a structured mask 1205, the so-called reticle, of which an optical image is projected by means of the projection objective 1200 into the image plane 1214 of the projection objective 1200. The projection objective in the illustrated embodiment has eight mirrors, i.e. the mirrors S1, S2, S3, S4, S5, S6, S7 and S8. A substrate with a light-sensitive coating 1242 is arranged in the image plane 1214. The substrate with a light-sensitive coating can be given a structure through subsequent exposure- and development processes, for example for the purpose of producing a microelectronic component, e.g. a wafer with a multitude of electrical circuit elements. In FIG. 9a a field in a field plane is shown. The field in the field plane is a superposition of the three images 20000.1, 20000.2 and 20000.3 of three field facets. As can be seen from FIG. 9a by tilting the pupil facets individually the position of the image 20000.1, 20000.2, 20000.3 of the field facet in the field plane can be varied. The intensity profile in x-direction of the field shown in FIG. 9a is shown in FIG. 9b. As can clearly be seen by shifting the position of the image of the pupil facets in the field plane, the intensity profile e.g. in x-direction can be influenced.
description
The present application claims priority from Japanese Patent Application No. 2013-034382 filed Feb. 25, 2013. 1. Technical Field The present disclosure relates to devices that supply a target irradiated with a laser beam for the purpose of generating extreme ultraviolet (EUV) light. The present disclosure also relates to apparatuses for generating extreme ultraviolet (EUV) light using such a target supply device. 2. Related Art In recent years, semiconductor production processes have become capable of producing semiconductor devices with increasingly fine feature sizes, as photolithography has been making rapid progress toward finer fabrication. In the next generation of semiconductor production processes, microfabrication with feature sizes at 60 nm to 45 nm, and further, microfabrication with feature sizes of 32 nm or less will be required. In order to meet the demand for microfabrication with feature sizes of 32 nm or less, for example, an exposure apparatus is needed in which a system for generating EUV light at a wavelength of approximately 13 nm is combined with a reduced projection reflective optical system. Three kinds of systems for generating EUV light are known in general, which include a Laser Produced Plasma (LPP) type system in which plasma is generated by irradiating a target material with a laser beam, a Discharge Produced Plasma (DPP) type system in which plasma is generated by electric discharge, and a Synchrotron Radiation (SR) type system in which orbital radiation is used to generate plasma. A target supply device according to an aspect of the invention may include a tank, a nozzle, an insulating member, and a heater. The tank may be formed of a metal and may hold a target material. The nozzle may have a hole that communicates with the interior of the tank. The insulating member may make contact with at least part of the periphery of the tank. The heater may be separated from the tank and heat the tank via the insulating member. Hereinafter, selected embodiments of the present disclosure will be described in detail with reference to the accompanying drawings. The embodiments to be described below are merely illustrative in nature and do not limit the scope of the present disclosure. Further, the configuration(s) and operation(s) described in each embodiment are not all essential in implementing the present disclosure. Note that like elements are referenced by like reference numerals and characters, and duplicate descriptions thereof will be omitted herein. 1. Terms 2. Overview of Extreme Ultraviolet Light Generation Apparatus 2.1 Configuration 2.2 Operation 3. Extreme Ultraviolet Light Generation Apparatus Including Target Supply Device 3.1 Configuration 3.2 Operation 3.3 Issue 4. First Embodiment of Target Supply Device 4.1 Configuration 4.2 Operation 4.3 Effect 5. Second Embodiment of Target Supply Device 5.1 Configuration 5.2 Operation 5.3 Effect 6. Third Embodiment of Target Supply Device 6.1 Configuration 6.2 Operation 6.3 Effect Several terms used in the present application will be described hereinafter. A “chamber” is a receptacle, in an LPP-type EUV light generation apparatus, that is used to isolate a space in which plasma is generated from the exterior. A “target supply device” is a device for supplying a target material that is used for generating EUV light, such as melted tin, to the interior of a chamber. An “EUV collector mirror” is a mirror for reflecting EUV light radiated from plasma and outputting that light to the exterior of a chamber. FIG. 1 schematically illustrates an exemplary configuration of an LPP type EUV light generation system. An EUV light generation apparatus 10 may be used with at least one laser apparatus 3. Hereinafter, a system that includes the EUV light generation apparatus 10 and the laser apparatus 3 may be referred to as an EUV light generation system 1. As shown in FIG. 1 and described in detail below, the EUV light generation apparatus 10 may include a chamber 2 and a target supply device 4. The chamber 2 may be sealed airtight. The target supply device 4 may be mounted onto the chamber 2, for example, to penetrate a wall of the chamber 2. A target material to be supplied by the target supply device 4 may include, but is not limited to, tin, terbium, gadolinium, lithium, xenon, or any combination thereof. The chamber 2 may have at least one through-hole or opening formed in its wall, and a pulse laser beam 32 may travel through the through-hole/opening into the chamber 2. Alternatively, the chamber 2 may have a window 21, through which the pulse laser beam 32 may travel into the chamber 2. An EUV collector mirror 23 having a spheroidal surface may, for example, be provided in the chamber 2. The EUV collector mirror 23 may have a multi-layered reflective film formed on the spheroidal surface thereof. The reflective film may include a molybdenum layer and a silicon layer, which are alternately laminated. The EUV collector mirror 23 may have a first focus and a second focus, and may be positioned such that the first focus lies in a plasma generation region 25 and the second focus lies in an intermediate focus (IF) region 292 defined by the specifications of an external apparatus, such as an exposure apparatus 6. The EUV collector mirror 23 may have a through-hole 24 formed at the center thereof so that a pulse laser beam 33 may travel through the through-hole 24 toward the plasma generation region 25. The EUV light generation apparatus 10 may further include an EUV light generation controller 11 and a target sensor 40. The target sensor 40 may have an imaging function and detect at least one of the presence, trajectory, position, and speed of a target 27. Further, the EUV light generation apparatus 10 may include a connection part 29 for allowing the interior of the chamber 2 to be in communication with the interior of the exposure apparatus 6. A wall 291 having an aperture 293 may be provided in the connection part 29. The wall 291 may be positioned such that the second focus of the EUV collector mirror 23 lies in the aperture 293 formed in the wall 291. The EUV light generation apparatus 10 may also include a beam delivery system 36, a laser beam focusing mirror 22, and a target collector 28 for collecting targets 27. The beam delivery system 36 may include an optical element (not separately shown) for defining the direction into which the pulse laser beam 32 travels and an actuator (not separately shown) for adjusting the position and the orientation or posture of the optical element. With continued reference to FIG. 1, a pulse laser beam 31 outputted from the laser apparatus 3 may pass through the beam delivery system 36 and be outputted therefrom as the pulse laser beam. 32 after having its direction optionally adjusted. The pulse laser beam 32 may travel through the window 21 and enter the chamber 2. The pulse laser beam 32 may travel inside the chamber 2 along at least one beam path from the laser apparatus 3, be reflected by the laser beam focusing mirror 22, and strike at least one target 27 as a pulse laser beam 33. The target supply device 4 may be configured to output the target(s) 27 toward the plasma generation region 25 in the chamber 2. The target 27 may be irradiated with at least one pulse of the pulse laser beam 33. Upon being irradiated with the pulse laser beam 33, the target 27 may be turned into plasma, and rays of light 251 including EUV light may be emitted from the plasma. At least the EUV light included in the light 251 may be reflected selectively by the EUV collector mirror 23. EUV light 252, which is the light reflected by the EUV collector mirror 23, may travel through the intermediate focus region 292 and be outputted to the exposure apparatus 6. Here, the target 27 may be irradiated with multiple pulses included in the pulse laser beam 33. The EUV light generation controller 11 may be configured to integrally control the EUV light generation system 1. The EUV light generation controller 11 may be configured to process image data of the target 27 captured by the target sensor 40. Further, the EUV light generation controller 11 may be configured to control at least one of: the timing when the target 27 is outputted and the direction into which the target 27 is outputted. Furthermore, the EUV light generation controller 11 may be configured to control at least one of: the timing when the laser apparatus 3 oscillates, the direction in which the pulse laser beam 33 travels, and the position at which the pulse laser beam 33 is focused. It will be appreciated that the various controls mentioned above are merely examples, and other controls may be added as necessary. Next, the EUV light generation apparatus 10 including the target supply device 4 will be described. FIG. 2 is a diagram illustrating the EUV light generation apparatus 10 according to an embodiment. As shown in FIG. 2, the EUV light generation apparatus 10 according to the present embodiment may include the chamber 2, the target supply device 4, a delay circuit 115, the EUV light generation controller 11, and the beam delivery system 36. The chamber 2 may include a chamber main body 2a, a first support member 2b, and a second support member 2c. The window 21, the laser beam focusing mirror 22, the EUV collector mirror 23, the target collector 28, and a flat mirror 37 may be disposed in the chamber 2. A target supply section 5 included in the target supply device 4, the window 21, and the target collector 28 may be provided in the chamber main body 2a. The laser beam focusing mirror 22 and the flat mirror 37 may be disposed in the first support member 2b. The EUV collector mirror 23 may be disposed in the second support member 2c. The beam delivery system 36 may include optical elements 36a and 36b that define a direction in which a laser beam travels. The optical elements 36a and 36b may be connected to an actuator (not shown) for adjusting the positions or orientations thereof. Note that the delay circuit 115 may be configured within the EUV light generation controller 11. Next, operations performed by the EUV light generation apparatus 10 including the target supply device 4 will be described. The EUV light generation controller 11 may send a control signal for outputting the target 27 to the target supply device 4. In the case where a trajectory of the target 27 is stable within a predetermined range, the EUV light generation controller 11 may output a trigger signal synchronized with the output of the target 27 to the laser apparatus 3 via the delay circuit 115. The delay circuit 115 may delay the trigger signal by a predetermined amount of time. The delay time of the trigger signal may be set so that the pulse laser beam 33 strikes the target 27 when the target 27 arrives at the plasma generation region 25. Referring to FIG. 2, a pulse laser beam 31 outputted from the laser apparatus 3 may traverse the beam delivery system 36, the laser beam focusing mirror 22, and the flat mirror 37, and may strike at least one target 27 as the pulse laser beam 33. The target 27 may be outputted from the target supply device 4 toward the plasma generation region 25. The target 27 irradiated with the pulse laser beam 33 can be turned into plasma, and the EUV light 251 can be radiated from that plasma. The EUV light 251 may be outputted to the exposure apparatus 6 via the EUV collector mirror 23. Next, an issue in the EUV light generation apparatus 10 including the target supply device 4 will be described using an example for reference. FIG. 3 is a diagram illustrating an issue with the target supply device 4 using an example for reference. The target supply device 4 according to the example for reference may include a target control apparatus 41, a pressure adjuster 42, a DC voltage power source 43, a pulse voltage generator 44, a temperature control unit 45, a heater power source 46, and the target supply section 5. The target supply section 5 may include a tank 51, a tank cover 52, a nozzle 53, an extraction electrode 54, an electrode support member 55, a case 56, a case cover 57, a heater 58, a temperature sensor 59, a voltage inlet terminal 60, and a relay terminal 61. The target supply device 4 according to this example for reference may output liquid tin in the form of a droplet. The tin may be held in the tank 51 at a temperature that is higher than the melting point of tin (231.9° C.). Accordingly, the tank 51 may be heated to a predetermined temperature by the heater 58. The predetermined temperature may be, for example, 250° C. to 300° C. To discharge the liquid tin in the form of a droplet, a potential difference of 10 kV to 20 kV relative to the chamber 2 may be applied to the tank 51. In this case, it is desirable for the tank 51 and the heater 58 to be electrically insulated. In the target supply device 4 according to the example for reference, the heater 58 and the temperature sensor 59 may be installed directly at the tank 51. In order to suppress breakdown from occurring between the tank 51 and the heater 58 and between the tank 51 and the temperature sensor 59, the heater power source 46 connected to the heater 58 and the temperature control unit 45 connected to the temperature sensor 59 may be connected to an output of the DC voltage power source 43. Because the heater power source 46 and the temperature control unit 45 are connected to the DC voltage power source 43, it is preferable for the power for driving the heater power source 46 and the temperature control unit 45 to be supplied in an indirect state isolated from a commercial power outlet. Accordingly, the heater power source 46 and the temperature control unit 45 may, for example, be connected to an AC 100V power source 101 via an insulation transformer 100. In other words, the target supply device 4 may be electrically insulated as a whole from a ground potential by the insulation transformer 100. However, electrically insulating the target supply device 4 as a whole using the insulation transformer 100 requires that the existing device is insulated as a whole, which may require a large amount of effort, time, and incur high costs. Next, the target supply device 4 according to a first embodiment will be described. FIG. 4 illustrates the target supply device 4 according to the first embodiment. FIG. 5 is a cross-sectional view taken along a V-V line in FIG. 4. The target supply device 4 according to the first embodiment may include the target control apparatus 41, the pressure adjuster 42, the DC voltage power source 43, the pulse voltage generator 44, the temperature control unit 45, the heater power source 46, the target supply section 5, and a power source 102. The target supply section 5 may include the tank 51, the tank cover 52, the nozzle 53, the extraction electrode 54, the electrode support member 55, the case 56, the case cover 57, the heater 58, the temperature sensor 59, a high-voltage inlet terminal 60, the relay terminal 61, an insulating member 62, and a temperature sensor terminal 63. The tank 51 may be formed of molybdenum (Mo) or tungsten (W), which does not easily react with liquid tin (Sn). The tank 51 may include a tank portion 51a that defines a space in which the tin is stored, and a channel portion 51b that is formed below the tank portion 51a and defines a channel having a smaller diameter than the space in the tank portion 51a. An end area of the tank portion 51a may be sealed by the tank cover 52. The tank cover 52 may be formed of molybdenum or tungsten, which do not easily react with liquid tin. A first pressure adjustment hole 52a may be formed in the tank cover 52. A metal tube 64c that is connected to the pressure adjuster 42 may be inserted into the first pressure adjustment hole 52a. The nozzle 53 may be provided in a leading end of the channel portion 51b. The material of the nozzle 53 may be molybdenum or tungsten. A nozzle hole 53a may be formed in the nozzle 53. The nozzle hole 53a may be connected to the channel defined by the channel portion 51b. The nozzle hole 53a may have a circular cross-section. The nozzle hole 53a may have a shape in which the diameter thereof decreases as the nozzle hole 53a progresses downward from the channel portion 51b. The diameter of a leading end of the nozzle hole 53a may be several μm to 10 μm. A piezoelectric element (not shown) may be attached to the nozzle 53. The extraction electrode 54 may be disposed on the nozzle 53 with the electrode support member 55 interposed therebetween. A target passing-hole 54a may be formed in the extraction electrode 54. The target passing-hole 54a may be disposed downstream from the nozzle hole 53a in the direction in which the targets travel. The nozzle 53 and the extraction electrode 54 may be insulated from each other by the electrode support member 55. The temperature sensor 59 may include an optical fiber connected to the temperature control unit 45. Part of the temperature control unit 45 and the optical fiber may function as an optical fiber thermometer. A sensor through-hole 70 may be formed between the tank portion 51a and the insulating member 62. The optical fiber may be disposed in the sensor through-hole 70, so as to serve as the temperature sensor 59. A plurality of optical fibers may be present, and may be disposed at a plurality of locations in the tank 51 via a plurality of sensor through-holes 70. The temperature control unit 45 may measure a temperature at a location in the tank 51 where the leading end of the optical fiber is disposed. The tank 51, the tank cover 52, the nozzle 53, the extraction electrode 54, the electrode support member 55, the heater 58, the temperature sensor 59, and the insulating member 62 may be housed within the case 56. The case 56 may be disposed in the chamber 2. The case 56 may be configured of a conductive member. A through-hole 56a may be formed in the case 56. The through-hole 56a may be disposed downstream from the nozzle hole 53a and the target passing-hole 54a in the direction in which targets travel. The case cover 57 may be disposed on one end of the case 56. A second pressure adjustment hole 57a may be formed in the case cover 57. The case cover 57 may be configured of an electrically insulative material. The metal tube 64c that is connected to the pressure adjuster 42 may be inserted into the second pressure adjustment hole 57a. The case 56 and the chamber 2 may be grounded. The target control apparatus 41 may be connected to the pressure adjuster 42, the DC voltage power source 43, the pulse voltage generator 44, and the temperature control unit 45. The temperature control unit 45 may be connected to the heater power source 46. The DC voltage power source 43 may be connected to the tank 51 via a high-voltage cable 601. The pulse voltage generator 44 may be connected to the extraction electrode 54 via a high-voltage cable 602. The heater power source 46 may be connected to the heater 58. The power source 102 may be a three-phase 100 V power source, and may be connected to the target control apparatus 41, the pressure adjuster 42, the temperature control unit 45, and the heater power source 46. FIG. 6 illustrates the heater 58 wrapped around the insulating member 62 in the target supply device 4 according to the first embodiment. The insulating member 62 may be configured of a ceramic material such as alumina ceramics. The insulating member 62 may include a contact portion 62a whose inner surface makes contact with at least part of an outer circumferential surface of the tank 51, and a protruding portion 62b formed in an end of the contact portion 62a and protruding away from the tank 51. The heater 58 may include a flexible insulating sheet 58a configured of a ceramic material such as alumina ceramics, and a heating wire 58b formed of a metal such as tungsten or molybdenum. The heater 58 may be wrapped around an outer circumference of the contact portion 62a of the insulating member 62, with the heating wire 58b located on the outside. The heater 58 and the insulating member 62 may then be fired. In other words, the heating wire 58b of the heater 58 may be disposed around the periphery of the tank 51, in a state where the heating wire 58b is exposed on the outside of the insulating member 62 and the insulating sheet 58a. Note that the heater 58 may be wrapped around the tank 51 directly without using the insulating member 62. In other words, the heater 58 may be disposed around the periphery of the tank 51 so that the insulating sheet 58a makes contact with the tank 51. In addition, the heater 58 may be disposed so that the heating wire 58b is exposed on the outside of the insulating sheet 58a. In this case, the insulating sheet 58a may configure the insulating member. FIG. 7 illustrates the high-voltage inlet terminal 60 according to an embodiment. As shown in FIG. 4, terminal holes may be formed in the case cover 57. The high-voltage inlet terminal 60, the relay terminal 61, and the temperature sensor terminal 63 may be inserted into the terminal holes. The high-voltage inlet terminal 60 may have a structure in which a conductor to which the high-voltage cable 601 is connected at both ends is passed through an insulating material, formed of a ceramic material such as alumina, that configures an outer layer. The outside insulating material of the high-voltage inlet terminal 60 may be fixed to the case cover 57 and the high-voltage cable 601 in an airtight state. The relay terminal 61 and the temperature sensor terminal 63 may have the same structure as the high-voltage inlet terminal 60. FIG. 8 illustrates an electrical insulator coupling 64 according to this embodiment. The electrical insulator coupling 64 may include a ceramic tube 64a formed of a ceramic material such as alumina, and a tube coupling 64b, configured of stainless steel or the like, that connects the ceramic tube 64a and the metal tube 64c in an airtight state. The ceramic tube 64a and the tube coupling 64b may be fixed to each other in an airtight state through soldering using a metal such as silver. The electrical insulator coupling 64 may be disposed in at least part of the metal tube 64c that connects the pressure adjuster 42 and the tank 51, as shown in FIG. 4. Next, operations of the target supply device 4 will be described. The target control apparatus 41 may send control signals to the pressure adjuster 42, the DC voltage power source 43, the pulse voltage generator 44, and the temperature control unit 45 based on signals sent from the EUV light generation controller 11. The target control apparatus 41 may receive control signals from the pressure adjuster 42 and the temperature control unit 45. The temperature control unit 45 may send a control signal to the heater power source 46. The target control apparatus 41 may receive a target generation signal from the EUV light generation controller 11. The target control apparatus 41 may send a signal specifying a target temperature to the temperature control unit 45 so that the temperature of the tin (Sn) in the tank 51 reaches a predetermined temperature greater than the melting point of tin (232° C.) (for example, approximately 250° C.) The temperature control unit 45 may receive, from the temperature sensor 59, a signal indicating a temperature in the tank 51 measured by the temperature sensor 59. The temperature control unit 45 may send a signal specifying power to be supplied to the heater 58 to the heater power source 46, based on the signal from the temperature sensor 59. In this manner, the temperature control unit 45 may control various constituent elements so that the tank 51 reaches the target temperature specified by the target control apparatus 41. The temperature control unit 45 may send, to the target control apparatus 41, a signal indicating the temperature of the tank 51 measured by the temperature sensor 59 as a signal expressing a state of control. The target control apparatus 41 may send a signal indicating a target pressure to the pressure adjuster 42, so that the tin in the tank 51 is pressurized to a predetermined pressure. The predetermined pressure may be 1 to 10 MPa. The pressure adjuster 42 may receive a signal indicating the pressure within the tank 51 from a pressure sensor provided therein. The pressure adjuster 42 may be connected to an inert gas bottle (not shown), and may be configured to supply inert gas depressurized from the bottle to the interior of the tank 51. Based on the signal from the pressure sensor, the pressure adjuster 42 may adjust the pressure of the inert gas supplied to the tank 51 using a supply valve and an exhaust valve provided therein. A signal indicating the pressure in the tank 51 measured by the pressure sensor may be sent to the target control apparatus 41 as a signal expressing a state of control. The target control apparatus 41 may control the DC voltage power source 43 and the pulse voltage generator 44 so that a potential between the tank 51 and the extraction electrode 54 reaches a predetermined potential (for example, 20 kV). Thereafter, the target control apparatus 41 may send, to the EUV light generation controller 11, a signal indicating that preparation for generating targets is complete. The target control apparatus 41 may receive a trigger signal for generating the targets from the EUV light generation controller 11. The target control apparatus 41 may control the pulse voltage generator 44 to apply a pulse potential of a predetermined pulse duration at a predetermined repetition rate to the extraction electrode 54 in synchronization with the received trigger signal. The predetermined repetition rate may be 100 kHz, for example, and the predetermined pulse may have a duration of 1 to 2 μs, for example. Furthermore, the potential applied to the extraction electrode 54 may be a potential that changes from 20 kV, to 15 kV, to 20 kV, for example. When the pulse potential is applied, the liquid tin in the tank 51 may be drawn out from the nozzle hole 53a by a static electricity force produced by a potential difference between the tank 51 and the extraction electrode 54. The liquid tin that has been drawn out from the nozzle hole 53a may remain for a while in the nozzle hole 53a due to surface tension. After this, an electrical field may concentrate on the drawn-out liquid tin, and the static electricity force may increase further. When the static electricity force exceeds the surface tension, the liquid tin may separate from the nozzle hole 53a, forming a positively-charged target 27. Thereafter, the target 27 may pass through the target passing-hole 54a in the extraction electrode 54. Next, effects of the target supply device 4 will be described. The heater 58 may be disposed around the periphery of the tank 51 with the insulating member 62 interposed therebetween, and the heater 58 and the tank 51 may be insulated from each other. According to this configuration, it is not necessary to supply power to a power source line of the heater 58 via an insulation transformer. The heater power source 46 may be directly connected to the three-phase 100 V power source 102. The heating wire 58b of the heater 58 is disposed around the periphery of the tank 51, in a state where the heating wire 58b is exposed on the outside of the insulating member 62 and the insulating sheet 58a; wiring can be performed after the device is assembled, and thus the wiring may be performed with ease. Note that the insulating sheet 58a may be used by itself as the insulating member. The temperature control unit 45 and the tank 51 may be insulated from each other by using an optical fiber as the temperature sensor 59. According to this configuration, it is not necessary to supply power to a power source line of the temperature control unit 45 via an insulation transformer. The temperature control unit 45 may be directly connected to the three-phase 100 V power source 102. The insulating member 62 is formed of the contact portion 62a that makes contact with the tank 51 and the protruding portion 62b that protrudes from an end area of the contact portion 62a, and thus the creeping distance between the tank 51 and the heater 58 can be increased. Next, the target supply device 4 according to a second embodiment will be described. FIG. 9 illustrates the target supply device 4 according to the second embodiment. FIG. 10 is a cross-sectional view taken along an X-X line in FIG. 9. In the target supply device 4 according to the second embodiment, the heater 58 of the target supply section 5 may be disposed so that the heating wire 58b makes contact with the insulating member 62 and the insulating sheet 58a is disposed on the outside of the heating wire 58b. The configuration may be the same as in the first embodiment in other respects. FIG. 11 illustrates the heater 58 wrapped around the insulating member 62 in the target supply device 4 according to the second embodiment. The heater 58 may include the insulating sheet 58a formed of an insulating member configured of a ceramic material such as alumina ceramics, and the heating wire 58b formed of a metal such as tungsten or molybdenum. The heater 58 may be wrapped around an outer circumference of the contact portion 62a of the insulating member 62, with the heating wire 58b located on the inside. The heater 58 and the insulating member 62 may then be fired. In other words, the heating wire 58b of the heater 58 may be disposed around the periphery of the tank 51, in a state where the heating wire 58b is interposed between the insulating sheet 58a and the insulating member 62. Next, operations of the target supply device 4 according to the second embodiment will be described. Note that in the following, descriptions of operations identical to those in the first embodiment will be omitted. The temperature control unit 45 may send a signal specifying power to be supplied to the heater 58 to the heater power source 46, based on the signal from the temperature sensor 59. The heater power source 46 may cause the heater 58 to emit heat by supplying power to the heater 58. The heater 58 may heat the tank 51 via the insulating member 62 so that the liquid tin in the tank 51 reaches a predetermined temperature (for example, 250° C.) The heating wire 58b of the heater 58 may be disposed around the periphery of the tank 51, in a state where the heating wire 58b is interposed between the insulating sheet 58a and the insulating member 62, and thus the insulating sheet 58a can suppress the radiation of heat from the heating wire 58b. The heating wire 58b of the heater 58 is not exposed to the peripheral area, and thus a rise in the temperature of the elements in the periphery of the heater 58 can be suppressed. Furthermore, because the heating wire 58b is not exposed to the peripheral area, the occurrence of problems such as short-circuits and the like can be reduced, which in turn makes it possible for the heater 58 to operate in a stable manner. Next, the target supply device 4 according to a third embodiment will be described. FIG. 12 illustrates the target supply device 4 according to the third embodiment. FIG. 13 illustrates insulating members 62 and heaters 158 according to the third embodiment. FIG. 14 illustrates the details of FIG. 13 from a different angle. FIG. 15 illustrates the details of FIG. 13 from above. FIG. 16 is a cross-sectional view taken along an XVI-XVI line in FIG. 12 and FIG. 13. In the target supply device 4 according to the third embodiment, jackets 65 may be disposed between the heaters 158 and the insulating members 62 in the target supply section 5. In the third embodiment, descriptions of configurations identical to those in the first embodiment will be omitted. The insulating members 62 may be disposed around the periphery of the tank 51, and may be provided as at least two parts in the circumferential direction. The separate insulating members 62 may be disposed so that a gap 62x is formed therebetween. The jackets 65 may also be provided as at least two parts that correspond to the respective insulating members 62, and may be disposed so as to make contact with at least part of the outer circumference of the insulating members 62. The jackets 65 may be configured of a metal having a high thermal conductivity. For example, the jackets 65 may be configured of copper (Cu). The jackets 65 provided as at least two parts may be connected using bolts 68 and nuts 67 so as to sandwich the tank 51 and the insulating members 62 therebetween. The heaters 158 may be disposed on an outer surface of corresponding jackets 65. The heaters 158 may have a plate shape, or may have a sheet shape as described in the first embodiment and the second embodiment. The heaters 158 may be ceramic heaters, for example. At least two heaters 158 may be disposed. Note that harnesses connected to the heaters 158 are not shown in FIG. 13 to FIG. 16. FIG. 17 illustrates an area where the jackets 65 are linked in the target supply device 4 according to the third embodiment. FIG. 18 is an enlarged view of the vicinity of a bolt head in the target supply device 4 according to the third embodiment. The bolt 68 may include a bolt head 68a and a screw portion 68b. Part of the screw portion 68b between the jackets 65 may be sheathed in a ceramic tube 66. A flat washer 71 and a spring washer 72 serving as an elastic member may be disposed between the bolt head 68a and the jacket 65. The flat washer 71 and the spring washer 72 serving as an elastic member may be disposed between the nuts 67 and the jacket 65. Next, operations of the target supply device 4 according to the third embodiment will be described. Note that in the following, descriptions of operations identical to those in the first embodiment will be omitted. The temperature control unit 45 may send a signal specifying power to be supplied to the heaters 158 to the heater power source 46, based on the signal from the temperature sensor 59. The heater power source 46 may cause the heaters 158 to emit heat by supplying power to the heaters 158. The heaters 158 may heat the tank 51 via the jackets 65 and the insulating members 62 so that the liquid tin in the tank 51 reaches a predetermined temperature (for example, 250° C.) When the heaters 158 emit heat and the liquid tin in the tank 51 is heated to the predetermined temperature, the tank 51, the insulating members 62, and the jackets 65 may thermally expand. The thermal expansion coefficients of the tank 51, the insulating members 62, and the jackets 65 may fulfill a relationship of βT<βI<βJ. Here, βT represents the thermal expansion coefficient of the tank 51, βI represents the thermal expansion coefficient of the insulating members 62, and βJ represents the thermal expansion coefficient of the jackets 65. The thermal expansion coefficients of the tank 51, the insulating members 62, and the jackets 65 according to this embodiment are indicated below. thermal expansion coefficient βT of tank 51 (molybdenum): 5.2×10−6 thermal expansion coefficient βI of insulating members 62 (alumina): 7.7×10−6 thermal expansion coefficient βJ of jackets 65 (copper): 16.6×10−6 The tank 51, the insulating members 62, and the jackets 65 may have different thermal expansion coefficients. Because the gap 62x is formed between the at least two insulating members 62, an amount of deformation occurring when the insulating members 62 thermally expand may be absorbed by the gap 62x contracting. When the jackets 65 thermally expand, the amount of deformation produced thereby may be absorbed by the spring washers 72 elastically deforming. When the insulating members 62 thermally expand, the insulating members 62 expand so that the gap 62x is closed, and thus surface contact can be maintained between the tank 51 and the insulating members 62. In addition, when the jackets 65 thermally expand, the spring washers 72 elastically deform and absorb the expansion, and thus surface contact can be maintained between the jackets 65 and the insulating members 62. Accordingly, the different thermal expansion coefficients of the tank 51, the insulating members 62, and the jackets 65 make it possible to maintain surface contact therebetween while suppressing contact problems during heating, and furthermore the heat produced by the heaters 158 can be efficiently transferred to the tank 51 via the jackets 65 and the insulating members 62. The above-described embodiments and the modifications thereof are merely examples for implementing the present disclosure, and the present disclosure is not limited thereto. Making various modifications according to the specifications or the like is within the scope of the present disclosure, and other various embodiments are possible within the scope of the present disclosure. For example, the modifications illustrated for particular ones of the embodiments can be applied to other embodiments as well (including the other embodiments described herein). The terms used in this specification and the appended claims should be interpreted as “non-limiting.” For example, the terms “include” and “be included” should be interpreted as “including the stated elements but not limited to the stated elements.” The term “have” should be interpreted as “having the stated elements but not limited to the stated elements.” Further, the modifier “one (a/an)” should be interpreted as “at least one” or “one or more.”
description
1. Field Example embodiments generally relate to fuel assembly components attachable to fuel structures in nuclear power plants. 2. Description of Related Art Generally, nuclear power plants include a reactor core having fuel arranged therein to produce power by nuclear fission. A common design in U.S. nuclear power plants is to arrange fuel in a plurality of fuel rods bound together as a fuel assembly, or fuel bundle, placed within the reactor core. These fuel rods typically include several elements joining the fuel rods to assembly components at various axial locations throughout the assembly. As shown in FIG. 1, a conventional fuel bundle 10 of a nuclear reactor, such as a BWR, may include an outer channel 12 surrounding an upper tie plate 14 and a lower tie plate 16. A plurality of full-length fuel rods 18 and/or partial length fuel rods 19 may be arranged in a matrix within the fuel bundle 10 and pass through a plurality of spacers 20. Fuel rods 18 and 19 generally originate and terminate at upper and lower tie plates 14 and 16, continuously running the length of the fuel bundle 10, with the exception of partial length rods 19, which all terminate at a lower vertical position from the full length rods 18. As shown in FIG. 2, fuel elements 25 may be shaped in pellet-form and placed within the fuel rods 18 or 19. These fuel pellets 25 may be “stacked” within the fuel rod continuously to provide fuel through the length of the fuel rod 18 or 19. The stacking of fuel pellets 25 may permit expansion or other deformation of the fuel pellets 25 during the operation cycle of the reactor core. In conventional practice, entire fuel rods are removed from the nuclear fuel rod assemblies in order to monitor materials performance. For example, a fuel rod that has been in situ in a BWR is removed and/or retrieved from the fuel rod assembly and moved to a hotcell examination facility. At the hotcell examination facility, the fuel rod is examined to determine such parameters as oxide thickness to determine levels of corrosion and hydrogen content achieved during reactor operation. Another method referred to as “shaving” has been suggested to monitor materials performance of fuel rods. In shaving, a portion of the outer surface of a fuel rod is “shaved” or removed and the hydrogen content of the shavings is then determined. Alternatively, entire spacers and/or water rods may be retrieved and examined. However, all of these methods of monitoring materials performance require substantial effort, are costly, and may create waste that requires substantially more effort to handle and process. Example embodiments are directed to fuel assembly components and nuclear fuel bundles including the fuel assembly components. Example embodiments of a fuel assembly component may include a cylindrical device having first and second ends and a mounting assembly on the first end of the cylindrical device configured to attach to and detach from a partial length fuel rod. Example embodiments of a nuclear fuel bundle may include an upper tie plate, a lower tie plate, at least one full-length fuel rod, at least one partial length fuel rod, and the fuel assembly component. Various example embodiments will now be described more fully with reference to the accompanying drawings in which some example embodiments are illustrated. In the drawings, the thicknesses of layers and regions may be exaggerated for clarity. Detailed illustrative embodiments are disclosed herein. However, specific structural and functional details disclosed herein are merely representative for purposes of describing example embodiments. This invention may, however, be embodied in many alternate forms and should not be construed as limited to only example embodiments set forth herein. Accordingly, while example embodiments are capable of various modifications and alternative forms, embodiments thereof are shown by way of example in the drawings and will herein be described in detail. It should be understood, however, that there is no intent to limit example embodiments to the particular forms disclosed, but on the contrary, example embodiments are to cover all modifications, equivalents, and alternatives falling within the scope of the invention. Like numbers refer to like elements throughout the description of the figures. It will be understood that, although the terms first, second, etc. may be used herein to describe various elements, these elements should not be limited by these terms. These terms are only used to distinguish one element from another. For example, a first element could be termed a second element, and, similarly, a second element could be termed a first element, without departing from the scope of example embodiments. As used herein, the term “and/or” includes any and all combinations of one or more of the associated listed items. It will be understood that when an element is referred to as being “connected” or “coupled” to another element, it can be directly connected or coupled to the other element or intervening elements may be present. In contrast, when an element is referred to as being “directly connected” or “directly coupled” to another element, there are no intervening elements present. Other words used to describe the relationship between elements should be interpreted in a like fashion (e.g., “between” versus “directly between,” “adjacent” versus “directly adjacent,” etc.). The terminology used herein is for the purpose of describing particular embodiments only and is not intended to be limiting of example embodiments. As used herein, the singular forms “a,” “an” and “the” are intended to include the plural forms as well, unless the context clearly indicates otherwise. It will be further understood that the terms “comprises,” “comprising,” “includes” and/or “including,” when used herein, specify the presence of stated features, integers, steps, operations, elements and/or components, but do not preclude the presence or addition of one or more other features, integers, steps, operations, elements, components and/or groups thereof. It will be understood that, although the terms first, second, third etc. may be used herein to describe various elements, components, regions, layers and/or sections, these elements, components, regions, layers and/or sections should not be limited by these terms. These terms are only used to distinguish one element, component, region, layer or section from another region, layer or section. Thus, a first element, component, region, layer or section discussed below could be termed a second element, component, region, layer or section without departing from the scope of example embodiments. Spatially relative terms, e.g. “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or a relationship between a feature and another element or feature as illustrated in the figures. It will be understood that the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the Figures. For example, if the device in the figures is turned over, elements described as “below” or “beneath” other elements or features would then be oriented “above” the other elements or features. Thus, for example, the term “below” can encompass both an orientation which is above as well as below. The device may be otherwise oriented (rotated 90 degrees or viewed or referenced at other orientations) and the spatially relative descriptors used herein should be interpreted accordingly. Example embodiments are described herein with reference to cross-sectional illustrations that are schematic illustrations of idealized embodiments (and intermediate structures). As such, variations from the shapes of the illustrations as a result, for example, of manufacturing techniques and/or tolerances, may be expected. Thus, example embodiments should not be construed as limited to the particular shapes of regions illustrated herein but may include deviations in shapes that result, for example, from manufacturing. For example, an implanted region illustrated as a rectangle may have rounded or curved features and/or a gradient (e.g., of implant concentration) at its edges rather than an abrupt change from an implanted region to a non-implanted region. Likewise, a buried region formed by implantation may result in some implantation in the region between the buried region and the surface through which the implantation may take place. Thus, the regions illustrated in the figures are schematic in nature and their shapes do not necessarily illustrate the actual shape of a region of a device and do not limit the scope. It should also be noted that in some alternative implementations, the functions/acts noted may occur out of the order noted in the figures. For example, two figures shown in succession may in fact be executed substantially concurrently or may sometimes be executed in the reverse order, depending upon the functionality/acts involved. Unless otherwise defined, all terms (including technical and scientific terms) used herein have the same meaning as commonly understood by one of ordinary skill in the art to which example embodiments belong. It will be further understood that terms, e.g. those defined in commonly used dictionaries, should be interpreted as having a meaning that is consistent with their meaning in the context of the relevant art and will not be interpreted in an idealized or overly formal sense unless expressly so defined herein. In order to more specifically describe example embodiments, various aspects will be described in detail with reference to the attached drawings. However, the example embodiments are not limited to example embodiments described. Example embodiments will now be described more fully with reference to the accompanying drawings, in which exemplary embodiments of the invention are shown. The example embodiments may, however, be embodied in many different forms and should not be construed as being limited to the embodiments set forth herein. Rather, these embodiments are provided so that this disclosure will be thorough and complete, and will fully convey the scope of the invention to one of ordinary skill in the art. In the drawings, the sizes of constitutional elements may be exaggerated for convenience of illustration. FIG. 3 illustrates an example embodiment of a fuel assembly component 400 and a partial length fuel rod 19 to which the fuel assembly component 400 attaches. The fuel assembly component 400 includes a cylindrical device 350 and a mounting assembly 380. The mounting assembly 380 attaches the fuel assembly component 400 to e.g. a partial length fuel rod 19. The cylindrical device 350 may have any of several designs. For example, the cylindrical device 350 is generally a hollow tube having two ends and may be for example, a fuel rod tube, an unfueled section of fuel rod cladding, etc. Alternatively, the device may be a solid rod and/or bar, etc. The mounting assembly 380 attaches the fuel assembly component 400 to a partial length fuel rod 19 and/or any similarly configured device, for example, a dummy rod, an unfueled partial length fuel rod, a dummy tube, etc. in any of several ways. For example, as shown in FIG. 3, mounting assembly 380 includes a bayonet style female endplug 360 having a recess in which partial length fuel rod bayonet style male endplug 375 is inserted and turned to lock. In FIG. 3, the mounting assembly 380 may also include locking assembly 330. As shown, the locking assembly 330 includes a locking spring 310 and a locking spring recess 320, which locks the partial length fuel rod bayonet style male endplug 375 when the endplug 375 is inserted and turned in the bayonet style female endplug 360. Alternatively, for example, partial length fuel rod 19 may include an endcap that includes a screw device (not shown) that may screw into a recess including screw threads (not shown) of the mounting assembly 380. Or, for example, fuel assembly component 400 may attach to an end of partial length fuel rod 19 via other lock-and-key mechanisms. In any of these mounting assemblies, the fuel assembly component 400 is easily attached and detached when desired from the partial length fuel rod 19 for evaluation of, for example, in-service materials performance. The mounting assembly prevents the device from becoming detached inadvertently during operation of the fuel assembly. These are but a few examples of mounting assemblies that may be used and are not intended to be limiting. In other example embodiments, the fuel assembly component may include a device to “cap” the end of the cylindrical device 350, for example, a slug, an end cap, an endplug, a partial length fuel rod endplug, etc. 340, which is attached to the other end of the cylindrical device 350 from the mounting assembly 380. The cap 350 may be configured to allow a fuel assembly component removal device (not shown) to easily remove the fuel assembly component 400 from the partial length fuel rod 19 for evaluation. The cap 350 may also, for example, form an air tight seal at the end of cylindrical device 350. If the cap 350 is used to form an air tight seal, then the fuel assembly component may be pressurized and the fuel assembly component 400 may be used to evaluate additional information, e.g. the orientation of hydride that forms during in situ operation. The fuel assembly component 400 may have a length and width determined by the design parameters of the nuclear reactor in which it is being used. As a fuel rod 18 may be 165 inches, the fuel assembly component 400 may vary in size according to the length of the partial length fuel rod 19 to which the fuel assembly component 400 is being attached. For example, the fuel assembly component may be of a short length, e.g., 2 to 6 inches or longer, e.g., up to 24 inches. In addition, the width of the fuel assembly component 400 may vary according to the type of mounting assembly 380 used, e.g. the diameter of the fuel assembly component 400 may be the same as the partial length fuel rod 19. The fuel assembly component 400 may be used for several purposes, e.g., to monitor in-service materials behavior, test fuel materials, test fuel rod cladding materials, ascertain neutron effects on fuel assembly materials, etc. As shown in FIG. 4, example embodiment fuel assembly components 400 may be mounted on conventional nuclear fuel rods 19 (FIGS. 1 & 2) in a fuel bundle 10. A nuclear reactor including a fuel bundle with a partial length fuel rod 19 having an example embodiment fuel assembly component 400 may be operated at normal power operation such that example embodiment fuel assembly component 400 is irradiated by neutron flux present in the operating reactor. Once irradiated the fuel assembly component 400 may be removed from the nuclear reactor by detaching the fuel assembly component 400 from the partial length fuel rod 19, for example, during reactor shut down. The removed fuel assembly component 400 may then be evaluated for various in-service parameters as discussed above. Namely, instead of removing a substantially larger fuel rod, water rod, etc., the fuel assembly 400 may be much more easily removed and used for testing. The fuel assembly component 400 may be attached to partial length fuel rod 19 at any location within the fuel bundle depending on the desired materials information and length of the partial length fuel rod 19. For example, the fuel assembly component 400 may be positioned in the middle of the fuel bundle 10 as shown in FIG. 4 or at an end of fuel bundle 10 (not shown). Although example embodiment fuel assembly components may be inserted into BWR-type fuel rods and fuel bundles in example embodiments, it is understood that other types of fuel and power plants may be useable with example embodiment retention devices. For example, PWR, CANDU, RBMK, ESBWR, etc. type reactors may include fuel rods that can accommodate example embodiment retention devices in order to irradiate irradiation targets therein. Example embodiments thus being described, it will be appreciated by one skilled in the art that example embodiments may be varied through routine experimentation and without further inventive activity. For example, other fuel types, shapes, and configurations may be used in conjunction with example embodiment fuel bundles and tie plate attachments. Variations are not to be regarded as departure from the spirit and scope of the exemplary embodiments, and all such modifications as would be obvious to one skilled in the art are intended to be included within the scope of the following claims.
summary
054003733
abstract
Disclosed is an assembly fixture and method useful for the assembly and fabrication of metal grids such as used to support and position the fissionable fuel rods of a nuclear fuel assembly. The grid assembly fixture uses a grid assembly plate (1) and a plurality of toggle clamp assemblies (9) and clamping pads (12) attached thereto to temporarily hold the four peripheral or border grid straps (7) in proper position and alignment against the four sides of the grid assembly plate (1). A strap retention assembly (13) is then placed around the four peripheral or border grid straps (7) to hold them in proper position and alignment during the further fabrication and welding of the grid straps (7).
abstract
A circumferential sampling tool for obtaining a sample from an interior wall of a tube has a cylindrical body with an aperture therein. First and second cutters are operatively connected to a shaft for rotation therewith. The first and second cutter are each movable radially between a retracted position and an extended position. First and second actuators are operatively connected to the first and second cutters respectively for moving the first and second cutters between their respective retracted and extended positions as the shaft rotates. Rotating the shaft causes the first cutter to move to the extended position thereby cutting a portion of the interior wall and then causes the second cutter to move to the extended position thereby cutting the sample from the interior wall from a location in the tube revealed by cutting the portion of the interior wall.
claims
1. A method for controlling critical dimension (CD) in a process system, the method comprising: computing an exposure dose error based on at least one output of the process system, normalizing the computed exposure dose error based on a target exposure dose, and, providing an exposure dose to the process system based on at least one normalized exposure dose error. 2. A method according to claim 1 , where normalizing includes at least one of: claim 1 querying a normalization table, where the normalization table includes target doses, and, retrieving the target exposure dose from the normalization table. 3. A method according to claim 1 , where normalizing includes retrieving the target exposure dose based on at least one of: at least one process system characteristic and at least one characteristic of a measurement system. claim 1 4. A method according to claim 1 , where the target exposure dose is associated with at least one of a photomask, an exposure tool, and a process level. claim 1 5. A method according to claim 1 , further comprising associating the computed exposure dose error with at least one of: at least one process system characteristic and at least one characteristic of a measurement system. claim 1 6. A method according to claim 1 , further comprising associating the computed exposure dose error with at least one of a photomask, an exposure tool, and a process level. claim 1 7. A method according to claim 1 , where computing an exposure dose error includes measuring a critical dimension based on at least one output of the process system. claim 1 8. A method according to claim 1 , where computing an exposure dose error includes: claim 1 providing a target critical dimension, and, generating a comparison between the target critical dimension and a measured critical dimension based on at least one output of the process system. 9. A method according to claim 1 , where computing an exposure dose error includes computing a critical dimension error. claim 1 10. A method according to claim 9 , where computing an exposure dose error includes converting the critical dimension error to an exposure dose error based on an exposure dose sensitivity. claim 9 11. A method according to claim 1 , where providing an exposure dose includes combining at least two normalized exposure dose errors. claim 1 12. A method according to claim 1 , where providing an exposure dose includes: claim 1 computing a weighted moving average of normalized exposure dose errors, and, converting the weighted moving average to an exposure dose, where the converting is based on a target exposure dose. 13. A method according to claim 12 , where converting includes converting based on the target exposure dose employed to normalize the exposure dose error. claim 12 14. A method according to claim 1 , further comprising associating the measured normalized exposure dose error with a target exposure dose. claim 1 15. A method according to claim 1 , further comprising using the measured normalized exposure dose error to update at least one target exposure dose. claim 1 16. A method according to claim 1 , where providing an exposure dose includes: claim 1 generating an ideal exposure dose error based on the normalized exposure dose error and an exposure dose previously provided to the process system, and, computing an exposure dose based on at least one ideal exposure dose error. 17. A method according to claim 16 , where generating includes normalizing the exposure dose previously provided to the process system, where the normalization is based on a target exposure dose. claim 16 18. A method according to claim 17 , further comprising retrieving the target exposure dose based on a query, where the query is based on the at least one of: at least one process system characteristic and at least one characteristic of a measurement system. claim 17 19. A method according to claim 16 , where computing includes combining at least one ideal exposure dose error. claim 16 20. A method according to claim 16 , where computing includes computing a weighted moving average of at least two ideal exposure dose errors. claim 16 21. A method according to claim 1 , further including providing a normalization table to associate the target exposure dose with at least one of: at least one process system characteristic and at least one characteristic of a measurement system. claim 1 22. A method according to claim 1 , where the normalization table is associated with at least one of: at least one learning module, at least one filter, and at least one averaging module. claim 1 23. A method according to claim 1 , further comprising updating the target exposure dose based on at least one normalized exposure dose error. claim 1 24. A method according to claim 1 , where the target exposure dose is an updated target exposure dose based on at least one of: at least one normalized exposure dose error, at least one initial target exposure dose, and at least one default target dose. claim 1 25. A method according to claim 1 , where the target exposure dose is based on at least one of: claim 1 a target critical dimension, a manually entered exposure dose, a focus and exposure matrix (FEM) associated with the process system, and at least one normalized exposure dose error. 26. A method according to claim 1 , where providing an exposure dose includes: claim 1 querying a normalization table based on at least one of: at least one process system characteristic and at least one characteristic of a measurement system, and, based on the query results and at least one normalized exposure dose error, computing an exposure dose. 27. A method according to claim 1 , where normalizing includes employing at least one default target exposure dose. claim 1 28. A method according to claim 1 , where normalizing includes associating at least one default target exposure dose with at least one of: at least one process system characteristic and at least one characteristic of a measurement system. claim 1 29. A method according to claim 1 , where the process system includes at least one of a lithography system and a microlithography system. claim 1 30. A method according to claim 1 , where measuring a measured exposure dose error includes providing at least one least square regression model associated with the process system. claim 1 31. A system for controlling critical dimension (CD) in a process system, the system comprising: a measurement system to provide at least one measurement associated with at least one process system error, and, a control system to provide an exposure dose to the process system, where the exposure dose is based on at least one normalized exposure dose error, where the normalized exposure dose error is based on a target exposure dose associated with at least one of: at least one process system characteristic and at least one measurement system characteristic. 32. A system according to claim 31 , further comprising a normalization table to associate the target exposure dose with at least one of: at least one process system characteristic and at least one measurement system characteristic. claim 31 33. A system according to claim 31 , where the at least one process system characteristic and the at least one measurement system characteristic includes at least one of a photomask, an exposure tool, and a process level. claim 31 34. A system according to claim 31 , where the control system includes instructions to cause a processor to compute a critical dimension (CD) error based on at least one output of the process system. claim 31 35. A system according to claim 34 , where the CD error is based on a comparison of a measured CD and a target CD, where the measured CD is based on the at least one output, of the process system. claim 34 36. A system according to claim 31 , where the control system includes instructions to cause a processor to normalize a computed critical dimension (CD) error based on a target exposure dose. claim 31 37. A system according to claim 31 , where the control system includes instructions to provide a target exposure dose based on at least one of: at least one manual entry, at least one normalized exposure dose error, and data based on at least one focus and exposure matrix (FEM). claim 31 38. A system according to claim 31 , where the control system includes instructions to cause a processor to retrieve the target exposure dose based on a query of a normalization table, where the normalization table associates the target exposure dose with at least one of: at least one process system characteristic and at least one characteristic of a measurement system. claim 31 39. A system according to claim 31 , where the measurement system includes at least one of an exposure tool and a critical dimension measurement tool. claim 31 40. A system according to claim 31 , where the process system is at least one of a lithography system and a microlithography system. claim 31 41. A system according to claim 31 , where the process system is at least one of: a lithography, a chemical mechanical polish (CMP), a diffusion, thin film, a metal deposition, an ion implantation, and an etching system. claim 31 42. A system according to claim 31 , where the control system includes instructions to cause a processor to update a target exposure dose using at least one normalized exposure dose error and at least one: at least one learning module, at least one filter, and at least one averaging module. claim 31 43. A system according to claim 41 , where the updated target exposure dose is stored in a normalization table. claim 41
description
This application is the U.S. National Stage of PCT/EP2013/050781, filed Jan. 17, 2013, which in turn claims priority to French Patent Application No. 1250514 filed Jan. 18, 2012, the entire contents of all applications are incorporated herein by reference in their entireties. The present invention relates to the field of pressurised water nuclear reactors and relates more specifically to the removing of residual power from the core of this reactor after shut-down of the latter. In general when a reactor is shut down by introducing high levels of negative reactivity into the core, the number of fissions in the latter very quickly becomes negligible at the end of a time interval of the order of a few seconds. On the other hand, the radioactivity of the fission products that are developed in the core during the period of normal operation continues to release significant power, which at the time that it is shut down can represent 6-7% of the operational power of the reactor. At the end of a few hours after shut-down, the residual power still represents 1-2% of the operational power of the reactor, with the decrease subsequently being relatively slow: such residual power must be removed. It is therefore necessary to have the means to remove this residual power in any situation, on pain of risking core meltdown. In order to achieve this, the use of core residual power removing devices is known for accident situations which are specific, as opposed to the means used during a normal shut-down. The removing of residual power from the cores of nuclear reactors in the event of an accident is conventionally achieved by back-up systems which use active means, the principle behind which involves for example cooling the primary fluid with steam removing arranged on the secondary, with water being re-supplied to the steam generator by active means (pumps). Such safety cooling systems using active means of the pump type require a supply of external energy, in particular in order to make the pumps run. Since the reactor is in shut-down it is no longer producing electricity and it is therefore necessary to call upon backup power sources (for example diesel generators) to enable the pumps to operate. It is readily understood therefore that the nature of these active sources reduces the reliability of the cooling function. In the context of a total loss of electricity supply, fully passive devices are also known for removing residual power. Thus document U.S. Pat. No. 6,795,518 describes the characteristics of an integrated pressurised water reactor (i.e. where the steam generator is within the reactor primary containment) comprising a passive device for removing residual power which uses the steam from the secondary side of the reactor primary containment steam generator. The steam from the steam generator condenses on the tubes of a condenser through cooling with the water contained in an inertial capacity; the water from the inertial capacity circulates naturally as does the steam which circulates naturally between the GV steam generator and the external condenser. The system is triggered passively by a valve which opens without any supply of external energy. Such an architecture nevertheless poses certain problems. The passive system for removing residual power according to document U.S. Pat. No. 6,795,518 uses the steam from the steam generator used to supply the turbine. Thus this system will not operate in the event of a breach of secondary water in the steam generator. In addition, the use of isolation valves allowing the containment vessel condenser to be isolated in order to prevent any risk of radioactivity being dispersed outside the containment should be noted. It will be recalled that the containment vessel houses the main equipment of the nuclear steam system, protects it from external accidents (earthquakes, projectiles, flooding etc.) and forms the third barrier preventing radioactive products from being released into the environment, after the fuel cladding and the reactor primary containment. If a breach occurs in the links connecting the containment vessel and the condenser, closure of the isolation valves should be activated to prevent secondary water spilling out of the containment vessel (in particular into the inertial capacity). Such a closure results, in de facto non-operation of the residual power removing system. Similarly, in the absence of any electricity supply, the isolation valves are closed by default (so as to ensure that the containment is isolated): once the valves are closed, the residual power removing system can no longer operate. Moreover, the fact that the steam generator used is that used during power operation of the reactor means that it undergoes ageing and wear. Models of SCOR-type (“Simple Compact Reactor”) integrated pressurised water reactors are also known which comprise a single steam generator incorporated into the reactor primary containment. These reactors moreover comprise a passive residual power removing device which includes multiple heat exchangers incorporated into the reactor primary containment. In the event of residual power removing, the primary water is cooled through contact with the residual power removing heat exchangers wherein cooling water is circulating. This secondary water will itself exchange its heat with a condenser outside the containment vessel, in contact with water coming from an inertial capacity. Such an architecture also poses certain problems. As with document U.S. Pat. No. 6,795,518, the SCOR reactor involves the use of closing isolation valves (i.e. which prevent the fluid circulating) in the event of loss of electricity supply. Moreover, removing of residual power is achieved by using a heat exchanger which exhibits a removal efficiency which is less than that of a steam generator. Finally, the SCOR reactor is designed such that it is not possible to test the residual power removing system during normal power operation of the reactor: the architecture is, in effect, such that the primary water does not enter the heat exchanger during power operation of the reactor. In this context, the purpose of the present invention is to offer a passive system of removing residual power from the core of a pressurised water nuclear reactor, as well as the reactor incorporating said system, including in the event of a breach of secondary water in the steam generator supplying the turbine, said system comprising no isolation valves between the containment vessel and the condenser and being capable of being tested during power operation of the reactor. To this end the invention proposes a system for removing the residual pressure of a pressurised water nuclear reactor comprising a containment vessel which incorporates a reactor primary containment which includes the core of said reactor, said system comprising: a reserve of water; at least one steam generator, called the dedicated module, suitable to be housed in the reactor primary containment and designed to make secondary water enter the vapour phase on thermal contact with the primary water, wherein the primary water heated by the core, circulates either in a forced manner by means of at least one primary pump during reactor power operation, or circulates naturally in situations where the primary pump is stopped, the primary water heated by the core circulating without secondary circulation of water in the dedicated module during reactor power operation; at least one condenser suitable to be housed in the containment vessel which includes: a recovery unit designed to recover water condensed by the condenser; a link, called the condenser link, designed to ensure the circulation of water in a closed circuit between the reserve and the condenser; means of circulating the secondary water between the dedicated module and the condenser, said means being activated without an external supply of electrical energy, when an operating parameter characteristic of excessive heating of the primary water reaches a certain threshold, such that the primary water heated by the core and circulating in the dedicated module vaporises the secondary water circulating in the module by giving up heat to it; a hot link ensuring the natural circulation of the steam from the dedicated module to the condenser, the condenser being designed to condense the steam circulating in the hot link by means of thermal contact with the water circulating naturally in the condenser link; a cold link ensuring the circulation under gravity of the water from the recovery unit to the secondary water inlet of the dedicated module. Another object of the invention is a pressurised water nuclear reactor comprising: a containment vessel incorporating a reactor primary containment which includes the core of said reactor, said reactor primary containment comprising: a primary circulation circuit for pressurised primary water to remove the power provided by the core during reactor power operation; at least one steam generator, called the power operation generator, wherein the primary water heated by the core and circulating in the power operation generator gives up heat to the secondary water circulating in the power operation generator in order to vaporise this secondary water during reactor power operation. a system to ensure the removing of residual power from said reactor, comprising: a reserve of water; at least one steam generator, called the dedicated module, which differs from the power operation generator, housed in the reactor primary containment and wherein circulates primary water heated by the core which gives up heat to the secondary water in order to vaporise this secondary water when it circulates in the dedicated module; at least one condenser housed in the containment vessel which includes: a recovery unit for recovering water condensed by the condenser; a heat exchanger housed inside the recovery unit; a link, called the condenser link, connecting the reserve and the heat exchanger in a closed circuit; a hot link connecting the steam outlet of the dedicated module with the condenser such that the condenser condenses the steam circulating in the hot link by means of thermal contact with the water circulating in the condenser link; a cold link connecting the recovery unit with the secondary water unit of the dedicated module; an automatically activated opening/closing valve with no external electrical energy supply, said valve being arranged such that no secondary water circulates in the dedicated module when said valve is closed and such that water from the recovery unit circulates in the dedicated module when said valve is open, said valve opening when an operating parameter characteristic of excessive heating of the primary water reaches a certain threshold. The system for removing the residual power and the pressurised water nuclear reactor according to the invention can also exhibit one or more of the characteristics below, considered individually or according to all technically possible combinations: said dedicated module is a once-through steam generator; said dedicated module is a counter-flow steam generator; said condenser is arranged at a height which is greater than that of said dedicated module in order to allow natural circulation; said dedicated module is micro-channel heat exchanger formed from an assembly of etched plates; the power operation generator and the dedicated module have identical structures; this last characteristic means that the two distinct steam generators (GVs) have identical structures (both in terms of geometric shape and in terms of the materials used); said condenser is housed near the side walls of the containment vessel; the water reserve is arranged on the side of or above the containment vessel; the dedicated module is arranged in the reactor primary containment above the core of the reactor so as to allow natural circulation; the core is in the lower central part of the reactor primary containment and the dedicated module is arranged near the side wall of said reactor primary containment. Another subject of the invention is a pressurised water nuclear reactor comprising: a containment vessel incorporating a reactor primary containment which includes the core of said reactor; a system to ensure removing of residual power according to the invention;said reactor primary containment comprising: a primary circulation circuit for pressurised primary water to remove the power provided by the core during reactor power operation; at least one steam generator, called the power operation generator, which is different from the dedicated module and wherein the primary water heated by the core and circulating in the power operation generator gives up heat to secondary water circulating in the power operation generator in order to vaporise this secondary water during reactor power operation. The reactor 100 comprises two principal elements: a containment vessel 101; a reserve of water 102. The reserve of water 102 is shown here on the side of the containment vessel 101 but it is to be understood that it may be placed all around the containment vessel 101 or above it. This reserve of light water 102 must comprise a large volume of water 103. This volume is all the greater because the aim is to delay any human action. As an order or magnitude, the volume of water above the outlet of line 108 is a few hundred m3 for 72 hours operation; for example, and non-restrictively, this volume is less than 1000 m3 for a 300 MWth reactor. The water in the reserve of water 102 may be light water treated to ensure its quality in the long term, without this excluding the use of untreated water in accident situations, such that the reserve of water may be filled when it is emptied; to this end dry ducts (not shown) may be provided to allow remote filling. It should be noted that the reserve of water 102 is not pressurised, such that the water in this reserve 102 at the highest level is substantially at atmospheric pressure. The containment vessel 101 comprises: a reactor primary containment 104; at least one condenser 105; As stated previously, the containment vessel houses the main equipment of the nuclear steam system, protects it from external accidents (earthquakes, projectiles, flooding etc.) and forms the third barrier preventing radioactive products from being released into the environment. The condenser 105 is formed of a recovery unit 106 (i.e. a receptacle designed to receive the water condensed by the condenser) and a heat exchanger 107 housed inside the recovery unit 106, and whose ends 108 and 109 enter the reserve of water 102, with end 108 being located above end 109. The ends 109 and 108 are respectively connected to the condenser heat exchanger 107 via two pipes 110 and 111. In normal power operation of the reactor 100, the recovery unit 106 is full of water (i.e. up to the level 121 shown in FIG. 1). This water may be in the form of steam, liquid or two-phase. The reactor primary containment 104 forms the pressure containment vessel of the nuclear reactor 100; according to one preferential embodiment of the invention, the nuclear reactor 100 is an integrated or compact-type nuclear reactor such that the reactor primary containment 104 comprises, in a known manner: the reactor core 113, formed of nuclear fuel assemblies and housed at the bottom in the middle of the reactor primary containment 104; at least one steam generator 114 placed above the core 113 on the periphery of the primary containment 104. In normal operation of the reactor 100 (i.e. when the reactor is in power operation in order to produce steam), a primary water circulation called the “primary system” is arranged inside the primary containment 104 to remove the heat from the central core to the steam generator 114. There is therefore a central upwards movement (arrows 115) of the fluid which passes in succession into the core 113, then enters the steam generator 114 via a primary inlet 116 located on the upper part of the steam generator 114, the fluid then being sent back into the primary containment 104 at its periphery, to fall back once more below the central core in a downwards peripheral movement (arrows 117). Primary circulation pumps which are not shown are installed (in or around the primary containment 104) to supply the necessary energy to the primary water, in order to ensure that it circulates within the primary containment 104 assembly. A secondary circuit 118 connects the steam generator 114 allowing the steam to be supplied for the user (this steam being likely, in particular, to supply a turbine for the production of electricity). More specifically, in the steam generator 114, this heat transforms the secondary water into steam. The steam is then returned to the liquid state in a condenser and returns to the steam generator 114 by being made to circulate by means of secondary pumps in the secondary circuit 118. In accordance with the invention, the reactor primary containment 104 comprises moreover another steam generator 119, called the dedicated steam generator (GV) module, also housed at the periphery of the reactor primary containment 104, in its upper part, above the core 113. This dedicated GV module 119 has the specific feature of being dedicated to the removing of residual power: in other terms, the GV module 119 plays no part in the turbine steam supply. During normal reactor power operation, the primary water heated by the core 113 follows its upwards movement (arrows 115) then enters the GV module 119 via a primary inlet 120 located on the upper part of the GV module 119, the fluid then being sent back into the primary containment 104 at its periphery to fall once more below the central core in a downwards peripheral movement (arrows 117). Unlike steam generator 114, there is no secondary system connecting the GV module 119 to the turbine. There is, on the other hand, a secondary system 122 wherein water located in the recovery unit 106 can circulate. This secondary system 122 comprises: a passive opening/closure valve 112. a hot leg 123; a cold leg 124. The passive opening/closure valve 112 is closed during normal reactor operation and only opens passively when a given parameter exceeds a predetermined threshold value. This parameter may be the pressure in the dedicated GV 119 or the primary coolant temperature, for example. The term passive opening should be taken to mean an opening without a supply of electrical energy. A more precise system equipped with a stand-alone battery can also be envisaged. It will be remarked that the recovery unit 106 of the condenser 105 is located above (i.e. higher than) the GV module 119, such that when the valve 112 is opened, the water from the recovery unit falls under gravity through the cold leg 124 into the GV module 119. During reactor power operation, the valve 112 is closed such that no secondary water circulates in the GV module 119; thus during power operation the primary water heated by the core 115 passes through the GV module 119 without exchanging heat with the secondary water which is not circulating. The GV module 119 is preferably a once-through steam generator. The term once-through steam generator should be taken to mean a steam generator wherein the secondary water (when it is circulating in the generator) passes through the generator in one go; in other words, all the secondary water (in the form of steam and/or liquid) enters and leaves the generator in one go without the possibility of being able to re-circulate into the steam generator; this type of once-through generator is in contrast, for example, to generators constituted of a bundle of U-shaped tubes surrounded by a cylindrical envelope which comprises separation cyclones: in the case of a multi-pass (recirculating) steam generator, part of the secondary water located between the envelopes and the tubes is vaporised, whilst the other non-vaporised part returns into the annular space of the envelope. This type of multi-pass steam generator offers the huge drawback of having very large dimensions and therefore being not particularly suitable for use as a generator dedicated solely to removing residual power. The once-through GV module 119 is preferably a counter-flow steam generator; the term counter-flow steam generator should be taken to mean a generator in which the primary and secondary water currents are currents which are circulating in opposite directions. We will return subsequently to the benefits of having a counter-flow steam generator. To summarise, in normal power operation primary water circulates in the primary containment 104, this primary water is heated by thermal exchange with the core 113 of the reactor. The heated water is cooled by thermal exchange with the steam generator 114, the steam produced in the steam generator 114 being intended for the user. In the event of the normal core cooling system (not detailed here) not being available, for example due to loss of electricity supply, then shut-down of the reaction is initiated by the control rods dropping, introducing a high level of negative reactivity into the core, and the residual power is removed according to the present invention. The increase in the core temperature will result in the passive opening valve 112 being opened: this valve may be operated by the effect of increase in pressure in the dedicated GV, itself in correlation with the increase in the primary temperature, or directly by the primary temperature. In all cases this valve opens in a passive manner once a parameter (temperature or pressure, for example) exceeds a determined threshold value which is representative of a need to remove the residual power. By way of an illustration, for a nominal mean primary temperature of 280° C., the opening of the valve may occur at towards 290° C. It should be noted that given the harmless effect of unwanted opening of this valve, this margin could be reduced if need be. The primary water heated by the core continues to circulate along the arrows 115 in the primary containment 104 by natural circulation. The secondary water coming from the recovery unit 106 and which circulates in the cold leg 124 enters the GV module 119 and evaporates in contact with the primary water heated by the core. The secondary steam then rises into the hot leg 123. The steam from the GV module 119 condenses in contact with the condenser heat exchanger 107 by thermal contact with the water coming from the reserve of water 103 via a pipe 110; the condensed steam is recovered in the recovery unit 106 and is then re-injected into the GV module 119. It should be noted that the level of water 103 in the water reserve 102 is above the bottom link pipe 110 between the condenser heat exchanger 107 and the reserve of water 102. The steam being at a high temperature (dependant on the primary temperature itself, initially at 300° C., as an order of magnitude), it will trigger partial boiling of the water coming from the reserve 102 and which is circulating in the condenser heat exchanger 107. This boiling allows operation, through circulation by natural convection, of the loop (successively formed by the pipe 110, the condenser heat-exchanger 107 and the pipe 111) wherein the two-phase water from the reserve of water 102 circulates. The system for removing of the residual power therefore operates using three natural circulation loops: a primary loop wherein the primary water circulates through the core and the primary side of GV module 119, a secondary loop wherein the secondary water circulates through the secondary side of the GV module 119 and the condenser 105, and a tertiary loop, wherein the water of the reserve 102 circulates. When the level of the reserve of water 102 falls below the height of the condenser 105, there is no longer any water to supply the condenser 105 under gravity; this situation should be avoided by filling the reserve 102 sufficiently so that its level of water 102 always remains above the condenser 105. It should be noted that the primary water circulates through the GV module 119 irrespective of the operating mode of the reactor (in power operation, and after shut-down of the reactor in order to remove the residual power). Thus the system for removing the residual power according to the invention can be tested, including in reactor power operation. All that is required for this is to force the opening of valve 112. This test procedure is made possible since the GV module 119 is never short-circuited during the circulation of primary water. As has been stated above, the CV module 119 is preferentially a counter-flow steam generator. By using counter-currents the steam is superheated at the outlet from the GV module since the primary and secondary coolant fluids cross at their maximum temperatures. Such an arrangement enables the exchange efficiency of the system to be improved. The condenser 105 is preferentially placed as near as possible to the wall of the containment vessel so as to minimise the risk of breaches in pipes 110 and 111 caused by external attack. The distance between the condenser 105 and the wall of the containment vessel may be, for example, of the order of 1 meter or even less than 1 meter. Moreover, the diameters of these pipes 110 and 11 are to be chosen such that the flow is sufficient to remove the residual power and to favour priming and maintenance of the natural circulation, taking the foreseeable differences in level into consideration. In order to ensure that GV module 119 can be arranged within the primary containment of the reactor 104, designed to achieve reduced dimensions, the GV module 119 (see FIG. 2a) may advantageously be made in the form of a micro-channel heat exchanger. This micro-channel heat exchanger is made, for example, using etched plates 201 (see FIG. 2b) which are diffusion-welded together. The etched plates 201 have channels 202. The advantage of such a structure is its compactness, particularly when compared with tube heat exchangers. An example of a micro-channel heat exchanger formed from an assembly of etched plates is shown in FIGS. 2a-b. The steam generator 114 whose structure may be identical to that of GV module 119 may therefore also be a micro-channel heat exchanger. To summarise the advantages of the invention, the proposed solution is based on closed loop cooling using natural circulation between a once-through and counter-flow GV module dedicated to the function of removing the residual power (and located within the reactor primary containment) and a condenser which is outside the steam system block and located within the containment vessel. This condenser is itself cooled using natural circulation of a large volume of water (lateral pond, for example) which is outside the containment vessel. The secondary coolant fluid remains confined between the Steam Generator (GV) module and the condenser. The removing of residual power function is achieved in a passive manner. This safety system is triggered using a passive automatic device (i.e. without human intervention) with no external supply of energy. Having a condenser inside the containment vessel means that in the event of a link inside the primary containment of the dedicated GV module breaking, there is no risk of sending primary water out of the containment vessel, and that there is no need to use isolation valves which could render the system unavailable. Moreover, a failure (highly unlikely, being cold) of the link between the condenser and the reserve of water does not result in any steam system transient condition. According to one embodiment of the invention, the steam generator 114 has a structure which is identical to that of GV module 119. The invention is of course not limited to the embodiment which has just been described. Thus, even though a single condenser has been described, it is to be understood that the invention applies to the case where several condensers are found within the containment vessel, thus enabling accident situations involving a one-off failure or line maintenance situations to be handled. Similarly the reactor according to the invention may comprise several GV modules and several steam generators.
summary
046860770
abstract
The reactor has a pressure vessel receiving a coolant and a reactor core. The core has vertically movable control rods to which absorber rods are secured. Each tubular control rod extends, with the interposition of an annular gap, around an immobile guide rod which is also tubular and which is longer than the control rod. To move the control rods, coolant is supplied from the pressure vessel under pressure to the interior of the guide rods. The annular chamber communicates by way of communicating bores with the interior of the associated guide rod and, by way of at least two annular restrictions providing different restrictors with the pressure vessel interior. One restrictor is disposed at the top end of the associated control rod and the other restrictor at the bottom end thereof.. The control rods move axially upwards in response to an increasing quantity of coolant in the guide rod interiors and downwards in response to a decreasing quantity of coolant in the guide rod interiors.
abstract
A method for recycling AgInCd control rod absorber bar material from a used control rod from a nuclear power plant includes sectioning AgInCd absorber bar from a used control rod into a first section and a second section, the first section having a higher radioactivity than the second section; and recycling the material of the second section of the AgInCd absorber bar.
summary
claims
1. A line scanning particle beam therapy apparatus comprising:a beam irradiation unit which has an X-axis scanning electromagnet that deflects a charged particle beam in the X-axis direction perpendicular to the direction straight ahead of the charged particle beam, and a Y-axis scanning electromagnet that deflects the charged particle beam in the Y-axis direction perpendicular to the direction straight ahead of the charged particle beam and the X-axis direction, and which radiates the charged particle beam as a line scanning beam;an irradiation position detection unit which is provided inside the beam irradiation unit and which detects an irradiation position within a plane formed by the X-axis and the Y-axis of the line scanning beam;a stationary time measuring unit which measures the stationary time of the line scanning beam at the irradiation position detected by the irradiation position detection unit;a scanning control unit which performs scanning control of the line scanning beam by utilizing the irradiation position detected by the irradiation position detection unit and the stationary time measured by the stationary time measuring unit;a memory which stores information regarding the irradiation position of the scanning beam, the stationary time of the line scanning beam, and a locus of the line scanning beam;a calculation processing unit which performs abnormality existence determination by comparing the irradiation position of the line scanning beam, the stationary time of the line scanning beam, and the locus of the line scanning beam, stored in the memory, with the irradiation position detected by the irradiation position detection unit, the stationary time of the line scanning beam measured by the stationary time measuring unit, and the locus of the line scanning beam obtained from a detection result of the irradiation position detection unit; andan interlock control unit which performs interlock control of stopping the irradiation of the line scanning beam, when the calculation processing unit determines an existence of an abnormality. 2. The line scanning particle beam therapy apparatus according to claim 1, wherein the scanning control unit is configured to determine whether or not a center-of-gravity position of the detected line scanning beam has moved, wherein the scanning control unit is configured to determine whether the irradiation position is within a range of a scanning pattern when it is determined that the center-of-gravity position has moved. 3. The line scanning particle beam therapy apparatus according to claim 2, wherein the scanning control unit is configured to determine whether or not a locus of the center-of-gravity position of the detected line scanning beam is within the range of the scanning pattern, wherein the scanning control unit is configured to stop the beam irradiation unit from radiating the charged particle beam when it is determined that the locus of the center-of-gravity position is not within the range of the scanning pattern. 4. The line scanning particle beam therapy apparatus of claim 1, wherein the calculation processing unit compares the center of the irradiation position detected by the irradiation position detection unit and a scanning pattern stored in the memory. 5. The line scanning particle beam therapy apparatus of claim 1, wherein reset control of a scanning pattern is performed in a part where it is determined that the line scanning beam is out of the range of the scanning pattern when the irradiation field is irradiated a plurality of times with the line scanning beam. 6. The line scanning particle beam therapy apparatus of claim 1, wherein the scanning control unit performs feedback control of scanning of the line scanning beam by utilizing the irradiation position measured by the irradiation position detection unit and the stationary time detected by the stationary time measuring unit.
description
This application claims priority to European Patent Application No. 05019259.0, filed Sep. 5, 2005, which is herein incorporated by reference. Embodiments of the invention relate to improvements in the field of charged particle beam emitting apparatus and, more particularly, to a method for operating an electron beam emitting apparatus including a cold field emitter. Charged particle beam emitters, such as cold field emission emitters, have an enormous potential due to their high brightness, small source size, and low energy spread. A cold field emitter typically includes a crystal of tungsten formed to a very narrow point, which is mounted to a loop of tungsten wire. The very narrow point is also frequently referred to as an emitter tip. When applying a voltage to the cold field emitter, a very strong electric field is formed at the emitter tip due to the tip's small curvature. The strong electric field enables the electrons to pass the potential barrier between the metal and the vacuum in which the cold field emitter is placed. Accordingly, the established electric field is often referred to as an electric extractor field as it causes the electrons to be “extracted” from the emitter tip. As compared to so-called thermal emitters, which are heated to a temperature sufficient to enable thermal emission, cold field emitters are not heated so that electrons are only emitted due to the presence of the strong electric field. As the electric field strength of the electric extractor field is only sufficiently strong in the vicinity of the highly curved emitter tip, electrons are only emitted therefrom resulting in a point-like electron source. Despite its superior advantages with respect to brightness, source size, and low energy spread, cold field emitters are also known as being unstable and delicate due to adsorption and desorption of residual gas molecules of the vacuum which drastically alters the emission characteristic of the cold field emitter. In order to obtain a reasonably stable emission, an ultra high vacuum is required which is typically better than 1.33*10−7 Pa (10−9 Torr) and in certain cases better than 1.33* 10−9 Pa (10−11 Torr). Principally, the lower the pressure the better the vacuum and hence the stability. A typical emission characteristic of a clean cold field emitter under a constant extraction field exhibits an initial high emission current I0. Upon further operation under standard conditions (i.e., under a constant electric extraction field, a given vacuum, and a constant low temperature) the emission current declines continuously due to increasing adsorption of residual gas molecules in the vacuum on the surface of the emitter tip. At the same time, gas molecules adhering to the emitter surface begin to desorb from the emitter surface so that after a certain period of time, adsorption and desorption of gas molecules are balanced. When the balance condition is reached, or in other words, when a dynamical equilibrium of adsorption and desorption has been established, the emission current is substantially stable and assumes a stable mean emission current IS. Under this balanced condition the emission current fluctuates around the substantially stable mean emission current IS, which is well below the initial high emission current I0. An exemplary emission current of a cold field emitter is, for instance, shown in FIG. 1 of Okumura et al. (U.S. Pat. No. 4,090,106) which is reproduced in FIG. 4 of the present application. As indicated in FIG. 4, the emission current I declines from I0 to a stable mean emission current I1(=IS). This period is sometimes referred to as the initial unstable period. The time required for the stabilization of the emission current and the extent of the emission current decline depend on the quality of the vacuum. The balanced condition is established after a few minutes depending on the quality of the vacuum. Conventionally, the period of stable emission is sometimes referred to as the stable emission period. In order to obtain a constant emission current Okumura et al. suggest controlling the field strength of the extraction field so that the emission current is kept about IS even during the initial unstable region. Specifically, at the beginning of the field emission when the emitter tip is still clean, a lower electric extraction field is applied to keep the emission current at IS. Upon further operation, the field strength of the electric extraction field is ramped up to compensate the decline of the emission current which would otherwise occur under constant electric field conditions. The balanced conditions may be affected by positively charged ions or molecules, which are accelerated by the electric extraction field towards the surface of the emitter tip resulting in fluctuations of the emission current. Molecules or ions impinging on the surface of the emitter tip lead to a partial desorption of adsorbed gas molecules and hence, to a temporal removal of residual gas molecules from the emitter tip resulting in a temporal rise of the emission current. As this effect is counterbalanced by a continuous adsorption of gas molecules, fluctuation of the emission current is observed. The fluctuations become stronger over long periods of operation and, using the notation of Okumura et al., a terminal unstable region is reached when strong fluctuations are observable. In the worst case, the fluctuations may result in an avalanche of desorption and a subsequent uncontrolled emission. The emitter tip may be destroyed if the field strength of the electric extraction field cannot be reduced fast enough. To reduce fluctuations and to increase the emission current, different approaches have been suggested. For example, the emitter tip can be coated with a material having a low work function to reduce the voltage required for extracting electrons. Alternatively, the emitter tip, such as a ZrO/W [100] Schottky emitter, can be heated to about 1800 K to 2000 K to thermally stimulate electron emission. However, such emitters are not “cold” emitters. Contrary to cold emitters, hot or thermal emitters emit from the whole emitter surface rather than only from the emitter tip and therefore, do not have a. point-like source like cold emitters. A further option for reducing fluctuations is to improve the vacuum. However, this approach is very expensive and increases the cost-of-ownership. It has also been proposed to decontaminate the emitter tip after a given long period of operation. Typically, the emitter tip is cleaned by short healing pulses, also referred to as flashing, during which the emitter tip is heated to a temperature sufficiently high to cause a noticeable desorption of adsorbed gas molecules. As disclosed by Okumura et al. and referred to above, the emitter tip is decontaminated by flashing using an electrical heater when the fluctuations about the mean stable emission current IS become more pronounced. The decontamination intervals are then typically in the range of hours. It is also known to heat the emitter tip of a cathode-ray type electron gun at fixed time intervals as, for instance, described by Iwasaki (U.S. Pat. No. 5,491,375) to keep the emission stable at the mean emission current IS. Furthermore, Steigerwald (U.S. Patent No. 2004/0124365) suggests using a photon beam focused on the emitter tip to heat the emitter tip to a temperature of about 1300 K to 1500 K for a partial decontamination. One embodiment of the present invention is a method for operating a charged particle beam emitting device comprising a charged particle beam source having an emitter surface. The method generally includes the steps of placing the charged particle beam source in a vacuum of a given pressure, the charged particle beam source exhibiting a high initial emission current I0 and a lower stable mean emission current IS under given operational conditions; applying the given operational conditions to the charged particle beam source for emitting charged particles from the emitter surface, so that the emission current of the charged particle beam source is higher than the stable mean emission current IS; performing a cleaning process by applying at least one heating pulse to the charged particle beam source for heating the emitter surface to a temperature TC, whereby the cleaning process is performed before the emission current of the charged particle beam source has declined to the lower stable mean emission value IS; and repeating the cleaning process to keep the emission current of the charged particle beam source continuously above the substantially stable emission value IS. Another embodiment of the present invention is a method for operating an electron beam emitting device comprising a cold field emitter having an emitter surface. The method generally includes the steps of placing the cold field emitter in a vacuum of a given pressure, the cold field emitter exhibiting a high initial emission current I0 and a lower stable mean emission current IS under a given electric extraction field; applying the given electric extraction field to the cold field emitter for emitting electrons from the emitter surface, so that the emission current of the cold field emitter is higher than the stable mean emission current IS; adjusting the strength of the electric extraction field to keep the emission current substantially stable and continuously above the mean stable emission current IS at a predefined value IC being higher than IS; performing a cleaning process by applying at least one heating pulse to the cold field emitter for heating the emitter surface to a temperature TC, whereby the cleaning process is performed when the strength of the electric extraction field exceeds a predefined reference value; and repeating the adjusting step and the cleaning process to keep the emission current of the cold field emitter continuously above the substantially stable emission value IS. Yet another embodiment of the present invention is a method for operating a charged particle beam emitting device comprising a charged particle beam source having an emitter surface. The method generally includes the steps of generating a charged particle beam, focusing the generated charged particle beam onto a sample or specimen, and automatically performing a cleaning process for cleaning the emitter surface upon occurrence of a triggering event. Yet another embodiment of the present invention provides for a charged particle beam emitting device. The emitting device generally includes a charged particle beam source for emitting charged particles, the charged particle beam source comprising an emitter surface; a voltage unit configured to apply a voltage to the charged particle beam source for generating a charged particle beam; a heating element configured to heat the emitter surface; and a control unit comprising an input configured to receive a trigger signal, the control unit being operative to control the heating element to apply at least one heating pulse to the emitter surface of the charged particle beam source during the generation of the charged particle beam upon reception of a trigger signal. Reference will now be made in detail to various embodiments, examples of which are illustrated in the drawings. In the figures and the description that follows, like reference numerals refer to similar elements. The example is provided by way of explanation, and is not meant as a limitation of the invention. For example, features illustrated or described as part of one embodiment can be used on or in conjunction with other embodiments to yield yet a further embodiment. It is intended that the present invention include such modifications and variations. In order to keep the emission current of a cold field emitter at a very high level, the cold field emitter and, in particular, its emitter surface may be frequently, and for some embodiments periodically, cleaned to remove contaminations adhered to the emitter surface. For a better understanding of the present invention, reference is made to FIG. 4 which illustrates a typical emission characteristic of a cold field emitter. FIG. 4 is a reproduction of FIG. 1 of Okumura et al. (U.S. Pat. No. 4,090,106). Generally, an emitter surface of a cold field emitter is placed in a suitable vacuum which should have a pressure at least less than 1.33*10−7 Pa (10−9 Torr) and, in particular, less than 1.33*10−9 Pa (10−11 Torr). For a moment, the emitter surface of the cold field emitter may assumed to be clean (i.e., it is free from any debris or contaminations). Those skilled in the art are aware that a completely clean emitter surface is practically not available as there are always impurities in the material of the emitter tip itself, impurities emitted from heating filaments and/or residual gas molecules in the vacuum which partially contaminate the emitter surface. Hence, when referring to a clean emitter surface, it is meant that the emitter surface is substantially free from debris and contaminations. A cold field emitter having a clean emitter surface emits a very high emission current. The level of the emission current strongly depends on the field strength of the applied electric extraction field. To observe field emission, the field strength should be in the range of about 10 MVcm−1 and above. At such high field strengths, the width of a potential barrier, which exists between the material of the emitter surface and the vacuum, becomes smaller so that the electrons can traverse this barrier by a wave mechanical tunneling effect. In order to generate such a strong electric field in the vicinity of the emission surface, a point-like emitter tip is used having a radius of curvature of about 0.1 μm or less. As the electric field strength is inversely proportional to the radius of the curvature, only the highly curved surface at the tip forms an effective emitter surface. In the vicinity of less curved portions of the emitter the established electric field is too weak to appreciably extract electrons so that electrons are merely extracted from the tip of cold field emitters, which thus forms a point-like electron source. Still referring to FIG. 4, the initial emission current of a clean emitter surface under a given electric extraction field in a given vacuum at time t0 is represented by I0 indicated at the abscissa. Under further standard operation (i.e., under a constant electric extraction field, constant vacuum condition, and at a constant low temperature), the emission current noticeably declines with time due to an increase of gas adsorption on the emitter surface. As the adsorption is increasingly counterbalanced by gas desorption, a dynamical equilibrium of adsorption and desorption comes into being after a certain time which leads to a temporarily stable contamination of the emitter surface. When the dynamical equilibrium or the balanced region is formed, the emission current of the cold field emitter substantially remains constant apart from fluctuations around a stable mean emission current. In FIG. 4, the balanced region is reached at time t1 at which the emission current has declined to a value I1 which is substantially lower than the initial emission current I0. A concrete example of an emission characteristic of a cold field emitter is, for instance, disclosed in W. K. Lo et al., “Titanium nitride coated tungsten cold field emission sources,” J. Vac. Sci. Technol. B 14(6), Nov/Dec 1996, 3787-3791. FIG. 3(A) of this scientific article shows that the emission current drops from about 800 μA to about 500 μA within 300 sec. The emission remains substantially stable for at least an hour. Returning to FIG. 4 of the present application, the initial phase between t0 and t1 in which the emission current declines, is often referred to as initial unstable region, and the phase following the initial unstable region (i.e., the region after time t1), is referred to as the stable region. Typically, electron beam emitting devices have been operated in the stable region (i.e., after establishing the balanced condition) to obtain a substantially stable emission over a long period of time. As it becomes clear from FIG. 3(A) of W. K. Lo et al., the emission current I in that stable region is substantially lower than the initial emission current of a clean emitter surface. In view of the above, the present invention has been developed in an effort to increase significantly the emission current and to keep it at a high level over a very long period. In accordance with an important concept of the invention, the cold field emitter may be operated continuously in the initial unstable region. For this purpose, an initially clean emitter surface may be frequently subjected to a cleaning process which removes contaminations from the emitter surface in an effort to keep the emission current near the maximum obtainable emission current. The cleaning process may be initiated after a lapse of time tC, whereby tC is between t0 and t1 (in FIG. 4), or, in other words, before the emission current has fallen to the mean stable emission value IS (I1 in FIG. 4). After finishing the cleaning process, the emission current should rise and, more particularly, may reassume its initial high emission current I0. The cleaning process may be repeated after a further period of time tC has lapsed or when the emission current has fallen to IC, which is a pre-selected reference value between IS (=I1) and I0. As a result, the emission current may vary between IC and I0 due to the frequent cleaning. If the initiation of the cleaning process is governed by the drop of the emission current, the emission current may need to be measured by appropriate devices to control the cleaning process. Alternatively or in combination with the above emission current control, the cleaning process may be repeated after pre-defined time intervals, which may be chosen such that the emission is continuously kept above the stable mean emission current IS. Control measurements may be performed in advance for particular types of cold field emitters to obtain the specific emission characteristic of each type which than serves as a basis for selecting the respective cleaning intervals. IC, the value at which a cleaning process is initiated, may be defined as IC=αI0, whereby α can be in the range of about 0.8 to 0.99 and particularly in the range between 0.9 and 0.99. The higher the value of α that is chosen, the higher the mean emission current at which the cold field emitter is operated may be. On the other hand, a very high α may also require a very frequent repetition of the cleaning process leading to a more frequent switching between active and inactive periods. Hence, the value α should be selected so as to maintain a very high emission current while keeping the interruption low. It is worth noting that the cleaning process may be repeated more frequently than required with the duration of each single cleaning process being shortened at the same time. In this case, a single cleaning process may include only a single heating pulse (flashing). A single cleaning process might not be enough to completely clean the emitter surface and to bring the emission current back to I0, but may be sufficient to bring the emitter surface into the same clean condition as it was after the preceding cleaning process. Because the cleaning process is repeated very frequently in this case, the emitter surface may be thus kept in a sufficiently clean condition. On the other hand, the level of contamination may not vary very much due to the very frequent and short cleaning processes, and hence, the emission current may remain substantially stable. The short term stability of the emission current should therefore be improved. As an example, the emission current may oscillate or vary between an upper value of about 0.95 I0 and a lower value of about 0.93 I0. In other words, the emission current may be kept in an emission current band defined by a maximum value Imax and a minimum value Imin. The difference between Imax and Imin is defined as ΔI=Imax−Imin=γImax. The width of the emission current band is given by ΔI=γImax. For example, when γ is about 0.1, the emission current band has a width which is about 10% of Imax, whereas γ=0.05 would give a width of about 5% of Imax and γ=0.02 would give a width of about 0.02% of Imax. The emission current may therefore be kept by the frequent cleaning in the emission current band defined by Imax and γ. It should be noted that the cleaning process may specifically be performed when the cold field emitter is working. It should be ensured that the interruption of the normal mode (i.e., the mode at which the emitted electron beam illuminates a specimen) is kept as short as possible. A single cleaning process should be substantially less than 5 minutes. Preferably, a single cleaning process may be less than about 60 seconds or substantially less than that, for example less than 20 or 10 seconds. The duration of a single cleaning process may be adjusted depending on the level of contamination. Alternatively, the emission current may be controlled during cleaning so that the cleaning process stops when the emission current has assumed its initial emission value or has reached a value close to it. Typically, the cleaning processes may be performed at intervals of more than about 60 sec and for some embodiments, of more than about 240 see or 300 sec. Cleaning of the emitter surface every 4 to 10 minutes (intervals between about 240 sec and about 600 sec) has proved to be sufficient for many applications. The period between consecutive cleaning processes may be mainly determined by the quality of the vacuum. By increasing the quality of the vacuum, cleaning processes should be less frequently required. As the maintenance of a very or ultra high vacuum is very expensive, a segmentation of the particle beam emitting device into separate compartments having different levels of vacuum may be advantageous. For instance, the particle or electron beam emitting device may comprise three chambers. In a first chamber, in which an ultra high vacuum is maintained, the particle beam source may be arranged. A second or intermediated chamber may be arranged in the direction of the optical axis of the particle beam emitting device. Further down along the optical axis, a third or specimen chamber may be arranged. First and second chamber and second and third chamber, respectively, may be separated from each other by differential pressure apertures. The pressure in the third chamber may be higher than in the second chamber, which in turn may have a higher pressure than the first chamber. With this design, therefore, the best vacuum should be in the first chamber. The different levels of vacuum may be maintained by separate vacuum pumps. The segmentation of the particle beam emitting device should allow the vacuum in the first chamber, in which the particle beam source is arranged, to be kept at very high level and should prevent intrusion of contaminations from the other two chambers, particularly from the third chamber where contaminations may be generated due to the interaction of the electron beam with the specimen surface. Consequently, the first chamber may be less likely to be contaminated, and a cleaning may be less frequently required. Further aspects and details of separated chambers of a particle beam emitting device can be inferred from Patent Cooperation Treaty Application No. WO 2005/027175 of the same applicant, the disclosure of which is herewith completely incorporated by reference. When the electron beam emitting device is an electron microscope used for process diagnostics or wafer inspection during manufacturing of integrated circuits formed on semiconductor wafers, the cleaning process may be applied in combination with imaging or specimen activities. For example, the cleaning process may be carried out only in the interval of an extended frame blanking. For instance, for CD/DR (critical dimension/defect review) wafer inspection, the cleaning process may be done in the swap time between wafer exchanges, which is about 10 sec. Other examples of electron beam emitting devices are transmission electron microscopes (TEM) and scanning transmission electron microscopes (STEM), which may strongly benefit from the improved brightness and reduced noise gained by the frequent cleaning of their emitters. A single cleaning process may include one, two, or more heating pulses to heat the emitter surface to a sufficiently high temperature TC to induce decontamination. According to one embodiment, TC is in the range of about 2200 K to 2500 K. This temperature range is particularly suitable for cold field emitters comprising tungsten. TC can vary depending on the material used for the emitter. Those skilled in the art can, on the basis of the described method, easily identify suitable temperature ranges for other materials. The pulse width should be short, such as about 1 to 2 sec. An example of a heating pulse is illustrated in FIG. 3. The heating pulse shown in FIG. 3 may be created by applying a controlled electrical current impulse to the cold field emitter so that the cold field emitter including its emitter surface is heated by resistance heating. The current required to heat the emitter surface to a given temperature may depend inter alia on the resistance of tungsten wire carrying the cold field emitter. Typically, a single cleaning process may include 2 to 4 heating pulses, each of which has a duration of about 1 to 2 sec. The heating pulses may be applied at intervals of 1 to 3 sec. However, those skilled in the art will appreciate that other numbers of heating pulses, durations, and intervals are also possible and can be adjusted according to specific needs. The temperature at which the emitter surface is cleaned should be chosen such that no thickening of the tip radius is induced. At high temperature, the mobility of surface atoms of the cold field emitter's material (e.g., tungsten) may be increased. However, the material may not be melted and the heating temperature may be well below the melting temperature of the used material. As the emitter tip is strongly curved, the emitter surface may exhibit a high surface tension with a tendency to flatten or to dull the surface. The high surface tension may therefore lead to a re-shaping of the emitter tip. When the cleaning temperature is not too high, the atoms of the cold field emitter's material may not be mobile enough to induce reshaping of the emitter tip. During heating of the cold field emitter, thermal emission may be induced. As thermal emission may not only occur on the emitter tip (i.e., on the strongly curved emitter surface at the tip), but also on other parts of the cold field emitter surface, a high electron shower may be generated, which may exceed the emission current resulting from field emission. As this temporarily additional thermal emission current can severely damage the emitter tip and/or delicate parts of the electron beam emitting device or a sample arranged in the electron beam emitting device, appropriate measures may be required in an effort to reduce thermal emission during cleaning. One option is the usage of a so-called suppressor electrode. A suppressor electrode is a specially shaped electrode which is arranged around the cold field emitter. An exemplary arrangement of a suppressor electrode is shown in FIG. 1, which is described in detail further below. Typically, the suppressor electrode has a central opening through which the emitter tip projects. A suppressor voltage may be applied to the suppressor electrode so that it has a lower electrical potential with respect to the cold field emitter. The suppressor voltage may thus have a repulsive effect and suppress thermal emission. The suppressor voltage should be chosen to substantially suppress any unwanted emission during the cleaning processes. As an example, a suppressor voltage of about 300 V to 1000 V and, preferably, of about 700 V to 1000 V may be applied. In addition or alternatively to the use of a suppressor electrode, a beam blanker may be applied during the cleaning process. A beam blanker is an electron beam deflection device which deflects an electron beam by applying an electrostatic or magnetostatic field. The beam blanker may be incorporated into the electron beam emitting device between the electron source (i.e., the cold field emitter), and the specimen or sample to be investigated. During cleaning, the beam blanker may deflect the electron beam emitted from the cold field emitter so that the electrons do not strike the specimen. As the emission current may rise more than 10 times during cleaning, deflection of this high emission current may protect the specimen from being damaged. In order to obtain good cleaning results and to reduce the number of the required cleaning procedures (i.e., to keep the frequency of the cleaning procedures short or, in other words, to keep the intervals between consecutive cleaning processes long), the vacuum should be of high quality. In particular, a vacuum of 6.65*10−9 Pa (5*1O−11 Torr) and, more particularly, of 1.33*10−9 Pa (10−11 Torr) or better may ensure that the overall level of contamination is comparably small leading to overall improved emission performance and less demand for frequent cleaning processes. In another embodiment of the invention, build-up processes may be performed at regular intervals or upon request. A build-up process is a method to sharpen the tip of a cold field emitter. For that purpose, the cold field emitter temperature should be raised to a temperature sufficiently high enough to ensure surface mobility of the material of the cold field emitter. A strong electrostatic field may be applied to the emitter surface in an effort to cause migration of surface atoms toward the emitter apex. As the surface migration is encountered by the surface tension of the highly curved emitter tip, the field strength of the applied electrostatic field should be high enough so that the electrostatic force acting on the surface atoms is higher than the force generated by the surface tension, Periodic build-up processes should maintain the shape of the highly curved emitter surface and compensate for a slowly-progressing dulling of the emitter surface caused by the surface tension and a removal of material during normal operation. A build-up process may be repeated periodically as a precautionary measure or when degradation of the emission current, which is not caused by contamination, is observable. The re-shaping process may be mainly governed by two effects opposing each other. One effect may be the tendency of the emitter tip to become elongated under the influence of the electrostatic field, and the other effect may be the influence of the surface tension that results in a dulling of the emitter tip. The degree to which the emitter tip is re-shaped may therefore be controlled by selecting a certain temperature and field strength of the electrostatic field. If desired, the emitter tip may be re-shaped to assume a pre-selected shape. As the shape (i.e., the radius of the curvature) determines the emission current, the build-up process may be monitored by measuring the emission current. Unlike build-up processes described by Swanson (U.S. Pat. No. 3,817,592) and Frazer, Jr. et al. (U.S. Pat. No. 3,947,716), respectively, the build-up process described above should not require any additional gas to be introduced into the vacuum chamber. Further, as uncoated cold field emitters are typically used, the above build-up process may be applied since no additional coating process is desired as described by Fraser, Jr. et al., for instance. In accordance with some embodiments, the temperature range of the build-up process should be in the range of about 2000 K to 3000 K depending on the material and strength of the applied electric field at the emitter tip. Particularly, pulsed heating may be applied. No further gases (e.g., O2) may need to be introduced into the vacuum, and hence, the partial pressure of any gas components should remain constant during the build-up process. Referring now to FIG. 1, an example of an electron beam emission device is illustrated on the basis of a scanning electron microscope (SEM) used for wafer inspection or process diagnostic (CR & DR) in the semiconductor industry. FIG. 1 merely shows a so-called electron gun, a device which includes a cold field emitter. However, those skilled in the art appreciate that a SEM includes more components such as electrostatic and magnetostatic lenses, deflectors, beam shapers, etc., defining the so-called column of the SEM. In semiconductor industry application, high brightness and high resolution particle beam inspection, review and CD tools may be required. In particular, high resolution SEMs may be used which strongly benefit from the cleaning method described above. SEMs may enable visual inspection of lithographic masks and wafers which allows a fast and easy evaluation of the manufacturing quality. The wafers or masks may be transferred from a process chamber into the SEM and, after completion of the inspection, may be brought into another chamber. In order to restrict interruption of the inspection and manufacturing process, the exchange time periods required to transfer the wafers or masks into or from the SEM may be particularly used for carrying out cleaning processes. Accordingly, the active or operational period (i.e., the time available for inspection) of the SEM may not be affected. Furthermore, other inactive or non-operational periods of the SEM tool, such as stage movements from one location to another or system calibrations may also provide time frames during which the cleaning processes can be initiated. Utilizing computer controlled systems, all actions to be taken may be supervised to synchronize emission surface cleaning and system operation. An electron emission gun of a SEM may include a tungsten wire 1 bent to a U-form. At the bent portion of the tungsten wire 1, a tungsten crystal 4 formed to a very narrow point or tip (emitter surface) 5 may be welded. Typically, uncoated polycrystalline tungsten or uncoated mono-crystalline tungsten with a [100] or a [310] orientation is used. The tungsten crystal may form a cold field emitter 2. The sharp tip 5 may point downwards in FIG. 1 along an optical axis 9 of the SEM. A cup-shaped suppressor electrode 8 may surround the cold field emitter and, in particular, the tungsten crystal 4. At its center, the suppressor electrode 8 may include an opening 7 through which the tungsten crystal 4 may partially extend so that the tip 5 downwardly projects the suppressor electrode 8. An extraction anode 6 may be disposed along the optical axis 9 in spaced relation to the suppressor electrode 8 and the emitter tip 5. The extraction anode 6 may include a central opening aligned with the optical axis 9 of the SEM. During normal operation, an extractor voltage may be applied between the extraction anode 6 and the cold field emitter 2 so that the extractor anode 6 has a positive potential with respect to the cold field emitter 2. Due to the sharp tip 5, the electric field should be highly curved at tip 5 giving rise to high extraction field strength in the vicinity of the tip. On the other hand, the suppressor electrode 8 may have a negative potential with respect to the cold field emitter 2 to shield portions of the cold field emitter and the tungsten wire other than the tip from the electric extraction field. The electric suppressor field generated by the applied suppressor voltage may substantially weaken the extraction field so that only the tip is exposed to the extraction field. During cleaning, the electric suppressor field may suppress unwanted thermal emission from the cold field emitter. The cold field emitter 2 may be disposed in a high vacuum chamber 10 along with other parts of the SEM. The vacuum should be in the range better than 6.65*10−9 Pa (5*10−11 Torr). The better the vacuum (i.e., the lower the pressure) is, the slower the emitter surface may become contaminated. As noted above, the vacuum chamber 10 may be formed by a plurality of vacuum sub-chambers separated from each other by appropriate differential pressure apertures. The tungsten wire 1 may be connected to a heating current source 12, which may be controlled by a heating current controller 16. The heating current controller 16 may define the length, amplitude, and width of the heating pulse. An overall system control computer 18 connected with the heating current controller 16 may trigger the cleaning process and synchronize it with the inspection cycle. The overall system control computer 18 may also control the suppressor and extraction voltages and an HV-source 14 connected with the heating current source. Referring to FIG. 2, a process of sequences in according to one embodiment is described. At the beginning of the operation and, particularly, when a new cold field emitter has been assembled into the electron beam emitting device, a master cleaning process (20) may be carried out to clean the emitter surface from any persistent contamination. The master cleaning process may typically employ short and strong heating pulses (master flashing), which heat the emitter surface to a temperature TMC of about 2500 K to 2800 K. During master cleaning, the extractor voltage applied between cold field emitter and extraction anode should be turned off so that emission of thermal electrons is kept low. After master cleaning (20), the cold field emitter may be brought into normal operation (22) by applying an electric extraction field. Cold field emitters may be operated at room temperature without any additional heating so that the electron emission is only field induced. During normal operation, an electron beam may be generated and directed onto a specimen to be investigated. Normal operation (22) or the active period of the SEM may then be briefly interrupted to perform a cleaning process (24). Interruption of the normal mode may mean that the electron beam is not focused onto the specimen. It may therefore be possible to maintain the operating conditions of the normal mode and, for example, to deflect the electron beam using a beam blanker. Hence, the cleaning process may be generally initiated when the cold field emitter is working unlike the prior art which performs a cleaning step when the fluctuations of the emission current become intolerable and the operation of the electron beam emitting device is therefore terminated. Heating pulses may be applied during the cleaning process (24) to the cold field emitter in an effort to heat the emitter surface to a maximum temperature of about 2200 K to 2500 K. The maximum temperature should be lower than the temperature at which dulling or thickening of the emitter tip due to high surface tension is observed. As an example, a single cleaning process may include 2 to 4 heating pulses having a pulse width of about 1 to 2 sec at 1 to 3 sec intervals. The duration of a single cleaning process should be as short as possible to keep the interruption of the normal mode as small as possible. For instance, a cleaning process should be shorter than 1 minute and particularly less then 10 sec. To avoid damage of the emitter surface during cleaning, a high suppressor voltage of about 300 V to 1000 V and, preferably, of about 700 V to 1000 V may be applied which should inhibit unwanted emission from heated portions of the cold field emitter. The cleaning process (24) may be repeated (26) as often as required to keep the emitter surface clean. The cleaning process may be initiated at regular intervals or upon request when the emission current drops to a pre-selected minimum value IC defined as αI0 with α about 0.9 or higher such as 0.95, 0.96, 0.97, 0.98 or, in particular, 0.99. The higher α is, the higher IC is and the more frequently the cleaning process should be performed. The value α should be chosen such that IC is substantially higher than the stable mean emission current IS of a particular cold field emitter. As shown in the article of W. K. Lo et al. referred to above, a typical mean stable emission current is about only 60% of the initial high emission current. Hence, when choosing α=0.9, the emitter surface should be kept clean with a minimum emission of about 90% of the initial high emission I0. A value of 0.95 should provide a minimum emission of 95%, and α=0.99 should provide 99%. Therefore, the cold field emitter may be operated in the initial unstable region at which the contamination is unbalanced as described above. As the contamination may be continuously kept at minimum, adverse effects on the emitter surface should be substantially reduced leading to long-term stability and a long lifetime of the cold field emitter. The cleaning process (24) may be started, for instance, after a pre-selected time interval or may be synchronized with inactive or non-operational periods of the SEM to transfer specimens to be investigated. A fixed time interval may be typically determined with respect to the quality of the vacuum applied, the type of cold field emitter used, and the value IC>IS defining the minimum emission current. Alternatively or in combination with a fixed time interval, the emission current may be monitored to initiate the next cleaning process when the emission current has dropped to a pre-selected IC or when the emission current tends to become unstable. Further, the cleaning process may be performed such that the emission current I is kept between IC as a minimum value Imin and Imax being lower than I0. The emission current may thus oscillate or vary between Imin=IC and Imax. With reference to FIG. 5 the temporal course of the emission current I is shown. A clean emitter tip may be assumed to be provided at time t0. Under a given and constant electric extraction field generated by a given and constant voltage U applied between the emitter tip and the extraction electrode and under a given vacuum, an emission current I may be generated that has a high initial emission current I0 at t0. Upon further operation under constant conditions, the emission current I may decrease and assume a lower stable mean current IS at t1. The decrease of the emission current may result from increasing contamination of the emitter surface over time. The typical emission characteristic of a cold field emitter under given constant conditions with no frequent cleaning is indicated in FIG. 5 by a dotted line 42. In contrast thereto, the emission characteristic of a cold field emitter under The same condition but with frequent cleaning of the emitter surface is indicated by a bold line 40 in FIG. 5. As shown in FIG. 5, a first cleaning process 24 may be carried out when the emission current I has dropped to Imin=IC. The cleaning processes are indicated by small arrows 24. Imin may define the lower range of the desired emission current and may be a predefined value significantly higher than Is. After cleaning, the emission current may rise to a value Imax. Imax is shown in FIG. 5 as being lower than I0, but can also equal I0. The emission current I obtained after cleaning may depend on the intensity of the cleaning process, which may be governed by the temperature, duration, and number of the heating pulses applied. Upon further operation under constant condition (i.e., constant electric extraction field and given vacuum condition), the emission current may decline again. A further cleaning process 24 may be carried out when the emission current I has dropped again to Imin. The cleaning process may be repeated as often as required and, as indicated in FIG. 5, may be triggered when the emission current has dropped to Imin. Hence, the control parameter to control the cleaning process may be the emission current I which, in this case, should be monitored. By employing this approach, the emission current I may oscillate or vary between Imin and Imax. Those skilled in the art will appreciate that the cleaning processes may also be performed without monitoring the emission current. In this case, the cleaning may be performed at fixed intervals that can be predefined depending on the type of cold field emitter used. After a prolonged period of time, a build-up process 28 indicated by a big arrow in FIG. 5 may be performed to compensate slowly-progressing deformations of the emitter tip. Referring now to FIG. 6, the temporal course of the emission current I is exemplified for the case in which the voltage U applied to generate the electric extraction field may be adjusted between consecutive cleaning processes in an effort to keep the emission current stable. As in FIG. 5, dotted line 42 indicates the typical emission characteristic of a cold field emitter without frequent cleaning. The difference between the characteristics 42 shown in FIGS. 5 and 6 is that in FIG. 6 the voltage U applied may be adjusted in an effort to compensate the decline of the emission current 1. Without any cleaning the emission, I should decline to IS at about t1 and may then vary about IS due to the variation of the voltage U, the temporal course of which is indicated by dashed and dotted line 44. In contrast to the emission characteristic without frequent cleaning, the emission current I illustrated by bold line 40 should remain substantially stable due to frequent cleaning and the compensation between consecutive cleaning processes. In FIG. 6, the applied voltage Umin may initially be kept constant over a period t′. During this period the emission current may decline from I0 to Imin. At time t′, the compensation of the decline may begin with an increase of the voltage U. The voltage U may be adjusted such that the emission current I remains substantially constant at Imin. To compensate the decline of emission current, the voltage U may need to be increased, and upon reaching a predefined value Umax, a cleaning process 24 may be performed to clean the emitter surface. After cleaning, the emitter surface may exhibit substantially the same emission characteristic as at time t′ so that the applied voltage U may be reduced to the initial voltage U0 to keep the emission constant. As the contamination of the emitter surface increases again, the voltage U may need to be adjusted as well and, more specifically, may need to be increased to compensate an otherwise observable decline of the emission current. The voltage U applied may therefore be considered as an indirect measure of the emission quality, and a cleaning process may be triggered when a predefined voltage value Umax has been reached. Hence, the applied voltage U is in this embodiment the control parameter to control the cleaning process. For this control, the emission current should be monitored, as well. It may also be desired to start with the compensation at time t0 so that the emission current may be kept at I0 or very close to it. As in FIG. 5, build-up processes 28 may be performed upon request or when the emission quality is affected by slowly-progressing deformations or dulling of the emitter surface. Now referring to FIG. 7, the initiation of the cleaning process dependent on the occurrence of a triggering event is illustrated. During normal operation, a particle beam may be generated (50) and focused (52) onto the specimen or sample surface, for instance, to scan its surface. This period may be referred to as the active or operational period. Upon occurrence of a triggering event (54), the particle beam emitting device may be rendered inactive (i.e., the device's operation may temporarily be interrupted). Such triggering events may include a decline of the emission current or the rise of the extraction voltage to Umax as described above. Other exemplary events may be a sample or specimen exchange which, for example, occurs in a high-throughput inspection or process diagnostic (CD & DR) of processed semiconductor wafers. The typical duration of such an exchange is about 10 sec. A further option may include a signal generated by a timer. The timer periods may be set based on experience or on preliminary tests made for determining the emission characteristic of a particular particle beam source. Time periods required to calibrate the particle beam emitting device and periods for moving the specimen or sample or the stage on which the specimen is arranged may also be utilized and form triggering events for a short period. Common to these exemplary events should be that they interrupt or prevent the intended purpose or function of the particle beam emitting device. For example, during these events no scan of the specimen surface may be carried out. During the inactive or non-operational period initiated and defined by the triggering event, the particle beam may be deflected or defocused to avoid damaging of the specimen. Further, a cleaning process may be automatically initiated to clean the emitter surface of the particle or electron beam source. Typically, the generation of a particle or electron beam is not interrupted during the inactive periods and the cleaning process. This should allow a rapid return to the active period or a rapid switching between inactive and active periods. Further, it may enable an instant verification and control of the cleaning process. After completing the cleaning process, the particle beam emitting device may switch back to its active state. It may also be desired that a decision whether or not a cleaning process is initiated depends on the occurrence of two or more events. For instance, a cleaning process may be performed during specimen or sample exchange only if the emission current has declined to Imin. With reference to FIG. 8, a particle beam emitting device is described. The embodiment shown in FIG. 8 has a particle beam source 60 including an emitter surface, an extraction electrode, and a suppressor electrode (not shown). FIG. 8 is for illustrative purpose and is not drawn to scale. The particle beam source 60 may generate a charged particle beam 78 which is an electron beam in this embodiment. The electron beam 78 may be accelerated by an anode 62 to energy of about 10 keV and guided through a high voltage beam column 70 towards a sample or specimen 72. The high voltage beam column 70 may serve to keep the electrons of the electron beam 78 at high energy before their deceleration. The high energy of the electrons during their passage through the beam optical system 74 may help to minimize diffusion and spreading of the electron beam 78. In addition to the above mentioned components, the beam optical system 74 in FIG. 8 may comprise a condenser 64 and a final focus lens 76 to focus the electron beam 78 onto the specimen 72. The final focus lens 76 in this embodiment may focus the electron beam by means of a combination of a magnetic field generated by a final focus magnet coil 68 and an electric field generated by the voltage applied between specimen 72 and high voltage beam column 70. The high voltage beam column 70 may be electrically connected to anode 62 in order to provide an electric field free region for transporting the electron beam 78 towards the specimen 72. In the region between the final focus lens 76 and specimen 72, the electron beam 78 may become decelerated to a desired final energy at which the specimen is meant to be inspected. A beam blanker or deflector 66 may be arranged between particle beam source 60 and specimen 72 for deflecting the particle beam off the specimen surface. It is for clarity purposes of the drawings only that the beam optical system 74 illustrated in FIG. 8 shows only some of the components which are usually implemented in an SEM. For example, it does not show apertures, deflectors for scanning the specimen surface, or detectors for secondary electrons. However, a skilled person will appreciate that the illustrative SEM of FIG. 8 may include additional components depending on the application of the SEM. By employing the beam blanker 66 a particle beam, such as an electron beam or ion beam, emitted from particle beam source 60 may be deflected during the cleaning process. Alternatively, the particle beam may become defocused by the final focus lens 76. Further, the particle beam may also be kept focused without deflecting it if, for instance, the emission current does not exceed an upper critical limit during cleaning or if no specimen is arranged in the particle beam emitting device. For cleaning the emitter surface the particle beam source 60 may include a heating element 82 for applying heating pulses to the emitter surface. Examples of heating elements are a resistive heater such as the tungsten wire of a cold field emitter and a laser beam directed onto the emitter surface. Instead of using the tungsten wire, a separate resistance heater can be used as well. Heating element 82 may be controlled by a heating control unit 84. The high voltage applied to particle beam source 60 and anode 62 may be controlled by voltage unit 86, and the final focus magnet coil 68 may be controlled by a focusing unit 92. A control unit 88 having an input 90 may be provided for controlling the heating control unit 84, voltage unit 86, beam blanker 66, and focusing unit 92. Upon reception of a trigger signal by input 90, control unit 88 may initiate a cleaning process by prompting the heating control unit 84 to apply heating pulses to the emitter surface. At the same time, the particle beam 78 being continuously generated may be deflected by beam blanker 66 or defocused by the final focus lens 76. Control unit 88 may provide an overall control of the individual components. The trigger signal may be provided by a triggering unit or synchronizing means 98, which may be operatively connected with a measuring element 94 for measuring the emission current and with a motion controller for controlling the movement of a carrying element 96. The motion controller is not shown in FIG. 8. The carrying element 96 may be, for instance, a stage for holding the specimen during inspection or imaging or may be a transfer unit for transferring the specimen into and out of the charged particle beam emitting device. Movements of the carrying elements, which may result in the generation of a trigger signal, may be stage movement to expose different portions of the specimen to the charged particle beam and a specimen exchange. Returning back to FIG. 2, a build-up process (28) may be periodically or sporadically executed in addition to the frequent cleaning in an effort to sharpen the emitter tip and to reverse adverse effects resulting from frequently heating. As an example, although the temperature of the cleaning processes may be kept sufficiently low to prevent observable dulling or flattening of the emitter tip, over a long period including very many cleaning processes, the emitter tip gradually tends to become dull, which may mean that the radius of the emitter tip's curvature has decreased. Since the electric field strength at the emitter tip is inversely proportional to the radius of the tip curvature, the dulling may result in a decrease of the electric filed strength at the emitter surface and thus to an observable reduction of emission current. A build-up may typically be carried out when the emission current tends to become unstable or has an intolerable beam quality. The build-up process (28) may be carried out by applying heating pulses to the emitter tip under the presence of a strong electrostatic field. A strong electrostatic field may cause a transfer of the emitter tip's material towards its apex so that the emitter tip becomes more elongated and sharper. Those skilled in the art will appreciate that the electrostatic force generated by the applied electric field needs to be higher than the force generated by the surface tension of the heated emitter tip. The temperature upon which the emitter tip is heated may be about 2000 K to 3000 K. The polarity of the applied electric field is of no importance, hence, a polarity of the electric field opposite to the polarity of an electric extraction field may be used which may ensure that no field emission occurs and that thermal emission may be substantially suppressed. For applying the constant electrostatic field, a suitable voltage may be applied to the extractor electrode. The voltage applied during build-up may typically be higher than the extractor voltage. The required field strength F0 for a build-up process follows from equation (1) F 0 > 8.1 × 10 4 r ⁢ ⁢ ( V ⁢ / ⁢ cm ) ( 1 ) where r is the tip radius in cm. For an emitter tip radius of 50 nm, the corresponding field strength should be higher than 3.6×107 V/cm. The form of the heating pulses applied during the build-up processes (28) (i.e., the pulse width and amplitude) may play an important role in the efficiency of the build-up process. As an example, a single heating pulse may have a width of about 1 to 2 sec. Typically, 5 to 10 heating pulses may be required to sharpen the emitter tip. It is worth noting that build-up processes and cleaning processes are different processes. The main difference between cleaning and build-up for a given emitter tip is that the temperature at which the emitter tip is heated may be lower during a cleaning process than during a build-up process so that the emitter tip's material is not mobile enough to experience observable re-shaping during a cleaning process. During a build-up process, the emission current of the emitter tip or the emission surface, respectively, may be measured to monitor the build-up process. The build-up process may be terminated when the desired strength of the emission current is detected. Unlike prior art build-up processes, the build-up process (28) described above may not require extra gas to adjust the partial pressure of a particular gas component (e.g., O2). Further, multiple heating pulses may be used instead of a constant heating. Build-up processes (30) may be regularly repeated (32), but less frequently than the cleaning process. Typically, a build-up process may be initiated upon detection of unstable or decreased emission current. To obtain the best results, a suitable combination of master cleaning, frequent cleaning, and build-up process may be desired to obtain a high stability of emission current (for instance about 1%), a high brightness of the cold field emitter, and practically unlimited life time of the cold field emitter. Having thus described the invention in detail, it should be apparent for a person skilled in the art that various modifications can be made in the present invention without departing from the spirit and scope of the following claims.
description
FIG. 5 is a perspective view of a duct-type spacer grid for nuclear fuel assemblies in accordance with the primary embodiment of this invention. As shown in the drawing, the duct-type spacer grid 2 of this invention comprises a plurality of duct-shaped grid elements 11, individually provided with both a fuel rod support spring 12 of FIG. 8 and a swirl flow vane of FIG. 9. The above spacer grid 2 is fabricated by horizontally and arranging in parallel the grid elements 11, each of which has an octagonal cross-section. In such a case, the grid elements 11 are welded together at the upper and lower area of the wall thereof. In the present invention, each of the grid elements 11 may be produced using a tube-having an octagonal cross-section. Alternatively, each of the grid elements 11 may be made of a thin and narrow strip by forming the strip into a hollow single structure having an octagonal cross-section. When the grid element 11 is made of an octagonal tube, the tube is machined through a pressing process so as to form a plurality of spring windows 13, 14, line contact springs 12, and swirl flow vanes 30 on the tube. On the other hand, when the grid element 11 is produced using a thin and narrow strip, the strip is primarily formed into a tube structure having an octagonal cross-section, thus forming a tube having a desired size. The tube is, thereafter, machined through a pressing process wherein a plurality of spring windows 13, 14, surface contact springs 12, and swirl flow vanes 30 are formed on the tube in the same manner as that described for the case of using an octagonal tube. After the pressing process, the tube is subjected to a welding process wherein the edges are welded and seamed together. A desired grid element 11 is thus completely produced. The duct-type spacer grid 2, having a plurality of independent octagonal cells 8 within the grid elements 11, has an agreeable structure capable of more effectively resisting against a lateral impact in comparison with a conventional grid structure formed using the inner strips that intersect each other at right angles at the center of a subchannel 107. The reason why the duct-type grid 2 has such a structural advantage is as follows. That is, when the spacer grid 2 is geometrically designed to have a plurality of independent octagonal cells 8 as described above, the grid 2 more quickly and effectively transfers the lateral impact in every direction than in the case of a conventional strip-type spacer grid. Therefore, when the same lateral impact is applied to both types of spacer grids, the allowable impact load of the duct-type grid of this invention is remarkably greater than that of the conventional strip-type grid. As shown in FIGS. 6 and 7, a plurality of longitudinal spring windows, or left- and right-side windows 13 and 14 are formed on the sidewall of each of the grid elements 11 through a pressing process, with a strip-shaped line contact spring 12 being left within each of the windows 13 and 14 while extending at the center of the window. The central portion of each spring 12 is bent toward the center of the grid element 11. The spring 12 thus elastically supports an elongated fuel rod 6 at the bulged portion when the fuel rod 6 is inserted into the cell 8 of the grid element 11. Within each grid element 11, four line contact springs 12 are formed on diametrically opposite four of eight sidewalls. Therefore, the four springs 12 uniformly apply the same spring force to the external surface of a fuel rod 6, inserted into the cell 8, while accomplishing a balance. The spring windows 13 and 14 are used as openings for allowing coolant to pass through so as to more effectively cool the fuel rods 6 within the spacer grid 2. A collateral objective of the windows 13 and 14 is to give additional flexibility to the springs 12. FIG. 8 is a view, showing the operation of the springs 12 when they elastically support a fuel rod 6 within a grid element 11 of the spacer grid 2. When the springs 12 support the fuel rod 6 within the grid element 11, the springs 12 are brought into line contact with the external surface of the fuel rod 6. Therefore, the spring 12 is so-called a line contact spring. Since the springs 12 come into line contact with the fuel rod 6 as described above, the surface contact area of each spring 6 is increased, while contact pressure is applied from the spring 12 to the fuel rod 6. Therefore, it is possible for the spacer grid 2 of this invention to minimize surface damage of the fuel rods 6 due to fretting wear. FIG. 9 is a perspective view, showing the top portion of an octagonal grid element 11 included in the spacer grid of this invention, with two integral type swirl flow vanes 30 being provided at the top of the grid element 11. As shown in the drawing, each of the two vanes 30 comprises two blade parts: a main blade 31 and a sub-blade 32. Within each of the grid elements 11, the two vanes 30 are positioned to have different heights. In order to form each swirl flow vane 30 within a grid element 11, an extension part, integrally and axially extending from one sidewall of a grid element 11, is primarily bent toward the center of the main flow path 7, thus forming a sub-blade 32. Thereafter, the extension part is secondarily bent at the top of the sub-blade 32 toward the center of the main flow path 7, thus forming a main blade 31. In the swirl flow vanes 30, each sub-blade 32 provides an inclined surface, at which the main blade 31 starts to extend. The sub-blade 32 maximizes the size of the main blade 31. The different heights of the flow vanes 30 within each grid element 11 are accomplished by the different heights of the sub-blades 32. As the sub-blades 32 have such different heights, the cross-sectioned area of the flow path gradually varies, thus reducing the pressure loss caused by the swirl flow vanes 30. FIG. 10 is a plan view, showing an arrangement of integral type swirl flow vanes provided at the top of the duct-type spacer grid 2 of this invention. As shown in the drawing, two swirl flow vanes 30 are provided within each main flow path 7 of the spacer grid 2. Since each of the vanes 30 is bent outwardly, the vanes 30 are almost completely free from being undesirably brought into contact with the fuel rods 6. In addition, the swirling directions of the vanes 30 provided at the main flow paths 7 of the grid 2 are designed as follows. That is, the swirl flow vanes 30, provided at the main flow paths 7 on a perpendicular arrangement, are designed in that their swirling directions are opposite to each other. However, the vanes 30, provided at the main flow paths 7 on a diagonal arrangement, are designed to have the same swirling direction. FIG. 11 is a perspective view, showing the two swirl flow vanes 30 before they are bent to a desired configuration. As shown in the drawing, each of the vanes 30 extends from a unit grid element It while forming a triangular plate shape having a specifically curved profile and/or a specifically bent linear profile at both edges. Of course, it should be understood that each of the vanes 30 may have another shape in place of the above-mentioned triangular shape and/or another edge profile in place of the above-mentioned profiles in accordance with a desired swirl flow. The above duct-type spacer grid 2 has the following operational effect. That is, the grid element 11 of the spacer grid 2 comprises a duct having an octagonal cross-section, and so the grid element 11 does not pass across the center of the subchannel 107, through which coolant flows at a high speed. Therefore, the spacer grid 2 reduces pressure loss caused by the grid elements 11. Each of the grid elements 11 is formed as an independent cell 8 for placing and supporting an elongated fuel rod 6, thus having an improved resistance against a lateral impact applied to the sidewall of the grid 2. Within each of the main flow paths 7 of the spacer grid 2, four swirl flow vanes 30 are axially positioned to have different heights, thus reducing pressure loss at the main blades 32 of the vanes 30. Since each of the main blades 32 of the swirl flow vanes 30 is bent outwardly from the cells 8, the main blades 32 are almost completely free from being undesirably brought into contact with the fuel rods 6 when the fuel rods 6 are inserted into the cells 8. FIG. 12 is a perspective view of a duct-type spacer grid 2a for nuclear fuel assemblies in accordance with the second embodiment of this invention. In the spacer grid 2a of the second embodiment, the construction of both the duct-shaped grid elements 11 and the swirl flow vanes 30 remains the same as that described for the primary embodiment. But, the line contact springs 12a of the spacer grid 2a are positioned on the sidewalls around the main flow paths 7 different from the springs 12 of the primary embodiment. Therefore, the spring windows 13 and 14 are positioned on said sidewalls around the main flow paths 7 in the second embodiment. This structure finally increases the amount of coolant flowing through the windows 13 and 14 since a large amount of coolant passes through the main flow paths 7. Therefore, the spacer grid 2a of this embodiment improves the cooling effect for the fuel rods 6 within the grid elements 11. FIG. 13 is a perspective view of a duct-type spacer grid 2b for nuclear fuel assemblies in accordance with the third embodiment of this invention. In the spacer grid 2b of this embodiment, the construction of both the duct-shaped grid elements 11 and the swirl flow vanes 30 remains the same as that described for the primary embodiment. However, the arrangement of the line contact springs 12b of this embodiment is altered as follows. That is, the arrangement of the springs 12b of the neighboring grid elements 11 is rotated at an angle of 45xc2x0 one by one. In other words, the arrangement of the springs 12b in the third embodiment is accomplished by alternately using the arrangements of the springs 12 and 12a of the primary and second embodiments. FIG. 14 is a perspective view of a duct-type spacer grid 2c for nuclear fuel assemblies in accordance with the fourth embodiment of this invention. In the spacer grid 2c of this embodiment, the construction of the duct-shaped grid elements 11, the swirl flow vanes 30, the line contact springs 12 and the spring windows 13 and 14 remains the same as that described for the primary embodiment. However, the spacer grid 2c of this embodiment further comprises a plurality of additional coolant flow windows 15. The additional windows 15 are formed on the sidewalls between the spring-provided sidewalls of each grid element 11. This structure increases the amount of coolant flow between the cells 8, thus improving the cooling effect for the fuel rods 6 within the grid elements 11. As described above, the present invention provides a duct-type spacer grid for nuclear fuel assemblies. The spacer grid of this invention consists of a plurality of duct-shaped grid elements individually having an octagonal cross-section. The grid elements are closely arranged in parallel into a matrix structure prior to being welded together. In the spacer grid, the duct-shaped grid elements do not pass across the center of the subchannel 107, through which coolant flows at a high speed. Therefore, the spacer grid of this invention effectively reduces pressure loss caused by the grid elements. Each of the grid elements is formed as an independent cell effectively resisting against a lateral impact applied to the sidewall of the grid. In the duct-type spacer grid of this invention, two swirl flow vanes are axially positioned to have different heights within each subchannel 107. The swirl flow vanes thus reduce pressure loss at their main blades. In addition, since each of the main blades of the swirl flow vanes is bent outwardly from the cells, the main blades are almost completely free from being undesirably brought into contact with fuel rods when the fuel rods are inserted into the cells. Another advantage of this invention resides in that each elongated fuel rod is supported within a cell by line contact springs without using any dimple, with the surface contact springs being positioned at the same height. The spacer grid of this invention thus uniformly distributes its spring force on the spring contact area of each fuel rod, and so it almost completely prevents damage of the fuel rod due to fretting wear. Although the preferred embodiments of the present invention have been disclosed for illustrative purposes, those skilled in the art will appreciate that various modifications, additions and substitutions are possible, without departing from the scope and spirit of the invention as disclosed in the accompanying claims.
description
This application claims priority under 35 U.S.C. § 119(e) provisional application Ser. No. 61/776,592 filed Mar. 11, 2013. This application is also a continuation-in-part of copending application Ser. No. 12/850,633 filed Aug. 5, 2010, which is a continuation-in-part of application Ser. No. 12/783,550 filed on May 19, 2010, which claims priority under 35 U.S.C. § 119(e) from provisional application Ser. No. 61/179,625 filed on May 19, 2009, the entire contents of which are incorporated herein by reference in their entirety. 1. Field of the Invention This invention describes an energy technology which utilizes neutrals to undergo fusion. It relates to the field of energy production from nuclear fusion in which two atoms fuse together into a third atom with the resultant release of energy, a consequence of mass being converted into energy. This invention provides a new approach to the production of fusion energy using neutrals instead of charged particles. It describes how neutrals can be accelerated in a compact rotating configuration, thereby achieving repeated interactions among themselves. 2. Background Fusion research has been going on since 1950's and the prospect for a commercial reactor is still many years away. The confinement of charged particles, the presence of instabilities and the large amount of energy required to sustain the reacting system at high temperatures all make this into one of the most challenging world-wide efforts. Many configurations have been proposed and tried to confine charged particles which are accelerated by electromagnetic means. No simple low-cost reactors have been realized today. The present invention chooses to pursue fusion among neutrals in order to achieve very high density of particles for interactions, e.g. four orders of magnitude higher than is possible with charged particles. It uses the strong magnetic force (several thousands of newtons) on a current element to drive neutrals through the principle of ion-neutral coupling. The simple geometry and the compactness of the device makes it a breakthrough in the concept on fusion. Unlike charged particles, neutrals do not experience Coulomb repulsion as they approach each other until they reach subatomic dimensions. The cross sections of neutral-neutral interactions are therefore higher. The high density of neutrals makes it possible to produce energy at a significant rate for commercial application. The rate of fusion is proportional to the square of the density. This technology is different from the present day usage of charged particles for fusion, where it is difficult to achieve high density due to the energy requirement on ionization and instabilities of a charged medium. The high density of interacting particles makes it possible to attempt clean fusion where neutrons are not in the products. The advantages of such a fusion reactor are numerous, one of which is the siting of reactors in urban areas. Others are environmental considerations including low amount of nuclear wastes, low cost of fuels and the replacement of hydrocarbons as fuels, thereby eliminating the emission of greenhouse gases. This device operates at high neutral densities in order to increase the rate of fusion reactions even for low cross sections of interacting elements. This rate is proportional to the square of neutral densities. In one embodiment these neutrals are driven to high velocities by a non-mechanical plasma rotor in an annular region bounded by two concentric electrodes in an axial magnetic field. A DC voltage is imposed between these electrodes to impart a radial DC current I which produces a force F=I L×B in the azimuthal direction where L is the radial vector of length L along which the current flows. The repeated interactions between hydrogen and boron atoms in the annular region produce sufficient fusion reactions to yield energetic helium nuclei which can be used in a direct conversion to electricity or a source of heat for energy production. The low % ionization, the high driving force F in thousands of newtons and the repeated interactions at high neutral densities combine to make this a system without pollution and minimal radioactive wastes. Hydrogen and boron are both plentiful and non-radioactive stable elements. The fusion product, energetic doubly-charged helium nuclei, lend themselves to direct conversion to electricity with high efficiency. This device requires only a simple capital outlay consisting of a superconducting magnet and a DC power supply. It can operate in various sizes from 50 cm size to 10's meters, depending on the application. Another aneutronic reactor uses the proton lithium (p-Li6) reactions with products of He3 and He4. The ease of coating of Li on electrodes inside chamber might be an advantage of sources and sinks in certain applications. The above technology of using a predominant amount of neutrals can also be applied to D-T, D-D fusion where the products include neutrons. The capital investment and operation cost will be higher because of requirements for shielding and handling of radioactive materials. However the larger cross sections at lower energies of these fusion reactions compensate somewhat for this higher capitalization and operational cost. Typical designs of pulse supplies and CW supplies used to produce pre-ionization and sustained rotation of the plasma are illustrated in FIGS. 2-4. FIG. 1 shows a configuration of a p-B11 fusion device with concentric electrodes. A superconducting magnet 11 is provided capable of generating an axial magnetic field. The chamber 5 has a cooling input 1. The chamber 5 also has a gas input 2. An electrical power supply 12 is connected to discharge rod 3. An expanded discharge rod 8 is provided in chamber 5. Element 4 is an insulator. Element 6 is an external discharge rod. Element 7 denotes Boron discs. Element 10 illustrates a Boron target. Element 9 illustrates a plasma. Multiple pulse supplies are triggered sequentially to produce a sequence of pulses for sustaining a high rotation rate. The timing of the pulses is such that before the conductivity of the plasma decays to a low value the next pulse is turned on to impart another radial current for rotation. After the initial breakdown to create the plasma current the voltage required to maintain the flow is lowered such as shown in FIG. 6, thereby lowering the power requirement. In this scheme only a low % ionization (10−5) is required. The recombination rate between ions and electrons is minimum because of ions and electrons are surrounded by neutrals. The power to maintain such low % ionization is many times less than what is needed to maintain a fully ionized medium. The rotations of neutrals and ions are diagnosed using a camera with fast shutter speeds up to 100,000/s. By following a given inhomogeneity the rotation rate can be estimated. Another method is to use “laser tagging”. A laser is tuned to a given wavelength which matches either an ion line or a neutral line. The resonant scattering at a different wavelength is monitored in space and time using the fast camera with a filter. Alternately a spectrometer and a fiber tuned to a given wavelength can also be used. Each element has both rotating and stationary distributions such that the rotating boron species collides with the stationary hydrogen species and vice versa. The stationary component of B11 is provided at the inner and outer electrodes, while the rotating component B11 is provided by J×B force. A continuous stream of hydrogen is fed from a pressure tank to produce background pressures of 1-10 Torr. The repeated interactions between these rotating boron and stationary hydrogen and rotating hydrogen and stationary boron give rise to a high rate of fusion as represented in the following equation:dW/dt=npnbσvY rate of fusion/cm3 sec where np, nb are the densities of protons and borons respectively; σ is the fusion cross section at a particular energy E v is the relative velocity between proton and boron, Y is the energy yield per fusion reaction=8.7 MeV It should be noted that np represents both hydrogen ions and neutrals because for fusion reactions either neutrals or ions can participate in fusion. The fusion break-even condition is given by the fusion output being greater than the energy input per unit volume:dW/dt>VinIin/V where Vin=Voltage applied between two concentric electrodes Iin=Radial current due to the applied voltage Vin V=Volume of rotating region where neutrals and ions are being driven by J×B force; energy input comes from the DC voltage and current applied between the two electrodes. The operating magnetic field is usually between 0.5-3 T. Initial ionization by electrons along the axial magnetic field might be used to provide electrons and ions for pre-ionization. The plasma impedance between the two concentric cylinders is lowered such that a radial current flows between the concentric cylinders. This radial discharge current across the magnetic field takes place primarily via ion transport across the strong magnetic field because ions have much larger orbit than electrons. The force J×B causes ions to rotate in the azimuthal direction. At high densities frequent collisions between ions and neutrals make them rotate together. In our laboratory plasma a 0.1 ohm resistance and a radial current of 10 KA were observed for a voltage of 1 KV. This current gives rise to a force of 10,000 newtons in a field of 2T and a radius of 50 cm. Under this strong driving force Boron ions and neutrals can attain an energy of 100 KeV in 10 ms. This range of energy allows fusion to take place. Boron atoms rotating at 3×105 revolutions/s at a radius of 50 cm will reach the energy of 100 KeV. Hydrogen-Boron fusion reaction can occur when high-pressure hydrogen gas is puffed in towards the rotating annular region of Boron. The high densities (1018/cm3) of neutral boron and hydrogen atoms help sustain a significant fusion yield even though the cross section is only 3×10−28 cm2. In the rotating region where all the particles rotate at the same rate, assuming a solid body rotation, there will be low relative velocities among elements for fusion unless the Coulomb barrier is reduced by electron screening as explained below. However without such reduction the relative velocities between rotating Boron and ambient hydrogen atoms would be required to be high enough for fusion to take place. A rate of reaction depends on the energy of B11 and hydrogen. The device can be operated at high neutral densities of hydrogen and boron because instabilities due to space charges are not present. A high voltage is applied either in pulses or steady state or a combination of both pulses and steady voltages, with a resultant radial current flowing between the discharge rod 8 and the discharge rod 6, which function as electrodes. The radial current produces a strong torque to push ions in the azimuthal direction, causing collisions with neutrals and co-rotation of the neutrals with the ions. The power supply further produces a continuous chain of pulses, such that the radial current is sustained so as to produce a continuous driving force to rotate ion and neutrals. A combination of pulses and CW voltages are used to maximize the efficiency between rotating energy and the input electrical energy; pulses are used to sustain the number of ions in the system and CW voltages are used to maintain the rotation. The fusion reaction produces energetic alpha particles (He4), which are used for direct conversion to electrical energy; and the slowing down of these alphas yields a charging current in a power supply. If we take np, nb=1018/cm3 and σ=3×10−28 cm2 (assumed 100 KeV of energy for Boron) and relative speed between hydrogen and boron v=108 cm/s we have dW/dt=3×1016/s cm3×8.7 MeV=5×103 J/s cm3 Our proof-of-principle experiment lasts for 1 ms in a volume of 3×103 cm3 the power released is estimated to be 15 KJ. The energy input is 2.5 KV and 4000 A or 10 MW for 0.1 ms which is equal to 1 KJ. If we can accelerate borons to 200 KeV the cross section is increased to 1.5×10−26 cm2 or 30 fold increase in cross section. If the energy input is doubled then the energy multiplication is estimated to be approximately 200. Number of He Nuclei to be Detected The number of total reactions in 1 ms in a volume of 3×103 cm3 is equal to 9×1016. The product of reactions in He nuclei is 2.7×1017. The density of He particles is 0.9×1014/cm3 or 10−3 Torr/ms pulse. This density of He is detectable by a quadrupole mass spectrometer of RGA (residual gas analyzer). The population of He particles is increased with the number of pulses, when the volume is not pumped. A method of estimating the maximum velocity of rotation of neutrals gained during the acceleration by J×B force or I L B where I is the radial current, L is the length of the current and B is the field perpendicular to I is as follows: For our current pulsed experiments where helium is to be observed optically the following parameters are used: I=104 A, L=0.5 m, B=3 T F=1.5×104 N. Acceleration is F/m=0.5×109 m/s2, where m is the mass of borons and hydrogen at density of 1018/cm3 and is equal to 3.3×10−5 kg. For 2 ms of acceleration v=½ a t=106 m/s. This justifies the assumption of v=108 cm/s assumed above in our calculation of fusion events. This velocity corresponds to Boron energy of 100 KeV. For hydrogen-boron fusion the cross sections “sigma” are: At 200 KeV sigma is 1.6×10−2 Barn At 100 KeV sigma is 3×10−4 Barn At 50 KeV sigma is 10−6 Barn 1 barn is 10−24 cm2. For DD reactions the fusion cross section is: At 50 KeV sigma is 104 barns For DT reactions the fusion cross section is At 10 KeV sigma is 105 barns Applicable Fusion Reactions The embodiments above primarily consider the p-B11 fusion reaction, involving hydrogen nuclei (protons) and boron nuclei, as described by the equation:p+B11→3He4+8.68 MeVThe reactants (e.g., hydrogen and boron) may be in solid (powder, nanoparticles, or other), liquid, or gaseous state, may be mixed in a solution with water or any other solvent, and may be present in elemental form or in any chemical compound. For example, boron is often found in borate minerals, including borax, kernite, ulexite, colemanite, and boracite, any of which could be used to provide boron fuel into the fusion reactor described above (hereinafter referred to as the “Alpha Unit”). In addition, other boron compounds which are not borate minerals, including but not limited to elemental boron, lanthanum hexaboride, and boron nitride, could be used. Additionally, the Alpha Unit is suitable for use with all other fusion reactions, both neutronic and aneutronic, including (but not limited to):D+T→He4+n+17.59 MeVD+D→T+p+4.04 MeVD+D→He3+n+3.27 MeVD+D→He4+γ+23.85 MeVT+T→He4+2n+11.33 MeVD+He3→He4+p+18.35 MeVp+Li6→He4+He3+4.02 MeVp+Li7→2He4+17.35 MeVp+p→D+e++v+1.44 MeVD+p→He3+γ⇄5.49 MeVHe3+He3→He4+2p+12.86 MeVp+C12→N13+γ+1.94 MeV[N13→C13+e++v+γ+2.22 MeV]p+C13→N14+γ+7.55 MeVp+N14→O15+γ+7.29 MeV[O15→N15+e++v+γ+2.76 MeV]p+N15→C12+He4+4.97 MeVC12+C12→Na23+p+2.24 MeVC12+C12→Na20+He4+4.62 MeVC12+C12→Mg24+γ+13.93 MeVContinuous Vs. Batched Operation Because all fusion reactions involve the consumption of fuel, to continue operating indefinitely the Alpha Unit must have its fuel supply replenished. There are two ways of achieving this: 1) Continuous operation, whereby fuel is added and fusion products are removed continuously. In this mode of operation, the Alpha Unit would only need to be shut down for maintenance, or in cases of operational failure. 2) Batched operation, whereby fuel is added prior to operation, the Alpha Unit is run, and operations are ceased when a certain proportion of the fuel (up to 100%) has been consumed. Once the device has stopped operating, the fusion products would be removed, new fuel added, and, as needed, maintenance performed. This mode of operation would require more operational cessations than the continuous mode of operation, but would simplify the fuel loading and fusion product removal processes. Pulsed Vs. Continuous Voltage In past operation, the reactions in the Alpha Unit have been prompted by a series of short-duration pulses of voltage on the inner electrode to induce a plasma current between the inner and outer electrodes and cause the fluid inside the Alpha Unit to rotate. However, as an alternative, the Alpha Unit could be run with a continuous supply of voltage to the inner electrode. Fusion/Fission Hybrids Some fission reactions, for example the thorium fission cycle, rely on a large flow of high-energy particles (e.g., neutrons, protons, alpha particles) to drive the reaction. Such reactions may have advantages over conventional nuclear fission fuel cycles in that they involve only trace amounts of radioactive material, which are insufficient to drive a nuclear chain reaction. The Alpha Unit could be used to drive these fission reactions by providing the supply of high-energy particles. For example, when using the p-B11 reaction, a mixture of doubly-charged He4 (α particles), and charged and neutral boron and hydrogen nuclei could be directed out of the Alpha Unit and into a separate reactor containing the fission fuel. The energy generated by the fission reaction could be used independently from, or in combination with, energy extracted from the Alpha Unit (for electricity generation, industrial heat, or other useful purposes). Materials of Construction A key component of the Alpha Unit is a magnet which could be a superconducting magnet (including use of same from retrofitted MRI machines), a permanent magnet, an electromagnet or other suitable type of magnet. The other components consist of a chamber wall, and an outer and an inner electrode. Auxiliary components such as a power supply, fuel input rod, and cooling systems may also be present. In general, structural integrity and tolerance to high temperatures will be important criteria in selecting materials of construction. In the case of the electrodes, high conductivity will also be a critical factor. As a result, metals are likely to be ideal for some or all of the components. However, alternatives such as composites, ceramics, or plastics may also be useful in some cases. The design of the Alpha Unit is not specific to any one set of materials. Elimination of Components The design of the Alpha Unit described above includes an inner and outer electrode to conduct a plasma current, as well as a superconducting magnet to create an axial magnetic field. However, it is possible to eliminate one or more of these components by using a current drive. For example, rotation could be induced by creating an AC magnetic field with a rotating current, causing ions to rotate via resonant coupling, and eliminating the need for a magnet and inner electrode. Geometry and Scale The embodiments above envision the Alpha Unit as a cylinder. While this may well be an optimal design, the Alpha Unit could also be operated with other geometries, such as an oval cross-section, or a torus, so long as particles are able to rotate around the device. Since fusion reactions happen on a nuclear level (˜10-15 m), there is almost no fundamental limit to the scale (large and small) at which an Alpha Unit could be implemented. For example, an Alpha Unit might be applied on a nano-level, such that it could be used to provide power to electronic circuitry, or for other purposes; or implemented on a very large scale where it could, for example, satisfy the electricity requirements of entire cities, regions or countries using one or more Alpha Units. Changes in scale could be achieved by increasing or decreasing the length of the Alpha Unit, increasing or decreasing its diameter, doing both, or (in the case of scaling up) by using multiple modules. Similar adjustments could be made to versions of the Alpha Unit with non-cylindrical geometries. Energy Extraction Direct Energy Conversion Many fusion reactions produce high-energy charged particles, which can be directly converted to usable electricity using electromagnetic means (e.g., by inducing an electrical current in a nearby wire).). Charged particles from fusion have energy in the MeV range and have low collision frequencies with background medium and therefore undergo motion dictated by the background electric and magnetic fields, even in a normally collisional environment. One notable concept developed by researchers at Lawrence Livermore National Laboratory involves charged particles being selectively removed, guided away from the plasma in which fusion reactions are taking place using a magnetic field, and decelerated by retarding electric fields. The energy given up by the particles during deceleration is converted to an electrical current. Such a concept could be used with the Alpha Unit, either independently or in combination with other direct energy conversion techniques and/or thermal energy conversion techniques. The direct energy conversion could be significantly more efficient at producing electrical energy than the maximum efficiency of a thermal energy conversion technique. Several novel adaptations of the Alpha Unit to create direct energy conversion are proposed herein, and are listed and described below. Charged particles (for example, doubly-charged He4 (α particles) move axially, as a result of their high energy, in addition to high-speed azimuthal rotation induced by the magnetic field and plasma current in the Alpha Unit. Charged particles created as a product of fusion reactions have much higher energy than other charged particles or neutrals which are not produced by fusion reactions. Thus, these high-energy charged particles (such as a particles in the case of the p-B11 reaction) move axially at much higher average speeds than other particles in the Alpha Unit. This axial movement of charged particles may be directly converted to electricity, for example by creating an electric field opposing the flow of charges outward from the electrodes. Additionally, the kinetic energy of charged particles rotating azimuthally can be captured by similar means. For example, the batteries or electric fields referred to above can be used to create an electric field opposing the rotation of charged particles. These batteries could be placed about the section of the Alpha Unit containing the electrodes and/or about the sections without the electrodes. This could be done separately from, or in conjunction with, the system described above. To optimize direct energy conversion, it is desirable to control the path of the charged fusion products (e.g., alpha particles). One way to do this is to overlay the cyclotron frequency of the alpha particles on top of a DC voltage created on the inner electrode, generating an electromagnetic wave at the cyclotron frequency. By tuning the phase of this electromagnetic wave at the cyclotron frequency, it is possible to adjust the paths of the charged fusion products such that they rotate in a controlled fashion, allowing direct energy conversion to be optimized. Similarly, resonance with the intrinsic nuclear spin of the fuel or product nuclei (for example, hydrogen, boron, and helium in the case of the p-B11 reaction) may be used to increase or decrease the number of fusion reactions or control the paths of the particles in such a way as to increase the efficiency of energy recovery. The radius of the chamber to either side of the electrodes may be kept the same as in the section containing the electrodes, or it may be larger or smaller. For example, the radius of the chamber might be increased in the direction axially away from the section containing the electrodes, and the resonant frequency of fusion products (for example, alpha particles in the case of the p-B11 reaction) could be used to excite them to rotate in increasingly large orbits as they move axially away from the electrodes. This could result in enhanced efficiency and efficacy of the direct energy conversion. In any direct energy conversion scheme, it is likely to be desirable to minimize the density of neutrals near charged fusion products (for example, in the case of the p-B11 reaction, minimizing the density of neutrals near the charged alpha particles) to reduce the transfer of charged particle energy to neutrals (since the reduced charged particle energy will reduce the energy available for recovery at higher efficiencies by means of direct energy conversion rather than at lower efficiency with a thermal process). However, it is desirable to increase the density of neutrals near charged fuel particles (for example, hydrogen/protons in the case of the p-B11 reaction) so as to induce the reaction in the first place. Several configurations, listed below, may be used to optimize this situation, either independently or in combination with one another. Fuel (for example, hydrogen) can be introduced directly into the annular space between the two electrodes in controlled amounts during operation. Much of this fuel will be consumed before it escapes the section of the Alpha Unit containing the electrodes, or is able to enter the annular space between the outer electrode and the chamber wall. Charged fusion products (e.g., alpha particles) which enter these portions of the Alpha Unit will thus encounter few fuel particles (the vast majority of which are neutral). Fuel (for example, hydrogen) can be introduced into the Alpha Unit in a short, controlled burst, perhaps injected in the radial direction. A vacuum could be drawn, perhaps from the annular space between the inner and outer electrodes, to remove particles. Because highly charged fusion products (e.g., alpha particles) are more likely to exit this annulus than lower-energy fuel particles, the vacuum would draw out a disproportionately low fraction of fusion products. As a result, the fusion products remaining in the Alpha Unit would encounter few neutrals, allowing for greater direct conversion of energy. A schematic drawing of a potential Alpha Unit configuration, including a chamber of varying radius as described above, is shown in FIG. 7. The drawing assumes the use of a p-B11 reaction, although other reactions could be used. The drawing also includes vacuum pumps and safety valves on either side of the chamber, which could be used to avoid unsafe pressure buildup within the Alpha Unit. Since the proportion of charged fusion products relative to neutrals within the annular space between the two electrodes is likely to be different from that proportion in other spaces within the Alpha Unit, the dimension of the inner electrode, outer electrode, and chamber wall may be modified to change the volumes of these spaces relative to one another and reduce the incidence of charged fusion products colliding with neutrals. Control systems and outer annular space geometry may be optimized to facilitate gas evacuation so as to minimize charged particle collisions with neutral particles thereby minimize otherwise avoidable energy transfer. Thermal Energy Conversion The energy produced during fusion reactions which is not captured using direct energy conversion will become thermal energy. Capture of this thermal energy can be independent from, or performed in combination with, direct energy conversion. Thermal energy capture is a common practice in commercial applications (for example, fossil fuel-fired power plants), and it could be done on the Alpha Unit in much the same way. A working fluid (e.g., water, helium, sodium) could be passed through thermal coils, thermal jackets, or other heat transfer devices located within or around the Alpha Unit to absorb thermal energy. The hot working fluid passed out of the Alpha Unit could then be used with any number of devices to convert its thermal energy into mechanical motion directly or by means of a secondary loop. The mechanical motion of these devices could be used directly (e.g., to turn a wheel), or indirectly (e.g., to turn a conventional generator to produce electricity). These devices include, but are not limited to, the following: Steam turbine Stirling engine (either to drive a separate electric generator or to have the piston in the Stirling engine fashioned as a magnet so as to create electricity from the motion of the magnet) Free piston engine Thermocouple A single device listed above could be used, or one or more devices could be used in combination with each other. One or more devices could also be used for secondary, tertiary, etc. thermal energy recovery using waste heat from other devices. Alternatively, the thermal energy could be used directly to supply heat for industrial processes, for space heating in buildings or for water desalination. An Alpha Unit could also be used in combination with a separate heat transfer device to provide auxiliary heat. For example, thermal energy from the Alpha Unit could be added to the combustor or inlet section of a combustion turbine, either by placing the Alpha Unit within such section or by transferring the heat using a working fluid. Similarly, the Alpha Unit could be used as an auxiliary heat source for a conventional thermal power plant, either to pre-heat steam or another working fluid passed into the boiler, or by adding the heat directly to the boiler. Fuel Supply Fusion fuel can be supplied to the Alpha Unit using purchased materials (for example, in the case of the p-B11 reaction, using pressurized hydrogen gas cylinders and solid pieces of boron compound, amongst other options). Alternatively, it may be possible to integrate one or more devices to provide fuel. For example: Hydrogen for the p-B11 reaction could be supplied with an electrolysis system or a thermal dissociation system integrated with an Alpha Unit and powered by the Alpha Unit, or by a smaller, auxiliary Alpha Unit, or by a separate source of electricity. Hydrogen for the p-B11 reaction could be supplied using an integrated spin system (as described in U.S. Pat. No. 8,298,318 and U.S. Patent Publication No. 2013/0047783, both incorporated herein by reference in their entireties) whereby water, or another compound containing hydrogen, would be rotated at a rate sufficient to separate the hydrogen from the other elements in the compound. A schematic diagram illustrating this concept is shown in FIG. 8. As shown, a supply of water is applied to the electromagnetic spin system (EMSS—described in detail in the '318 and '783 documents), which produces a supply of hydrogen. The hydrogen is supplied to an Alpha Unit, together with Boron, which are used in a fusion reaction to generate electricity. Part of the electricity produced is used to operate the EMSS. Hydrogen for the p-B11 reaction could also be supplied by using compounds such as sodium borohydride, which produces hydrogen when mixed with water. By creating the hydrogen by means of a system ancilliary to the Alpha Unit, the fueling of the Alpha Unit will not be dependent upon a hydrogen fuel tank nor upon the development of hydrogen fueling infrastructure. Similar techniques could be used to integrate production of non-hydrogen fusion fuels with the Alpha Unit, eliminating the need to develop specialized fueling infrastructures for those compounds as well.Positive Feedback Mechanisms Space Charge Effect Results of operating the Alpha Unit with the p-B11 reaction suggest that operation of the device is enhanced by a space charge effect. Many boron compounds (as well as materials which do not contain boron) will emit electrons when heated. The intense centrifugal force present within the device causes these electrons to form a “cloud” near the wall of the outer electrode. This electron cloud—a space charge—attracts ions, which in the operation of the Alpha Units have included both boron and hydrogen ions. As a result, the boron and hydrogen ions are drawn into close contact in this “negative potential well.” The close contact of the nuclei in this well increases the probability of quantum tunneling, effectively reducing the Coulomb barrier and intensifying the rate of fusion reactions. The thermal energy generated by these fusion reactions further heats the boron compound, causing it to emit more electrons and further increasing the rate of reactions. Ionization of Fuel Particles In addition to the space charge effect, operation of the Alpha Unit with the p-B11 reaction has also revealed a phenomenon by which production of fusion products enhances the operation of the device. For example, when alpha particles are produced by p-boron fusion events, they tend to ionize hydrogen atoms. The greater ion density near the outer wall of the annulus of the Alpha Unit decreases the resistivity of the gaseous mixture, increasing the magnitude of the plasma current without consuming additional energy to increase the voltage of the inner electrode. The larger plasma current, in turn, increases the Lorentz force in the device, increasing rotational speeds and leading to more fusion events. Positive Feedback Together, the space charge effect and ionization of fuel particles create a positive feedback to enhance the operation of the Alpha Unit. When, in the case of the p-B11 reaction, a boron compound is heated, it releases electrons that form a space charge near the outer electrode. The negative potential well created by this space charge brings boron and hydrogen into close contact, increasing the incidence of quantum tunneling, effectively lowering the Coulomb barrier, and increasing the rate of fusion reactions. The charged particles created by the reactions (e.g., alpha particles in the case of p-B11) ionize fuel atoms (e.g., hydrogen in the case of p-B11), reducing resistivity, increasing the plasma current and Lorentz force, and further increasing the rate of fusion reactions without an increase in energy input. The increased rate of fusion reactions, in turn, magnifies the space charge effect and fuel particle ionization, which leads to further fusion. Enhancements to Encourage Positive Feedback Since the positive feedback mechanisms help to drive performance of the Alpha Unit, enhancing the feedback is likely to be desirable. While some of the boron compounds we have used (e.g., boron nitride, lanthanum hexaboride) are good electron emitters, even better electron emitters exist, and these compounds could be used to increase the space charge effect. Excellent electron emitters, including but not limited to graphene, could be chemically combined with the fuel target (e.g., boron nitride), or could be fabricated as a composite with the fuel target (i.e., the fuel and electron emitter are physically but not chemically bonded). Additionally, this material (fuel target, with or without addition of electron emitter) could be adhered to the wall of the outer electrode (as in our past operation), or the outer electrode could itself be fabricated out of the material (such that the electrode would be gradually consumed by the fusion reactions). In alternate configurations of the device, the inner electrode, chamber wall, or other components of the Alpha Unit could be composed of consumable fusion fuel, or a composite or compound containing fusion fuel and other materials. Similarly, the design of the Alpha Unit could be optimized (e.g., by the choice of fuel compound, placement of the fuel, geometrical design of the electrodes and chamber) to enhance fuel particle ionization, further contributing to positive feedback. Reaction Product Separation/Removal In many cases, the materials created as a result of a fusion reaction will have no use once their energy has been removed to the extent desired through direct and/or thermal energy conversion, and may, in fact, inhibit the operation of the device. For example, in the p-B11 reaction, helium created by the reaction may not be intended for any additional reactions, and its presence may reduce the number of p-boron reactions taking place. As a result, it may be desirable to selectively remove fusion products from the Alpha Unit to maintain high partial pressures of the reactants. Such removal could take many forms, and could depend upon the particular reaction being used in the Alpha Unit. For example, commercial hydrogen filters exist which are selectively permeable to hydrogen but not larger nuclei. Such a filter could be applied within the Alpha Unit to create differing proportions of fusion products to non-fusion products on either side of the filter, allowing the fusion product-rich stream to be removed from the device. Such a filter might also be useful in enhancing direct energy conversion (since the presence of neutrals vs. charged fusion products degrades conversion efficiency), and/or could be used to recirculate fuel-rich mixtures to the electrode section of the Alpha Unit for consumption. Similar filters designed to be selectively permeable to different atoms or molecules could be used for operation of the Alpha Unit with both the p-B11 reaction and in other fusion reactions. Multiple filters designed for one or more atoms/molecules could also be used in combination with one another. Additionally, in many reactions the fusion products (such as helium in the case of the p-B11 reaction) will be some of the lightest atoms in the system, particularly once many reactions have occurred (e.g., when much of the hydrogen has been consumed in the p-B11 reaction). As a result, these fusion products will tend to concentrate near the inner electrode, where they can be easily removed. Alternatively, in reactions where the fusion products tend to be amongst the heaviest atoms in the system, they will tend to concentrate near the outer electrode, and they can be easily removed from this site as well. In either case, the separation efficiency of the Alpha Unit will assist in removing a high proportion of the fusion products without removing a high proportion of the fusion fuel. Monitoring and Control Systems Effective operation of the Alpha Unit will require the ability to monitor and control the device. Many different techniques may be used, including: MRI/NMR. For example, proton NMR could be used to measure the movement of hydrogen atoms in 3 dimensions, in real-time, within the device. In cases such as p-B11 which use hydrogen as a fuel, this could be useful to monitor the disappearance of the protons (indicating consumption in fusion reactions), as well as for other purposes. Optical sensors, such as ultra-high speed cameras. For example, during the operation of our Alpha Units, we record p-B11 reactions using an ultra-high speed camera with one or more helium filters, which selectively pass light at helium's spectral frequency. Light intensity in the camera's field of view corresponds to the number of helium nuclei present at a particular point (which correlates to the number of fusion reactions taking place, energy generated, etc.). Heat/temperature sensors, which could be useful for monitoring integrity of materials, rate of energy generation, cooling system performance, etc. Control systems integrated with MRI/NMR, optical sensors, heat/temperature sensors, or other sensors to control operating parameters (e.g., rate of fuel input, rate of fusion product removal, flow of working fluid for thermal energy capture, amplitude and duration of pulses applied to the inner electrode).Applications Electricity Generation The most obvious application of the Alpha Unit is in stationary electricity generation applications, including: New build power plants, either central (utility-scale) or distributed (e.g., building-scale). These plants may be in rural, suburban, or urban settings on land, or may be applied in sub-sea environments. In distributed generation applications, a building relying on electricity from one or more Alpha Units might choose to avoid connecting to the power grid, since the Alpha Units would be capable of satisfying 100% of the building's electricity need. Repowering of existing nuclear, coal-fired, gas-fired, and other conventional power plants. In this case, the switchyard, transmission interconnection, generators, and other components of the existing power plant might continue to be used, with only the boiler being removed and replaced with one or more Alpha Units. Because of its flexible size and relatively simple construction, the Alpha Unit could also be used to generate electricity in non-stationary settings. For example: Mobile electronic devices (e.g., cell phones, laptop computers, tablets) Transportation devices/vehicles (cars, buses, trains, planes, lighter-than-air aircraft, helicopters, ships, submarines, satellites, spacecraft, space stations, etc.) As a replacement for pumps (e.g., self-propelled pigs for pipelines) Propelling Device The Alpha Unit is primarily contemplated as a closed device whereby energy generated by fusion reactions is extracted from the Alpha Unit using either direct energy conversion or thermal energy conversion. Alternatively, an Alpha Unit could be used as a device to propel an object attached to the Alpha Unit (e.g., a vehicle, either on Earth or in space) by directing a flow of particles out of the Alpha Unit. The high velocities of particles within the Alpha Unit would result in a large reactive force when those particles are directed outward, propelling the Alpha Unit and the object to which it is attached at a high rate of speed.
claims
1. An imaging system comprising:a gantry comprising:a radiation source configured to generate a beam;a collimator configured to collimate the beam to generate a collimated beam; anda detector configured to detect the collimated beam, wherein the collimator is separate from said detector and comprises at least one radio opaque member having a curved contour proportional to a contour of the detector, wherein said at least one radio opaque member includes a first portion and a second portion spaced a distance from said first portion, wherein said first portion and said second portion are each configured to move along a direction substantially parallel to a rotational axis of said gantry, wherein each of said first portion and said second portion includes a first collimator point at a first collimator distance from said radiation source and a second collimator point at a second collimator distance from said radiation source, wherein said detector includes a first detector point at a first detector distance from the first collimator point and a second detector point at a second detector distance from the second collimator point, and wherein a sum of the first collimator distance and the first detector distance is equal to a sum of the second collimator distance and the second detector distance. 2. An imaging system in accordance with claim 1 wherein said curved contour of said collimator and said contour of said detector are concentric. 3. An imaging system in accordance with claim 1 further comprising:a linear drive mechanism configured to form an aperture defined by said first portion and said second portion, wherein the aperture has a size; anda piezo-electric drive mechanism configured to change the size of the aperture, wherein said linear drive mechanism is separate from said piezo-electric drive mechanism. 4. An imaging system in accordance with claim 1 wherein said collimator is located between a subject and said radiation source. 5. An imaging system in accordance with claim 1 wherein the at least one radio opaque member comprises at least two cams positionable relative to each other to form a plurality of differently sized apertures. 6. An imaging system in accordance with claim 1 wherein the collimator is configured to move in a direction perpendicular to a plane formed by the beam of the radiation source. 7. A computed tomography imaging system comprising:a gantry comprising:an x-ray source configured to generate a beam;a collimator configured to collimate the beam to generate a collimated x-ray beam; anda detector configured to detect the collimated x-ray beam, wherein the collimator is separate from said detector and comprises at least one radio opaque member having a curved contour proportional to a contour of the detector, wherein said at least one radio opaque member comprises a first portion and a second portion spaced a distance from said first portion, wherein said first portion and said second portion are each configured to move along a direction substantially parallel to a rotational axis of said gantry, wherein each of said first portion and said second portion includes a first collimator point at a first collimator distance from said x-ray source and a second collimator point at a second collimator distance from said x-ray source, wherein said detector includes a first detector point at a first detector distance from the first collimator point and a second detector point at a second detector distance from the second collimator point, and wherein a sum of the first collimator distance and the first detector distance is equal to a sum of the second collimator distance and the second detector distance. 8. A computed tomography imaging system in accordance with claim 7 wherein said curved contour of said collimator and said contour of said detector are concentric. 9. A computed tomography imaging system in accordance with claim 7 further comprising:a linear drive mechanism configured to form an aperture of said first collimator, wherein said aperture has a size; anda piezo-electric drive mechanism configured to change the size of said aperture defined by said first portion and said second portion, wherein said linear drive mechanism is separate from said piezo-electric drive mechanism. 10. A method for reducing dosage of radiation incident on a subject, said method comprising:providing a gantry that comprises a radiation source, a collimating device, and a detector;transmitting, from the radiation source, a beam of radiation toward the subject;collimating the beam of radiation before the beam reaches the subject; anddetecting, by the detector, the collimated beam of radiation, wherein the collimating is performed by the collimating device that is separate from the detector and includes at least one radio opaque member having a curved contour proportional to a contour of the detector that detects the collimated beam, wherein the at least one radio opaque member includes a first portion and a second portion spaced a distance from the first portion, wherein the first portion and the second portion are each configured to move along a direction substantially parallel to a rotational axis of the gantry, wherein each of the first portion and the second portion includes a first collimator point at a first collimator distance from the radiation source and a second collimator point at a second collimator distance from the radiation source, wherein the detector includes a first detector point at a first detector distance from the first collimator point and a second detector point at a second detector distance from the second collimator point, and wherein a sum of the first collimator distance and the first detector distance is equal to a sum of the second collimator distance and the second detector distance.
description
The present invention relates to a method and apparatus for arranging or creating recipes for imaging with a critical-dimension scanning electron microscope (CD-SEM), wherein each of the recipes defines not only the coordinates of the addressing point (AP), focusing point (FP), stigmatic point (SP), brightness/contrast control point (BP), or end measuring point (EP) used to acquire images at any position on a sample with the CD-SEM, but also those image templates or imaging parameters (or the like) that are associated with the above coordinates. The invention is also concerned with a shape evaluation apparatus (SEM apparatus) for semiconductor patterns. Traditionally, the CD-SEM is most commonly used as measuring apparatus to inspect by measuring the workmanship of the highly accurate wiring patterns formed on semiconductor wafers. In SEM apparatus such as the CD-SEM, dimensionally critical points on the semiconductor patterns that require inspection are observed as end measuring points through the SEM, then various dimensional data on the patterns, such as wiring widths, are measured from the images acquired during the observation process, and the dimensional data is monitored to detect changes in process parameters. To perform these inspections, it is necessary to arrange the imaging recipes that define the coordinates of imaging points, imaging parameters, image templates of each imaging point, and other data. Japanese Patent Laid-Open No. 2002-328015 is known as a publication that discloses a technique for arranging recipes for imaging with such a SEM apparatus. Japanese Patent Laid-Open No. 2002-328015 describes a semiconductor inspection system constituted by two subsystems. One is a navigation system that stores design information of a semiconductor wafer such as CAD data or the like and sets the imaging/inspection parameters including the regions of the semiconductor wafer that are to be inspected, based on the stored design information. The other is a scanning electron microscopic system that acquires images of the semiconductor wafer in accordance with the set imaging/inspection parameters and inspects the wafer. According to Japanese Patent Laid-Open No. 2002-328015, this semiconductor inspection system also has a function that detects the position of an addressing point (AP) from the CAD data and registers the CAD data of this detected position as a template. In addition, this system has a function that acquires a SEM image at the AP, then matching processes between the acquired SEM image and the registered CAD template at the AP, and re-registers a SEM image corresponding to the position of the CAD template as an another template, thereafter to use the re-registered SEM template subsequently. For the semiconductor inspection system of described in Japanese Patent Laid-Open No. 2002-328015, however, the linear image created from the CAD data (for example, an image on which only the boundary of a mask for a resist is delineated as edges) is used to determine imaging points manually or automatically. Therefore, there has been the problem that appropriate imaging points cannot be selected because unbridgeable gulf of externals between the above linear image and an actual SEM image is large. (1) Also, since the arrangement of an imaging recipe requires operator's knowledge such as what kind of pattern is to be selected as an AP to succeed in addressing, how to define the above knowledge as process rules in the system becomes important during automatic recipe arrangement. (2) In addition, the above-mentioned imaging recipe is not of such a nature that it needs only to be arranged one time, and the AP, FP, SP, BP, or EP to be set is likely to change according to the subject of imaging (namely, the particular differences in process rule or manufacturing process) or the imaging parameters to be used, or other factors. Accordingly, it becomes important how to update the imaging recipe in rapid response to such changes in the imaging subject or in the imaging conditions. (3) Furthermore, after constructing the system for implementing such automatic recipe arrangement as mentioned above, it becomes important how to judge the appropriateness of the imaging recipe that has been generated per arbitrary selection rules in the above system, or how to judge the appropriateness of the selection rules. Besides, it becomes important how to perform corrections if the imaging recipe or the selection rules are judged to be inappropriate. The present invention provides an imaging recipe arrangement apparatus and method adapted so that selection rules for automatic arrangement or creation of an imaging-recipe in a SEM apparatus or the like can be optimized by teaching. The present invention also provides a semiconductor pattern shape evaluation apparatus and method that can use the above-optimized imaging-recipe and SEM apparatus or the like to measure at high speed and accurately a large number of portions to be inspected, estimate semiconductor device characteristics and manufacturing process states, and feed back measurement and estimation results to various process sites. That is to say, an aspect of the present invention is an imaging recipe arrangement apparatus and method for arranging or creating an imaging-recipe for SEM-based observation of a semiconductor pattern by use of a scanning electron microscope. The apparatus has a database obtained by receiving layout information of the above semiconductor pattern, in a low-magnification field, and storing the layout information. The apparatus also has an imaging recipe arrangement unit that uses the database-stored layout information of the semiconductor pattern to arrange the imaging-recipe automatically in accordance with an automatic arrangement algorithm. Another aspect of the present invention is an imaging recipe arrangement apparatus and method for arranging or creating an imaging-recipe for SEM observation of a semiconductor pattern by use of a scanning electron microscope, wherein the imaging recipe includes coordinates of imaging points, and an image template and/or imaging conditions associated with the coordinates. The apparatus has a database obtained by receiving in a low-magnification field of view (a first SEM-image field-of-view) and storing either the images acquired using the scanning electron microscope, CAD data that describes (defines) design information of the semiconductor pattern, or the layout information of this semiconductor pattern that is a set of CAD images obtained by converting the CAD data into image data. The apparatus also has an imaging recipe arrangement unit that uses the database-stored layout information of the semiconductor pattern to arrange the imaging-recipe automatically in accordance with an automatic arrangement algorithm including the imaging point selection rules that have been optimized by teaching. Yet another aspect of the present invention is an imaging recipe arrangement apparatus and method for arranging or creating an imaging recipe from on-wafer pattern layout information in a low-magnification field of view. The kinds of input information obtained as the on-wafer pattern layout information in the low-magnification field of view, include either the SEM images or CAD data generated in the low-magnification field of view, or the CAD images obtained by converting the CAD data into image data. Hereinafter, the SEM images, CAD data, or CAD images thus obtained are collectively called “low-magnification images.” The present invention features automatic arrangement of an imaging recipe. More specifically, rules for selecting the imaging points that include one or more than one or all of five points, AP, FP, SP, BP, and EP, are optimized by teaching. One method available to implement such teaching is by a user by specifying an imaging point(s) arbitrarily on a low-magnification image. Another available teaching method is by saving, in a database, any of the imaging points that have been selected in the past, and respective learning parameters of the selection rules that have been arranged in the past (for example, weighting information between the selection factors described later herein), and then referring, from the database, a data set suitable for selection of a desired template. Such teaching enables the imaging recipe to be updated in rapid response to changes in the kind of imaging subject or in data settings of imaging conditions. More specifically, the kinds of information to be saved in the database include a part or all of imaging recipe information or low-magnification images (CAD data or SEM data) or observed imaging point positions and templates or imaging subjects (manufacturing processes included) or imaging parameters and/or learning parameters. Learning samples (combinations of low-magnification images and imaging points) can be stored early by sharing the database between multiple pieces of SEM apparatus. Additionally, the database or a recipe server or the like can be used to share the arranged imaging recipe between the multiple pieces of SEM apparatus. According to the present invention, selection rules for automatic arrangement of an imaging recipe can be easily established by processing such as teaching or weight adjustment. It is therefore possible to rapidly accommodate changes in process where a wafer is manufactured, in process where a wafer is imaged, in imaging conditions, and in the like. These and other objects, features, and advantages of the invention will be apparent from the following more particular description of preferred embodiments of the invention, as illustrated in the accompanying drawings. Embodiments of a SEM apparatus with an imaging recipe arrangement function according to the present invention, namely, a semiconductor pattern shape evaluation apparatus using a scanning electron microscope such as a critical-dimension scanning electron microscope (CD-SEM), will be described hereunder using FIGS. 1 to 12. The number of inspection portions that require dimensional management of semiconductor patterns may be increasing greatly because of factors for such as decreases in design margins according to making minutely and making high density of LSI patterns. These tendencies are bringing about a strong demand for the improvement of the throughput and automation ratio of a SEM apparatus or like apparatus used as a dimensional management tool. To use a SEM apparatus (or the like) for performing SEM-based observations for the critical points as dimension-measuring points on the semiconductor pattern to be inspected, and evaluating pattern shapes by measuring the wiring widths and other various dimensions of the patterns from the images obtained during the observations, it is necessary to determine not only the coordinates of a part or all of the five imaging points including an addressing point (AP), a focusing point (FP), a stigmatic point (SP), a brightness/contrast correction point (BP), and an end measuring point (EP), but also imaging conditions (an imaging magnification and other factors related to image quality, such as a layer, dose of electron beam, focus condition, and stigmatism correction) of the part or all of the five imaging points, and register image templates at each imaging point. The coordinates of these imaging points, the imaging conditions thereof, and image template information thereof are collectively called an imaging recipe. The present invention is an imaging recipe arrangement apparatus and method adapted so that selection rules for selecting an imaging point(s) in a SEM apparatus or the like can be optimized by teaching. The optimization makes it possible to measure at high speed and accurately a large number of portions to be inspected, estimate semiconductor device characteristics and manufacturing process states, and feed back measurement and estimation results to various process sites. 1: SEM Apparatus 1.1: SEM Apparatus Configuration FIG. 1 is a schematic block diagram of a scanning electron microscope (SEM) apparatus (tool) which acquires a secondary electron image (SE image) or backscattered electron image (BSE image) of a sample in the present invention. The SE image and the BSE image are collectively called a SEM image. Also, the image acquired here includes a part or all of a top-down image of an object-under-measurement when the object is observed from a vertical direction, or of a tilt image of the object when observed from any oblique direction. An electron optical system 102 includes an electron gun 103 that generates an electron beam (primary electron) 104, a condenser lens 105 that converges the electron beam 104 generated from the electron gun 103, a deflector 106 that deflects the converged electron beam 104, an ExB deflector 107 that detects a secondary electron, and an objective lens 108 that focuses converged electron beam on a sample (semiconductor wafer) 101. The sample 101 is rested on an XY stage 117. On the result, the deflector 106 and the objective lens 108 work together to control an irradiation position and aperture stop of the electron beam so that the electron beam is irradiated in a focused condition at any position on the sample 101 rested on the stage 117. Incidentally, the XY stage moves the sample 101 and enables an image of the sample at any position thereof to be acquired. Changing an observing position using the XY stage 117 is called “stage shifting”, and changing the observing position by deflecting the electron beam using the deflector 106 is called “beam shifting.” In the meantime, a secondary electron and backscattered electrons are emitted from the semiconductor wafer 101 that has been irradiated with the electron beam. The secondary electron is detected by a secondary electron detector 109, and the backscattered electrons are detected by backscattered electron detectors 110, 111. The backscattered electron detectors 110 and 111 are installed to face in directions different from each other. The secondary electron and backscattered electrons that have been detected by the secondary electron detector 109 and the backscattered electron detectors 110, 111, respectively, are each converted into digital signal form by A/D converters 112, 113, 114. These digital signals, after being next input to a processing/control unit 115 and then stored into an image memory 1152, are sent to a CPU 1151 to execute evaluation of geometries (shapes) of the semiconductor patterns including the dimensions thereof by executing image processing appropriate for particular needs. In the CPU 1151, processing shown in FIG. 3, for example, is executed, then various dimension values of a pattern, such as a wiring width, are measured, and measured dimension values are monitored to detect changes in process parameters. The processing/control unit 115 (in FIGS. 5A to 5C, denoted as 802, 808, or 809) is connected to a stage controller 119 that controls a position and movement of the stage 117. The control of the stage position and movement includes global alignment control conducted to compensate for any deviations of the wafer 101 from a home position thereof and for any rotational errors of the wafer that obtains by observing global alignment marks on the wafer 101 using an optical microscope (not shown) etc. The processing/control unit 115 is also connected to a deflection controller 120 that controls beam shifting (beam deflection) of electron beam by controlling the deflector 106, and a focus controller 121 that controls beam focus by controlling the objective lens 108. Additionally, the processing/control unit 115 (802, 808, or 809) is connected to a display unit 116 having an input device so as to have functions as a graphic user interface (GUI) to display images and others to a user. FIGS. 2A and 2B show a method in which, when the surface of the semiconductor wafer is scanned with electron beams and irradiated therewith, signal levels of the electrons emitted from the semiconductor wafer surface are converted into image form. For example, the electron beams are, as shown in FIG. 2A, irradiated in forms of 201-203 or 204-206 to conduct scans in x- and y-directions. Changing a deflecting direction of the electron beams enables a scanning direction to be changed. Three locations on the semiconductor wafer that has been irradiated with the electron beams 201-203 in the x-direction are denoted as G1 to G3, respectively. Similarly, three locations on the semiconductor wafer that has been irradiated with the electron beams 204-206 in the y-direction are denoted as G4 to G6, respectively. The signal levels (amounts) of the emitted electrons at the locations G1 to G6 are expressed as brightness values (gray scale values) of pixels H1 to H6 within the image 209 shown in FIG. 2B. Subscripts 1 to 6 at lower right portions of the locations G are associated with subscripts 1 to 6, respectively, assigned to the locations H. Reference number 208 is a coordinate system (Ix, Iy) that denotes the x- and y-directions on image I. Reference number 115 in FIG. 1 denotes a computer system. The computer system 115 sends control signals to the stage controller 119 and the deflection controller 120 in order to implement imaging at AP (addressing point), FP (focusing point), SP (stigmatic point), BP (brightness/contrast correction point), or EP (end measuring point), based on the imaging recipe arranged by the image-processing and recipe arrangement/arithmetic unit 806 or 809 shown in FIG. 4. The computer system 115 also executes evaluation of shape including dimensions in the semiconductor pattern by executing processing and control function for executing various types of image processing or the like for the image at the observing point on the wafer 101. In addition, the processing/control unit 115 is connected to the display unit 116 having an input device that has a graphic user interface (GUI) to display images and others to the user. Reference number 117 denotes an XY stage, which moves the semiconductor wafer 101 and enables an image of this semiconductor wafer at any position thereof to be acquired. Changing an observing position using the XY stage 117 is called “stage shifting”, and changing the observing position by deflecting the electron beam using the deflector 106 is called “beam shifting.” Although an example of a SEM apparatus configuration with two backscattered electron image detectors is shown in FIG. 1, this number of backscattered electron image detectors can be either reduced or increased. Also, part or all of the above-mentioned processing and control functions of the computer system 115 can be allocated to a plurality of different processing terminals to provide required processing and control. The following are available as methods in which a tilt image of an object to be measured, namely, an image of the object when observed from any oblique direction, is to be obtained using the apparatus shown in FIG. 1: (1) A method for forming an inclined image by deflecting an irradiated electron beam via the electron optical system and then inclining an irradiation angle of the electron beam. A similar scheme is described, for example, in Japanese Patent Laid-Open No. 2000-348658. (2) A method for inclining the stage 117 itself that moves the semiconductor wafer (in FIG. 1, the stage is inclined at a tilt angle 118). (3) A method for mechanically inclining the electron optical system itself. 1.2: SEM Imaging Sequence FIG. 3 shows an imaging sequence for observing any end measuring point (hereinafter, called EP). A portion to be imaged in the imaging sequence, and imaging conditions to be used therein (i.e., an imaging magnification, other factors related to image quality such as a dose of an electron beam, focusing condition, and stigmatism correction) and end measuring condition at EP are arranged as the imaging recipe by the image-processing and recipe arrangement/arithmetic unit 806 or 809 shown in FIGS. 5A-5C. These arranged imaging factors are stored into and managed by, for example, a storage unit (database) 123 (803, 804, or 805). First, the semiconductor wafer 101 as a sample, is set up on the stage 117 of the SEM apparatus in step S31. Next, in step S32, the processing/control unit 115 (802, 808, or 809) calculates a deviation of the sample from a home position thereof and a rotational deviation of the sample by observing the global alignment marks on the wafer through an optical microscope (not shown), and conducts corrections by controlling the stage 117 via the stage controller 119 on the basis of those deviations. In step S33, the processing/control unit 115 (802, 808, or 809) moves the imaging position to an addressing point (AP) in accordance with the coordinate and imaging condition of the imaging point (AP) arranged by the image-processing and recipe arrangement/arithmetic unit 806 or 809, by moving the stage 117, and acquires an image using an imaging condition of a lower-magnification than for EP (end measuring point). A supplementary description of AP is given here. For direct observation of EP, in order to solve the problem that the observation portion shifts (deviates) by the reasons for stage-positioning accuracy and the like, AP whose coordinates pre-registered in, for example, the storage unit 123 (803, 804, or 805) are known is first observed for pre-positioning. Then, the processing/control unit 115 (802, 808, or 809) conducts position matching between an image template at the AP previously arranged by the image-processing and recipe arrangement/arithmetic unit 806 or 809 and stored into, for example, the storage unit 123 (803, 804, or 805), and a SEM image at the above-observed AP. The processing/control unit 115 thus detects the vector denoting a deviation between central coordinates of the image template and those of the AP when this point is actually observed as the SEM image. Next, the processing/control unit 115 (802, 808, or 809) subtracts the above-detected deviation vector from a relative vector between the coordinates of the image template and those of EP, and then controls the deflector 106 via the deflection controller 120 according to the difference vector obtained by the subtraction. This control operation moves the imaging position by beam shifting to enable observation of EP, whereby EP can be imaged with high coordinate accuracy (in general, positioning accuracy by beam shifting is higher than the positioning accuracy of the stage). Therefore, the AP arranged by the image-processing and recipe arrangement/arithmetic unit 806 or 809 and stored into, for example, the storage unit 123 (803, 804, or 805), should desirably satisfy conditions such as ease of matching between the registered image template and the observed SEM image. There are three reasons for the above: (1) the AP is a pattern present at a distance through which the imaging position can be moved from EP by beam shifting, and a field of view (FOV) for EP imaging may not need to be included in FOV of AP imaging to suppress generation of contamination at EP; (2) since the pattern of the AP needs to allow for the positioning accuracy of the stage, it is necessary for the AP to be correspondingly lower than EP in conditions of the imaging magnification in consideration of the positioning accuracy of the stage; and (3) the pattern needs to be characteristic in terms of shape or brightness (the pattern is of a shape convenient for matching or has brightness). As described later herein, according to the present invention, automatic selection of appropriate AP is possible by evaluating the above-described conditions in the system (for example, the image-processing and recipe arrangement/arithmetic unit 806 or 809). In order to avoid image acquisition intended only to register a CAD image or a SEM image or, as disclosed in Japanese Patent Laid-Open No. 2002-328015, an image template, one possible variation of an AP image template registration method is by first registering with a CAD template in, for example, the storage unit 123 (803, 804, or 805) and then re-registering the SEM image actually acquired at AP, as the image template. Next, in step S34, beam shifting based on the control and processing of the processing/control unit 115 (802, 808, or 809) is conducted to move the imaging position to a focusing point (FP), at which an image is then acquired and automatic focusing parameters are calculated. Thus, automatic focusing is conducted using the calculated automatic focusing parameters. A supplementary description of FP is given here. During imaging, automatic focusing is conducted to acquire a clearer image. Extended irradiation of the sample 101 with electron beams, however, causes contamination to stick to the sample. For minimum sticking of contamination at EP, therefore, the processing/control unit 115 (802, 808, or 809) observes a coordinate point present near EP, as FP, and calculates automatic focusing parameters at the FP, thereafter EP is observed by using the calculated automatic focusing parameters. For these reasons, the FP registered in, for example, the storage unit 123 (803, 804, or 805), should desirably satisfy conditions such as: (1) the FP is a pattern present at a distance through which the imaging position can be moved from the AP or EP by beam shifting, and the field of view (FOV) for AP or EP imaging must not be included in the FOV of FP imaging; (2) the imaging magnification at the FP is of much the same level as that of EP; and (3) the FP needs to have a pattern shape convenient for execution of automatic focusing (image blurring due to an out-of-focus event must be easy to detect). According to the present invention, an appropriate imaging point (FP) is automatically selectable, as with the AP, by evaluating the above-described conditions in the system (for example, the image-processing and recipe arrangement/arithmetic unit 806 or 809). Next, in step S35, beam shifting based on the control and processing of the processing/control unit 115 (802, 808, or 809) is conducted to move the imaging position to a stigmatic point (SP), at which an image is then acquired and stigmatism correction parameters are calculated. Thus, automatic stigmatism correction is conducted using the calculated parameters. A supplementary description of SP is given here. During imaging, stigmatism correction is conducted to acquire a distortion less image. Similarly to AP and FP, however, extended irradiation of the sample 101 with electron beams causes contamination to stick to the sample. For minimum sticking of contamination at EP, therefore, the processing/control unit 115 (802, 808, or 809) observes a coordinate point present near EP, as SP, and calculates stigmatism correction parameters, thereafter EP is observed by using the calculated stigmatism correction parameters. For these reasons, the SP registered in the storage unit 123 (803, 804, or 805) should desirably satisfy conditions such as: (1) the SP is a pattern present at a distance through which the imaging position can be moved from AP or EP by beam shifting, and the field of view (FOV) for AP or EP imaging must not be included in FOV of SP imaging; (2) the imaging magnification at the SP is of much the same level as that of EP; and (3) the SP needs to have a pattern shape convenient for execution of stigmatism correction (image blurring due to stigmatism must be easy to detect). According to the present invention, an appropriate imaging point SP is automatically selectable, as with the AP, by evaluating the above-described conditions in the system (for example, the image-processing and recipe arrangement/arithmetic unit 806 or 809). Next, in step S36, beam shifting based on the control and processing of the processing/control unit 115 (802, 808, or 809) is conducted to move the imaging position to a brightness and contrast correction point (BP), at which an image is then acquired and brightness and contrast correction parameters are calculated. Thus, automatic brightness and contrast correction is conducted using the calculated parameters. A supplementary description of BP is given here. During imaging, in order to acquire a clearer image having an appropriate brightness value and contrast, a voltage value and other parameters of a photomultiplier in the secondary electron detector 109, for example, are adjusted so that, for example, the highest level portion of an image signal and the lowest level portion are set to obtain full contrast or a contrast level close thereto. Similarly to AP and FP, however, extended irradiation of the sample with electron beams causes contamination to stick to the sample. For minimum sticking of contamination at EP, therefore, the processing/control unit 115 (802, 808, or 809) observes a coordinate point present near EP, as BP, and calculates brightness and contrast correction parameters, thereafter EP is observed by using the calculated brightness and contrast correction parameters. For these reasons, the BP registered in the storage unit 123 (803, 804, or 805) should desirably satisfy conditions such as: (1) the BP is a pattern present at a distance through which the imaging position can be moved from AP or EP by beam shifting, and the FOV for AP or EP imaging must not be included in the FOV of SP imaging; (2) the imaging magnification at the BP is of much the same level as that of EP; and (3) to obtain appropriate brightness and contrast of the image that has been acquired at the end measuring point using the parameters adjusted at the BP, the BP needs to have a pattern shape similar to that of the above-mentioned end measuring point. According to the present invention, an appropriate imaging point (BP) is automatically selectable, as with the AP, by evaluating the above-described conditions in the system (for example, the image-processing and recipe arrangement/arithmetic unit 806 or 809). There is a variation in which, for example, as the case may be, part or all of the automatic focusing, automatic stigmatism correction, and automatic brightness and contrast correction processes described above in steps S34, S35, and S36, respectively, are omitted or execution order of these steps is arbitrarily changed or the coordinates of FP, SP, and/or BP overlap (e.g., automatic focusing and automatic stigmatism correction are conducted in the same portion). Finally, in step S37, beam shifting based on the control and processing of the processing/control unit 115 (802, 808, or 809) is conducted to move the imaging position to a end measuring point (EP), at which an image is then acquired and pattern dimensions are measured with set measuring conditions to evaluate the shape of the semiconductor pattern. As described above, the imaging points are considered one or more than one or all of AP, FP, SP, BP, and EP. An example of template positioning of imaging points AP 402, FP 403, SP 404, BP 405, and EP 401 on a low-magnification image 400 is shown with dotted-line frames in FIG. 4. In order to avoid image acquisition intended only to register a CAD image or a SEM image or an image template as disclosed in Japanese Patent Laid-Open No. 2002-328015, one possible variation of a method of registering an AP image template in the storage unit 123 (803, 804, or 805) is, for example, by first registering with a CAD template and then re-registering the SEM image actually acquired at AP, as the image template. 2: System Configuration (Database Management and Sharing) Several embodiments of a system configuration according to the present invention will be described hereunder using FIGS. 5A to 5C. First in FIG. 5A, reference number 801 denotes a SEM apparatus (tool) shown in FIG. 1. Reference number 802 denotes a SEM control unit (this unit has the same control functions of the processing/control unit 115 shown in FIG. 1), and 803 denotes a recipe server in which is registered an imaging recipe that has been arranged by an image-processing and recipe arrangement/arithmetic unit 806. Reference number 804 denotes a database server, and 806 the image-processing and recipe arrangement/arithmetic unit. The above constituent elements of the system configuration shown in FIG. 5A can exchange information with one another via a network 810. The database server 804 has an installed storage device to enable the foregoing past data to be saved. FIG. 5B shows a system configuration in which, in addition to the system configuration of FIG. 5A, one more set comprising of a CD-SEM apparatus (tool) 807 shown in FIG. 1, and a SEM control unit (this unit has the same control functions of the processing/control unit 115) 808, is connected to the network 810. In the present invention, in two or more SEM apparatuses 801 and 807, an image recipe can share by using the recipe server 803 or the database server 804, and the plurality of SEM apparatuses 801, 807 can be operated based on one imaging-recipe arranging in the image-processing and recipe arrangement/arithmetic unit 806. Also, learning samples that can be utilized for teaching can be early stored by sharing a database 805 between the plural SEM apparatuses 801, 807. FIG. 5C shows a system configuration in which the SEM control unit(s) 802, servers 803, 804, image-processing and recipe arrangement/arithmetic unit 806, and other components in FIG. 5A or 5B, are integrated into a single unit 809. As in the present embodiment, any set of processing functions can be assigned in split or integrated form to any of the plural apparatuses (system components). Image-processing and recipe arrangement/arithmetic unit 806 or 809 is connected to a display unit (not shown) that has an input device, and thus has the GUI function, or equivalent thereto, shown in FIG. 7 and FIGS. 9A-9E to 12, to thereby display images and others to a user. 3: Automatic Arrangement of an Imaging Recipe in Image-Processing and Recipe Arrangement/Arithmetic Unit (CPU) Automatic arrangement of an imaging recipe in the image-processing and recipe arrangement/arithmetic unit (CPU) 806 or 809 according to the present invention is executed based on low-magnification field on-wafer pattern layout information. The kinds of input information obtained as the low-magnification field on-wafer pattern layout information, include SEM images, CAD data that describes (defines) design information on the semiconductor pattern, or the CAD images obtained by converting the CAD data into image data in the low-magnification field. Hereinafter, these images and data are collectively called low-magnification images (each equivalent to the foregoing low-magnification image 400 of FIG. 4). When imaging points are determined from the CAD data and then the CAD data of these imaging points is registered by using CAD data as a low-magnification image, it is not necessary to image the wafer only by the purpose to create templates. Therefore, availability factor of the SEM apparatus can be improved. The following describes a selector engine for automatic selection of imaging points (part or all of five points, AP, FP, SP, BP, and EP) in the image-processing and recipe arrangement/arithmetic unit (CPU) 806 or 809, based on the low-magnification image as input information that has been input to and registered in the database 805, for example. 3.1: Selector Engine (Selection Factor Indices and Selection Indices) An example of a structure of the above selector engine is shown in FIG. 6A. Selector engine 502 (806 or 809) receives a low-magnification image 501 as an input, and outputs an imaging point 504 to a GUI or the like. An example of a method of selecting an imaging point by the selector engine 502 is described next. The selector engine 502 contains index value (index data) to judge whether a particular imaging point is appropriate for any region of the input low-magnification image 501. Hereinafter, the index value is called “selection indices”, which are equivalent to the template selection indices 503 shown in FIG. 6A. As shown in FIG. 6B, a region 506 with a given region size in the low-magnification image 501 is scanned in arbitrary steps in x- and y-directions with respect to an xy coordinate system 505, and the above selection index value is calculated at various places. This yields a distribution 507 of the above selection index value in the low-magnification image, and for instance, a point 508 at which a maximum value of the distribution has been obtained is selected as the imaging point. In the above description, the selection index value has been calculated for the entire low-magnification image, and the imaging point having the maximum value of the index value has been selected. Depending on the kind of selection point, however, arbitrary restriction conditions can be provided in that selection region. For instance, when AP is assumed as the selection point, it is possible to impose such restriction conditions that require AP and EP not to overlap in terms of template region, and that require AP and EP to exist within a range which enables both points to be moved by beam shifting. The above is described in further detail below using FIG. 6B. If the place marked with a dotted-line frame 509 in the figure is predetermined as the portion where EP exists, and the range in which the imaging point (AP) can be moved from EP 509 by beam shifting is defined as a dotted-line frame 510, a search range for AP is set to lie a region where a region 509 was subtracted from a region 510. An automatic selection method for the coordinates of an imaging point assuming a known template size has been shown in the foregoing example. Automatic selection of a template size to be used, however, is also possible by, for example, first calculating the above selection index value while changing the template size, and then determining a new template size that maximizes the selection index value. In addition, any necessary restriction conditions can be provided for the changing range of the template size. The above selection indices are described next. As described in the foregoing example, several selection index values to judge to be suitable as the imaging picture point are thought. The above selection index value in the present invention, however, has a feature in that several selection criterions that should be considered in the selection of imaging points are combined to form the index value having combined selection criterions. A digitized form of the above “several selection criterions that should be considered in the selection of imaging points” is called “selection factor index value”, and the above “combined selection criterions” are called “selection indices”. These indices would include, for example, an index difficult to quantitatively express, such as “there is a strong or weak tendency,” “arbitrary patterns are included or not included” and others, or an index difficult to express as a successive value. Using a method such as encoding, however, makes it possible to handle these indices similarly to the digitized selection factor index value mentioned above, so the following collectively discusses the selection indices and the selection factor index data: Appropriateness of the selection criterions (selection rules) in the selection factor index value differs according to the point to be selected, the kind of object to be imaged, imaging conditions to be used (an imaging magnification and image quality factors such as a dose, focus, and stigmatism of electron beam), and/or the kind of hardware to be used (e.g., SEM apparatus or CD-SEM apparatus). The selection factor indices used for AP would include, for example, complexity of patterns, specificity thereof, a change direction of pattern shape (such as whether the shape of the pattern changes in x- and y-directions), a coarseness/fineness level of the pattern, a deformability level thereof (e.g., whether any differences between the pattern generated during light exposure/development, and the pattern in design data, easily change with changes in manufacturing conditions), or matching characteristics (whether a shift in position easily occurs during matching between a CAD image and a SEM image, or whether stable matching results can be obtained). The selection factor indices used for EP would include, for example, the complexity of the pattern, the deformability level thereof, a pattern size (a dimension value such as line width, pattern-to-pattern distance, or relationship in position between upper-layer and lower-layer contact holes), design margins (an index indicative of resistance of device characteristics to pattern deformation or to variations in positional shift or the like), or fineness or complexity levels of optical proximity correction (OPC). Several methods are usable to calculate one set of selection indices by combining two or more of the above selection factor indices. In the following description, the above selection factor indices are linearly coupled to generate one set of selection indices (hereinafter, the coefficient “wn,” used for linear coupling is called a weight): (Selection index set)=Σwn×(nth selection factor index) (n=1, 2, etc. up to N) By way of example, the selection indices 503 shown in FIG. 6A are generated by linearly coupling selection factor indices (a complexity level, specificity, a change direction, and other factors) using weights such as “w1”, “w2”, and “w3”. The weights denoted as “wn” are arbitrarily adjustable parameters that determine a degree of incorporating each selection factor index into the selection indices. 3.2: Learning by the Selector Engine In the foregoing selector engine 502, selection indices (more specifically, the selection factor indices and respective weights “wn” in the above example) must be set beforehand. In addition, these settings must be modified if wrong processing results on imaging points are output during selector engine processing after the setting operations. However, the setting operations usually are not easy and require knowledge of the selection factor indices and the respective weights. The present invention, therefore, features learning the above weights on the basis of teaching, and optimizing these weights. The selection of selection factor indices can likewise be achieved by weight optimization based on teaching (that is, unnecessary selection factor indices are each weighted with zero). However, as described above, when there is a certain degree of preliminary knowledge such as “these selection factor indices seem to be effective for AP selection” or “these selection factor indices seem to be effective for EP selection”, a more effective method will be by extracting selection factor indices likely to be effective, beforehand according to particular needs, and then optimizing the weights of the above selection factor indices by means of teaching. This is because a smaller number of parameters to be determined (in this example, a small number of weights) usually results in the number of learning samples being correspondingly reduced, thus providing greater ease in learning. FIG. 7 schematically represents the way a selection index set changes during learning. Learning AP selection rules, for example, is described below using FIG. 7A. First, a position 604 of AP (i.e., a teaching position of a point which is to be selected) is taught in a pair with a low-magnification image 601. The teaching position 604 can be assigned to one AP position as in FIG. 7A, or when a plurality of AP candidates exist, a plurality of teaching positions may be specified directly or as a region. Hereinafter, the thus-specified positions are collectively called a teaching region. In a selector engine 602, weights within a selection index set 606, such as “t1”, “t2”, and “t3”, are learnt for higher selection indices in the teaching region 604 of AP. A distribution of each selection factor index value is first calculated as an example of a learning method. Since giving weights uniquely determines the distribution of the selection indices, weights that causes the above selection index value in the teaching region to become greater than selection index value of all other teaching regions can be calculated by changing the weighting method. Consequently, the AP selection results obtained from the selection indices after learning will be as shown in a region 608 (an output position of the selected point). This region, although not exactly the same as the teaching region, can be expected to result in a tendency of a similar pattern being selected. If a region 605 (a teaching position of a point to be selected), namely, a region different from the teaching region 604, is specified as the teaching region of AP, weights within a selection index set 607, such as “u1”, “u2”, and “u3”, are likewise learnt for higher selection indices in the teaching region 605 as well. For the selection indices after learning, therefore, a region 609 close to the teaching region 607 is selected as an output position of the selected point. Learning EP selection rules, for example, is described below using FIG. 7B. The learning method applied in this example is essentially the same as the method shown for AP in FIG. 7A. Output results vary according to a manner in which teaching regions are assigned. For example, when teaching regions 610 and 611 are assigned as teaching positions of points to be selected, respective output regions (output positions of the selected points) will be 614 and 615, respectively. However, this case differs from the case of EPs in two respects. One is that because of high-magnification imaging for critical dimension measurement, EPs 610 and 611 are small in template size, compared with APs 604 and 605. The other is that different selection factor indices would be selected. As described above, the selection of selection factor indices is achievable by weight optimization based on teaching (that is, unnecessary selection factor indices are each weighted with zero). However, in the examples of FIGS. 7A and 7B, during AP selection, a complexity level, specificity, a change direction, and other factors are extracted using preliminary knowledge, and during EP selection, a complexity level, a deformability level, and process margins are extracted in a similar manner. As schematically shown in FIGS. 7A and 7B, selection indices are constructed so as to be selectively extracted from the plurality of selection indices 603. Also, for reasons such as any differences in the kind of search template between AP, FP, SP, BP, EP, and the like, or a change in the kind of object to be imaged, or changes in imaging conditions (imaging magnification, image quality, and the like), or advent of non-assumed new template selection criterions, new selection factor indices may become necessary or conversely, any selection factor indices may become unnecessary. Even in such cases, however, it is possible to easily add or delete these selection factor indices. To add a new selection factor index, for example, this index can be easily included without changing a framework of the entire system, merely by adding only a calculating portion for the new selection factor index. 3.3: Process Flow of Automatic Imaging-recipe Arrangement An example of total process flow of automatic imaging-recipe arrangement will be described below using FIG. 8. First, the image-processing and recipe arrangement/arithmetic unit (CPU) 806 or 809 conducts the learning of the template selector engine (step S85). The learning step S85 for the selector engine can be conducted for each imaging point (AP, FP, SP, BP, EP), each imaging position, each set of imaging conditions (imaging magnification (field size), image quality, and the like), or each object to be imaged (includes each object obtained in each manufacturing process). Several variations are usable as learning methods in S85. Some of the variations are enumerated below. (1) On a GUI screen, a learning low-magnification image 704 (CAD data or SEM data) is input and then in step S81, the user selects a desired imaging point on the low-magnification image. (2) Imaging recipe information that was created or acquired during any previous SEM observation or learning, or an existing low-magnification image 704 (CAD data or SEM data), or the position and template of an observed imaging point, or an imaged object (manufacturing process included), or an existing imaging conditions, and learning parameters (weighting information, combinations of extracted selection factor indices, and the like) are saved in a database 805 (hereinafter, the above data is collectively called the past data). In step S85, a data set suitable for the selection of a desired template is extracted from the past data saved within the above database 805, and learnt with reference to the database. The past data saved within the database 805 includes the past data such as the imaging recipe information that was manually created in step S83 before learning in step S86, or information such as the past data selected in later-described steps S86 and S89 by the selector engine in the present invention. In other words, the vast amounts of imaging recipe information and other past data that an operator has created in the past can be utilized as learning samples for the system. Also, even if no database is stored, saving in the database 805 the past data created from that point of time makes it effective to manage the past data, since automatic arrangement of imaging recipes becomes possible when sufficient past data is stored for teaching. (3) The learning parameters (weighting information, combinations of extracted selection factor indices, and the like) for the selector engine that was made to learn before saving in the database 805 are loaded into the system and then these learning parameters are used intact or used as default values of learning or modification. Next, in step S86, the position of the imaging point and the imaging conditions (template size and data) are determined using the selector engine that was made to learn in step S85. Determination results in step S86 are examined in step S87, and if the results are judged not to be satisfactory, control is returned to step S85 to repeat learning. If satisfactory results are obtained, the learning step is completed and control is transferred to operating steps. In the operating steps, automatic determination of an imaging point from an acquired low-magnification image 708 (CAD data or SEM data) is possible in step S89. If, in step S91, re-learning is judged to be unnecessary, however, operation is continued in step S92. If, in step S91, re-learning is judged to be necessary, control is returned to the learning step S85. 4: GUI in the Image-processing and Recipe Arrangement/Arithmetic Unit (CPU) An example of a GUI on teaching or result display in, for example, the image-processing and recipe arrangement/arithmetic unit (CPU) 806 or 809 according to the present invention, will be described below. There are several variations as methods of teaching GUI-based imaging points similarly to the imaging point 604, 605, 610, or 611 shown for the low-magnification image 601 in FIG. 7A or 7B. Typical teaching methods are enumerated below. (1) Similarly to the imaging point 604, 605, 610, or 611 in FIG. 7A or 7B, an imaging point is specified using an appropriate input method such as operating a mouse or entering numeric data with a GUI-connected keyboard. It is possible to specify a size as well as a position. (2) A plurality of imaging point candidates 902 to 905 (teaching positions of points to be selected) on the low-magnification image 901 shown in FIG. 9A are specified using the appropriate input method such as operating the mouse or entering numeric data with the GUI-provided keyboard. (3) One of the imaging-point setup region candidates separated by, for example, a dotted line (imaging-point candidate boundary line) 906 on the low-magnification image 901 of FIG. 9B, is specified using the appropriate input method such as moving a mouse pointer 907. FIG. 9B shows an example in which a region 908 is selected as a teaching position of a point to be selected. (4) Similarly to the region 910 or 911 shown in FIG. 9C as a teaching region that includes imaging points to be selected, a region for setting up imaging points to be selected is specified on the low-magnification image 901 of FIG. 9C by drawing a free curve using the appropriate input method such as moving the mouse pointer 909. Two or more segmented regions can be specified at the same time similarly to the above regions 910, 911. The system has a function that supports the specification of the above imaging point(s) by displaying the setup-prohibiting region (for AP specification, EP setup region) shown as, for example, 509 in FIG. 6B, or a specification-permitting range (for AP specification, range in which the imaging point can be moved by beam shifting) shown as 510. The display is based on restriction conditions on a range specifiable for the imaging point(s). In addition, when teaching shown in FIGS. 9A to 9C is conducted on plural low-magnification images and learning is conducted using the plural low-magnification images, the selector engine can be made to learn such general-purpose weighting of the low-magnification images that provides higher learning performance. During learning, the selection and the weighting of the above selection factor indices can be learned by using, for example, the GUI-based selector engine learning window 1201 shown in FIG. 12. First, a pull-down menu 1202 or the like is used to specify the kind of imaging point (in the figure, AP), and a textbox 1203 or the like is used to specify a template size (for a square region, length of one side) or a range or the like. The template size can be specified either by one value or by a settable range (for example, a range from ***nm to ***nm). In the latter case, an appropriate template size can be determined from the above range by learning. Also, a plurality of factor selection indices listed in a window 1204 which displays learning results for the selection and the weighting of selection factor indices are selected by using an input element (unit) such as a checkbox 1205 to select whether a particular selection factor index is to be rendered usable. Weighting between checkbox-selected selection factor indices, is learned based on the teaching region specified using any such teaching method as shown in FIGS. 9A to 9C, by pressing a learning start button 1207. The GUI 901 shown therein, and the GUI-based selector engine learning window 1201 in FIG. 12 can be displayed in the same screen mode. In the window 1204, since four selection factor indices, namely, a complexity level, specificity, a coarseness/fineness level, and a deformability level, are selected as an example, learning is conducted for these selection factor indices. After this, weighting is learned for the four selection factor indices and then each weight is displayed in a textbox 1206 which displays weighting between the selection factor indices. Selection factor indices other than the above four are each weighted with zero. After learning, however, a case in which the four selection factor indices are also weighted with zero could occur (in the example of FIG. 12, a weight of zero is assigned as the coarseness/fineness level). In addition, there is provided an input support function intended for purposes such as displaying, when the kind of imaging point is selected, a value of the template size, a default value of the settable range, or a default value of a selection factor index to be selected using the checkbox. A typical method of displaying the imaging points that have been calculated by, for example, the selector engine in the image-processing and recipe arrangement/arithmetic unit (CPU) 806 or 809 according to the present invention, will be described below. On the low-magnification image 901 of FIG. 9D, calculated imaging point candidates are displayed as, for example, output positions 912-914 of the points selected. A specified N number of higher-order imaging point candidates can be displayed (N is any value, and priority levels are determined by selection index value or the like). Also, priority levels of any candidates 912-914 displayed as options, and selection index data indicative of whether the particular imaging point is appropriate, that is, the foregoing selection index data or the like can be displayed as 912B-914B, for example. At 912B, for instance, “1” is displayed as a priority level, and “0.90” as an index value. In order to conduct validity verifications on the selector engine itself and on the above selector engine output results or analyze an imaging point selection tendency, the above selection index value (data) or selection factor index value (data) or learnt weighting information between selection factor indices can be displayed in GUI-based screen mode or output as a file. An example of display is shown in FIG. 10. A distribution of selection factor index value is shown as 1001-1003. In FIG. 10, a distribution of selection factor index value concerning a complexity level, specificity, and a coarseness/fineness level, is shown as an example (the symbol “ . . . ” in the figure indicates that the display could include other arbitrary selection factor indices). Also, selection factor index weight value for calculating selection indices is shown as 1004-1006, and a selection factor index weight chart 1008 is displayed to analyze a tendency of the weight data 1004-1006. The chart 1008 is a graphic summary of the weight value 1004-1006. It can be seen from the chart 1008 that a selection rule with the greatest importance attached to “Complexity” and the least importance attached to “Coarseness/fineness level” is employed in the present embodiment. Assigning such visibility enables the operator's selection criterions to be displayed explicitly. A diagram 1007 represents a distribution of selection factor indices and a distribution of the selection indices that have been calculated from weighting information. These distributions can also be displayed with contour lines. An example of the display is shown as 915 in FIG. 9E. In addition, the low-magnification image 901 can be displayed in overlapped form on the above contour lines 915. Such low-magnification images and imaging points as displayed in GUI-based screen mode 1100 of FIG. 11 can be simultaneously observed to confirm the templates in selected imaging points. Part or all of a low-magnification CAD image 1101, a low-magnification SEM image 1102 or 1003 associated the CAD image 1101, a position 1107-1109 of an imaging point, a CAD template 1110 or 1113 of the imaging point, a SEM image 1111, 1112, 1114, or 1115 of the CAD template 1110 or 1113, and a position 1123 of the low-magnification image 1101 or template 1110 (or the like) on a wafer 1122, can be simultaneously displayed in the above simultaneous observing screen mode. A plurality of CAD template images, for example, 1110 and 1113 are displayed in FIG. 11, and it is possible either to simultaneously display a plurality of template candidates in this way or to simultaneously display different kinds of templates, for example, AP and EP templates. Also, plural imaging points are likely to be registered in an imaging recipe to provide against a failure in addressing the foregoing imaging point. In addition, plural low-magnification SEM images (e.g., 1102, 1103) are displayed for one low-magnification CAD image (e.g., 1101), and these low-magnification SEM images were obtained, for example, during operation, by imaging with the imaging recipe one after another at the AP positions pre-registered in the imaging recipe. These low-magnification SEM images are likely to suffer changes such as slight shifts in position according to stage accuracy with each imaging operation, differences in view state of SEM image pattern due to differences in imaging conditions, or differences in imaged pattern shape due to changes in manufacturing process conditions. It is effective, therefore, to observe these low-magnification SEM images in such GUI-based screen mode 1100 as shown in FIG. 11, or to register these low-magnification SEM images in the imaging recipe. That is to say, when a plurality of low-magnification SEM images are registered as templates for one imaging point, even if an addressing failure, for example, occurs in one template of the SEM images, addressing with any other template of the SEM images is likely to result in successful processing. Also, imaging conditions can be displayed in forms such as 1104-1106 or 1116-1121, respectively, for each CAD image and each SEM image. Each of these imaging conditions includes part or all of a field size, coordinates, manufacturing process conditions, and a layer number. In addition to these factors, the imaging conditions for the SEM image include those which were actually adopted for the SEM apparatus, an imaging date and time, and other information. In addition, the imaging recipe arranging method, analytical method, display method, or file management method set forth in the description of the present invention can be used not only for the SEM, but also for an optical microscope or a scanning probe microscope (SPM) or the like. That is to say, since AP and EP setup is occasionally required for the optical microscope or the SPM as well, the heretofore-described SEM images, when processed in an SPM, for example, will be either the depth information acquired from the SPM, or the images obtained by converting the depth information (i.e., converting depth data into brightness data of the image). As described above, according to the present embodiment, the following seven operational advantageous effects are yielded: (1) Automatic arrangement of imaging recipes is possible. (2) Selection rules for automatic arrangement of imaging recipes can be easily established by conducting processes such as teaching or weighting adjustment, so it is possible to respond rapidly to changes in manufacturing process, and changes in manufacturing process of object imaging and in the imaging conditions themselves, and in other factors. (3) Selection factor indices in selection rules can be easily added/deleted. As a result, it is possible to correspond promptly to the demand of the new selection criterion that cannot be expressed with a current system without changing the framework of entire such system. (4) The vast amounts of imaging recipes and other past data that the operator has created in the past can be utilized availably as learning samples for the system of the present invention by storing the past data into a database. (5) The adequacy of the imaging points that have been selected in accordance with the above-mentioned selection rules can be easily judged by displaying one or plural template position candidates in overlapped form on the SEM image displayed in GUI-based screen mode. (6) Displaying a plurality of template position candidates or a distribution of selection indices or selection factor indices enables a user to analyze a tendency of appropriate template regions and thus to evaluate the validity of selection results and/or selection rules. (7) The template selection criterons that are the operator's knowledge can be made visible and explicitly displayable by displaying the weighting information between the selection factor indices mentioned above. The present invention can be applied to a SEM apparatus such as a CD-SEM. The invention may be embodied in other specific forms without departing from the spirit or essential characteristics thereof. The present embodiment is therefore to be considered in all respects as illustrative and not restrictive, the scope of the invention being indicated by the appended claims, rather than by the foregoing description, and all changes that come within the meaning and range of equivalency of the claims are therefore intended to be embraced therein.
description
This application claims priority based on Provisional Application Ser. No. 61/004,656, filed Nov. 29, 2007, which is hereby incorporated by reference in its entirety. In order to have a high printing speed in extreme ultraviolet lithography, light at 13.5 nm with a minimum power of 1 kW in a narrow 2% fractional band is required out of the source into a solid angle of 2π steradians [1], with extremely low levels of contaminants and very high reliability. Two principal approaches to the production of this light have been followed to date, namely the discharge produced plasma (DPP) and the laser produced plasma (LPP). In the first of these a gaseous medium carries a pulsed high current discharge which pinches the plasma down to small dimensions with inertial and ohmic heating that induces radiation at 13.5 nm. In the second approach a pulsed laser is focused onto a solid target containing the chosen 13.5 nm radiator and the target is vaporized and ionized, with radiation being produced at 13.5 nm. After initial use of xenon as the radiator in both DPP and LPP configurations, the ultimate efficiency of xenon as a radiator within the required 2% fractional bandwidth at 13.5 nm was found to lie in the range of 0.5% to 1.0% by either approach. An additional disadvantage of the use of xenon was the stream of energetic ions released from the plasma, in either approach, which caused premature erosion of nearby components such as discharge walls, or collection optical elements. Attention moved toward tin as a more efficient radiator than xenon, with up to 2% conversion efficiency in discharges [2] and potentially 6-8% efficiency in the LPP situation [3]. However, tin produced the same stream of high energy ions as xenon, causing erosion of nearby components such as electrodes and collector optical elements. Moreover, because tin (unlike xenon) had to be condensed on a trap in order not to coat optical elements with an absorbing layer, an added element in the system, referred to as a debris mitigation system (DMS) was necessary, and came with transmission efficiency that could be in the range of 50% or less. The relatively low volatility of tin implied the need for special techniques to provide tin vapor in a DPP source, and either a volatile compound of tin such as stannane was used, or tin vapor was produced by laser evaporation. In the latter case, the tin was brought to the point of use on a rotating disc [2]. As to the use of tin in LPP sources, the preferred approach has been to inject a stream of tin droplets or tin-containing droplets toward an interaction region where they are struck by a high power laser [4]. However, it has not been easy to generate the small tin droplets (less than 20 microns diameter) required in this process in order to have “mass-limited” plasmas that produce the least tin contamination. Above these difficulties is the production of high energy tin ions in either the DPP or LPP approach, ions which can only be slowed down by interaction with a gas blanket. Such gas blanket systems approach densities where the absorption of 13.5 nm light can significantly reduce the efficiency of the extreme ultraviolet (EUV) light source. Tin itself is a heavy atom that moves slowly, thereby building up a dense cloud around the plasma. Tin has an absorption cross section of 1.8×10−17 cm2 to EUV 13.5 nm light, implying a strict path-density product limit, and therefore limited capability to remove plasma heat. All of the tin-based DPP or LPP EUV sources discussed to date can only be scaled in output power via a linearly increasing feed of tin, with the consequence that it is increasingly difficult to 1) avoid absorption by the buffer gas and tin atoms and 2) remove plasma heat as power is scaled up. Apart from tin, lithium has long been recognized as having attractive spectroscopy for an EUV source working material. Radiation from a lithium plasma can be mainly from a single transition, the 13.5 nm resonance transition of hydrogen-like Li2+ [5]. Moreover, the intrinsic efficiency of radiation from a lithium discharge may rise to the 30% level into a 2π half space [6]. The energy of lithium ions from the plasma is ten times less than that of tin or xenon ions, so less gas can be used in the DMS, with less absorption. Numerous experiments have been performed on both DPP and LPP types of lithium-based EUV source. With few exceptions the DPP approaches have failed to contain lithium at the density required for an efficient EUV-producing pinch discharge. In only one of these works [7] has the lithium been stably contained with a wide-angle heat pipe that allows efficient exit of the EUV into a large solid-angle collector. In various lithium experiments of both the DPP and LPP type lithium has not been stably contained, and has spread throughout the apparatus causing deposition on collector optics and chemical interaction with many surface materials. It has been found virtually impossible to keep the cleanliness of an open, non heat pipe, vacuum system sufficiently high to avoid significant reactions of oxygen, nitrogen, water vapor etc. with the hot lithium, forming low volatility lithium compounds that also increase the viscosity of liquid lithium and degrade flow performance. However, the buffer gas heat pipe containment of lithium has been proven by the present applicant to keep the metal clean for extended periods of operation at high temperatures in the range of 850 C. Not only is lithium theoretically much more efficient than tin as a producer of EUV radiation, but its low EUV absorption cross section (2×10−18 cm2) combined with its high particle velocity give lithium a factor of 20 advantage over tin in heat removal through the re-combined neutral atom cloud around a point plasma [8]. However, even with successful discharge operation in a confined lithium volume, it is not proven that the radiating source size will be sufficiently small to be fully usable within the approximately 3 mm2sr etendue [1] of a stepper, and moreover, 13.5 nm production efficiency to date has been in the range of 1-2% in short pulse (sub −500 nsec) lithium discharges whereas much higher efficiency is predicted for longer duration discharges [6]. Longer duration discharges will not necessarily have the required small volume to match the stepper etendue. The present invention aims to overcome the prior limitations of both DPP and LPP lithium EUV sources by using a hybrid method in which a magnetically confined lithium discharge plasma is laser-heated. This method is termed the “laser-heated discharge plasma” (LHDP). The radiating volume is then defined by the laser spot size and the laser absorption length in the lithium plasma, while lithium is confined and re-circulated so that power scaling does not involve an increase in ejected material that has to be trapped in a DMS. In fact, the total lithium inventory in this approach can be extremely small. Note that in distinction to prior art the plasma is not laser-produced, but merely laser-heated after being discharge-produced. Direct laser irradiation of a solid density lithium target gives low conversion efficiency from laser light into EUV radiation because there is only a very thin layer of the laser-produced plasma that is at the correct density and temperature for efficient EUV emission. However, in the present invention a relatively long absorption length is obtainable if the plasma is arranged to be “underdense” to the incoming laser radiation. In this circumstance, the plasma electron density is less than the critical density for the laser wavelength λ defined by nc=1.1×1021/λ2 cm−3, where λ is in μm. Below the critical density, the dominant laser absorption mechanism in the plasma is the process of inverse bremsstrahlung absorption. By varying the plasma density and temperature, as further discussed below, the absorption length may be tuned to the range of 1 mm or less, corresponding to the ideal EUV source dimension. Laser heating of a small confined gas volume that is under-dense to the laser radiation has previously been employed [9] to generate soft X-rays in the keV energy range, but the starting gas was neutral and confined by a thin membrane, whereas we propose to create a starting plasma via the self-magnetic constriction of a pinch discharge, which is particularly suited to EUV source design, especially in the case of lithium. A principal problem of EUV source design stems from the extremely high absorption experienced by EUV light when passing through any solid material. The absorption coefficients for materials at the optimum EUV photon energy of 92 eV (13.5 nm) are tabulated in [10]. In consequence of this absorption, the EUV source plasma must be in direct view of the EUV light collecting mirror, with at most a low pressure (less than 1/100 of an atmosphere) of gas between the source and the mirror. Subsequent propagation of the EUV light has to be in near vacuum between the collecting mirror and the point of use. The present embodiment of the LHDP method of EUV light generation depends upon the absorption of CO2 laser light (wavelength 10.6 μm) in a plasma with electron density in the range 1017-1019 cm−3. If a shorter wavelength laser is used, such as the Nd laser at 1.06 μm, the electron density required for absorption is even higher at 1019-1021 cm−3. The achievement of such a high electron density is only possible at a high gas pressure which is incompatible with the collection of EUV light, unless an additional means of compression is employed wherein an initially low pressure gas is swept up into a much smaller volume just prior to the laser excitation pulse. A feature of the present invention is therefore the use of a plasma pinch to collect low density gas from a relatively large volume, and compress and ionize it in preparation for laser heating. Of the various plasma pinch types, including the theta pinch and the Z-pinch, the former requires an enveloping conductor structure that is incompatible with efficient EUV light collection, whereas the Z-pinch is self-magnetically compressed. By this we mean that the current flowing axially in a Z-pinch itself generates an intense azimuthal magnetic field, which in turn compresses the plasma column. EUV light can therefore exit from a Z-pinch into a large collection solid angle without obstruction by an external field-generating conductor. In addition to the Z-pinch, the invention may be supported by a Star pinch, a hypocycloidal pinch or other self-magnetically compressed plasmas. The EUV emission of a lithium Z-pinch has been calculated in detail by Masnavi et al [11]. From results presented by these authors it may be seen that for the density of 1×1018 electrons cm−3 that is preferred for the present invention, in which laser absorption is via. inverse bremsstrahlung, the 13.5 nm lithium line emits relatively weakly at a plasma temperature of 10 eV, but many times more intensely at a plasma temperature of 15 eV. Our approach is therefore to locally heat the Z-pinch plasma column by laser absorption, starting from a background temperature of 10 eV already established in the pinch. The heated region alone radiates EUV light strongly. Laser heating of pinch plasmas was proposed in 1970 [12, 13] as a method of raising plasma temperature to initiate thermonuclear fusion reactions. The absorption mechanism proposed was inverse bremsstrahlung and the plasmas were theta pinch and Z-pinch types. This earlier work did not lead to the design of any extreme ultraviolet light source. Axial laser pumping was proposed because plasma containment in a linear device depended upon few encounters with the lossy end regions, and therefore very long devices, up to 1,000 m in length, were proposed. As discussed above, the EUV source requires a plasma diameter less than 1 mm and a pumped length of the order of 1 mm, achieved via. radial, or transverse, incidence of a focussed laser beam, as distinct from axial pumping. The LHDP source is intrinsically a pulsed source because the confinement of a pinch is transitory, mainly because of instabilities in the plasma geometry. As long as the pinch has to be established at high repetition rate between two electrodes, one can take advantage of this to reverse the direction of the pinch current on each successive pulse. This has the effects of a) heating the two electrodes equally as the discharge cathode provides the main source of heat and this is now shared equally, and b) balancing lithium inventory between the electrodes via cancellation of ionic drift. In regard to the drive circuit for this oscillating discharge, when a capacitor is connected across the pinch electrodes energy not dissipated in the plasma on one “stroke” of the device is re-deposited as stored energy of the opposite polarity in the capacitor, ready for use in the following, oppositely directed, pulse. A power supply has to “top up” the capacitor between pulses. Energy recovery also obtains if the capacitor is not directly coupled to the electrodes, but instead is coupled via a “step down” transformer, which aids in matching a higher impedance power supply to the typically very low impedance of a pinch discharge. According to a first aspect of the invention, there is provided an extreme ultraviolet light source in which a magnetically self-confined plasma is produced via a pulsed discharge and the plasma energy in a small region of the plasma is increased by absorption of laser light resulting in locally increased excitation of ionic species that radiate extreme ultraviolet light. According to a second aspect of the invention, an extreme ultraviolet light source at 13.5 nanometers is based on the emission of lithium ions in which a magnetically self-confined lithium plasma of electron density less than 1019 cm−3 is produced via a pulsed discharge and the plasma energy in a small region of the plasma is increased by absorption of laser light at the wavelength of 10.6 microns, resulting in locally increased excitation of hydrogen-like lithium to its resonance level and increased radiation at 13.5 nanometers. A first embodiment of the invention is illustrated in FIG. 1, relating to linear coaxial Z-pinch confinement of the lithium plasma with heating by a transversely incident pulsed or continuous wave carbon dioxide laser. An axial magnetic field may also be applied to enhance pinch confinement stability. A second embodiment of the invention is illustrated in FIG. 4, relating to star pinch confinement of the lithium plasma with heating by a pulsed carbon dioxide laser. A third embodiment of the invention is illustrated in FIG. 7, relating to hypocycloidal pinch confinement of the lithium plasma with heating by a pulsed carbon dioxide laser. A fourth embodiment of the invention relates to additional lithium vapor containment applied to the geometry of the first embodiment, as illustrated in FIG. 8. A fifth embodiment of the invention relates to an additional ignition electrode added to the fourth embodiment, as illustrated in FIG. 9. Before describing the operation of each of these embodiments in detail, some general description will be given of the absorption mechanism. The carbon dioxide laser has its principal wavelength at 10.6 microns, and is reflected from a plasma of electron density greater than 1019 electrons cm−3. Just below this density the carbon dioxide laser radiation is strongly absorbed by a process known as inverse bremsstrahlung absorption. The absorption length is given by [14, 15]: L ab = 5 × 10 27 ⁢ T e 3 / 2 n e 2 ⁢ Z ⁢ ⁢ λ 2 ⁢ ( 1 - λ 2 λ e 2 ) 1 / 2 where λ is the wavelength in cm, λe is the wavelength of radiation at the plasma electron frequency ωe; i.e. λe=2πc/ωe and ωe2=4πnee2/me, Te is the electron temperature in eV, ne is the electron density in cm−3, and Z is the ionic charge. The laser intensity decreases with depth x into the plasma as:I=I0exp(−x/Lab) FIG. 2 shows the calculated absorption length for a typical plasma temperature of 10 eV, and average charge of Z=2, corresponding to conditions for which a significant Li2+ ion density is present. In that figure it is seen that a 1 mm absorption depth requires an electron density of approximately 1×1018 cm−3, corresponding to a lithium ion density of 5×1017 cm−3. The absorbed laser energy is given initially to the plasma electrons, which thermalise into an increasingly hot Maxwellian energy distribution, until excitation increases from the ground to first excited state of the Li2+ ion. Re-radiation to the ground state occurs within 26 psec, with the emission of a 13.5 nm photon. The lithium ion is then available for a further cycle of excitation and radiation. The 13.5 nm extreme ultraviolet light is most intense from the absorption volume, defined by the focal spot diameter of the heating laser, and the absorption depth. This volume may therefore be tuned in shape and size to optimize illumination uniformity in lithography or another use. Provided the absorbed laser power dominates heat transport out of the absorption region by plasma thermal conduction, there will be efficient conversion of absorbed light at 10.6 μm into EUV radiation at 13.5 nm occurring within a volume of approximately the same size as the absorption volume. The linear geometry of a Z-pinch, with its strong azimuthal self-field, acts as a natural heat trap, because the conduction of heat is only significant along the axis of the pinch. It may be shown that an axial heat flow of one to several kW can exist close to the laser absorption region, so the laser power should be greater than a few kW for optimum small plasma size, to avoid “smearing” by thermal diffusion. In more exact terms, considering deposition of heat within a plasma length L, the characteristic time τ for heat loss by diffusion through the ends of this length is [13]: τ = ( Z + 1 ) ⁢ n e ⁢ L 2 10 20 ⁢ T e 5 / 2 where Te is the electron temperature in eV, ne is the electron density in cm−3, Z is the ionic charge and L is in cm. As an example, for length L=0.1 cm, Z=2, ne=1×1018 cm−3 and Te=12 eV (heated from a background pinch temperature of 10 eV), the characteristic heat loss time is 600 nsec. If the laser heating pulse is of less than 600 nsec in duration, the heated region will not expand beyond 1 mm in length, and the source size criterion is satisfied. In a published patent application [16] Horioka et al. have considered a lithium Z-pinch discharge that may have additional current control in order to maintain the plasma density and temperature at optimum values for efficient EUV generation. Also, an applied axial magnetic field is provided in order to stabilize the pinch. Stabilization of a Z-pinch via an applied axial field has long been demonstrated experimentally [17] and predicted theoretically [18]. Horioka et al. [16] also propose an external heating device, either a laser or electron beam, to both heat the electrodes and the plasma. As discussed above, use of a laser to heat a plasma is well documented in the literature [12, 13]. The present invention describes localized laser heating of a small region within the whole length of the pinch, with the purpose of greatly increasing EUV radiation from just that region in order to satisfy the stringent etendue requirements of the lithography application. As used herein, a “small region” refers to a region that will fit inside a sphere of diameter 1.5 mm, but the small region is not necessarily spherical in shape. Another way in which the present invention differs from Horioka et al. is in the use of a wide angle heat pipe structure [19] to capture and re-circulate lithium vapor. The first embodiment of the invention, shown in FIG. 1, operates as follows. Coaxial cylindrical heat pipes 5 and 6 are aligned on axis of symmetry 31. They are opposed to each other, with open ends 10 and 11 facing each other. The heat pipe interior walls have meshes 25, 26 installed along most of their length in order to contain molten lithium and allow it to flow from the cooler outer end of a heat pipe to the hotter central region. A charge of solid lithium is initially laid inside each of tubes 5 and 6. Heater structures 15 and 16 are disposed on the outside of each tube near the inner end of the mesh. Cooling structures 20 and 21, with water flow, are disposed around each outer end of tubes 5 and 6. A magnet coil 30, coaxially aligned with axis of symmetry 31 is energized by a current to produce a magnetic field in the central region. Mid-way between openings 10 and 11 the magnetic field is at its highest intensity, and is aligned with axis 31. Alternating current and voltage generator 35 is connected by conductors 37 to the outer ends of each of tubes 5 and 6. Carbon dioxide laser beam 39 is focused by lens 40 to converge in focused beam 41 on an interaction region 45 within the space between openings 10 and 11. The space 46 around the components is kept under vacuum, or filled to a low pressure with an unreactive buffer gas such as helium. In operation, heaters 15 and 16 are employed to raise the temperature of the inner ends of heat pipes 5 and 6 to the approximate range of 800-900 C, while cooling elements 20 and 21 continue to be at less than about 200 C. The vapor pressure of lithium, expressed as number density, is shown as a function of temperature in FIG. 3 in which region 200 indicates the region of most interest here. Lithium within tubes 5 and 6 melts, flows toward the center of the apparatus, and begins to evaporate from the hot regions adjacent to heaters 15 and 16. As the lithium density rises through a value of about 1015 atoms cm−3, an alternating voltage applied by generator 35 strikes a discharge between hollow electrodes 10 and 11. The almost complete ionization of lithium in the space between entrances 10 and 11 causes lithium to be trapped by the applied magnetic field, with very slight probability of escape. Continued heating to an inner temperature in the range of 800 C to 900 C raises the lithium density to the 1016-1017 cm−3 range. At this time, if sufficient alternating current is driven by generator 35, the discharge between hollow electrodes 10 and 11 constricts, (44), increasing the lithium ion density to the 5×1017 cm−3 range at which laser absorption is efficient in a length of about 0.1 cm. A pulsed decrease in diameter from 5 mm to 1 mm yields a 25 times density increase, raising the lithium density from a quiescent value of 2×1016 cm−3 to 5×1017 cm−3. The lithium atoms are mostly doubly ionized when the plasma electron temperature is heated to about 10 eV in this density regime. Focused carbon dioxide laser beam 41 deposits its energy within a small plasma volume 45 at the waist of discharge 44, and 13.5 nm extreme ultraviolet radiation leaves volume 45 in beams 50 that encompass a large fraction of the available 4π solid angle. The carbon dioxide laser can be timed to pulse its energy at the point of maximum discharge constriction on each half cycle of generator 35. The symmetry of this configuration ensures that the lithium load in each of heat pipe tubes 5 and 6 remains approximately equal. When the average absorbed carbon dioxide laser power becomes significant in comparison to the power in heaters 15 and 16, the latter power is reduced by a control circuit that may operate by measurement of the internal resistance of the heater elements within 15 and 16. Excess heat is then removed from the central region by heat pipe action. Although illustrated with the carbon dioxide-lithium system of interest for 13.5 nm production, the principle described above in reference to FIG. 1 can be applied with other metal vapors and the same or other laser wavelengths, to generate other extreme ultraviolet wavelengths of interest in various applications. The second embodiment of the invention, shown in FIG. 4 operates as follows. The pulsed discharge plasma of a lithium star pinch [7, 20, 21] is used as the target for a focused carbon dioxide laser that heats the plasma and causes enhanced radiation at 13.5 nm. The configuration of FIG. 4 is that of a wide angle heat pipe EUV source as disclosed in [19]. Before describing the star pinch discharge action that generates 13.5 nm radiation, the basis for metal vapor control within the source will be described. With reference to the embodiment illustrated in FIG. 4, disc-shaped electrodes 101,102,103 are separated by insulators 104. A central, vertical symmetry axis describes these electrodes. Electrode 101 is the discharge anode, electrode 102 is an “inner shell” electrode, and electrode 103 is the discharge cathode. The central part 107 of cathode 103 carries an array of holes 140 that are aligned so that their axes 142 all intersect at a position 170 on the central symmetry axis. In one realization there are 12 holes in this array. The central part 116 of inner shell 102 carries a corresponding array of holes 141 aligned on axes 142 of the cathode holes. In addition to the three electrode discs, the structure comprises a nested array of surfaces 108 that together define the collection solid angle subtended by the plasma source at location 170. These surfaces are aligned with the direction of 13.5 nm radiation rays 180, so as to provide the least possible obscuration of rays 180. Although these surfaces may be conical, other constructions of the surfaces such as a tapered honeycomb or grid are understood to be possible. Each passage between the disc-shaped electrodes 101 and 102, or 102 and 103, or between the surface elements 108 carries on at least one of its sidewalls a wick 109 that may comprise a woven mesh, porous material or set of radially aligned grooves. Symmetry about a central vertical axis implies that, for example, the wicks 109 shown on the inner shell 102 or cathode 103 have the shape of flat annular discs. The central regions of the apparatus carry heater elements 120. The outer regions of the apparatus carry coolant channels 121. In operation, when the apparatus is assembled, sheets of the metal to be used in vapor form to produce 13.5 nm radiation are attached parallel to the wicks 109. The apparatus is filled with a low pressure of the chosen buffer gas, which is preferably helium for the lithium source, and at room temperature helium fills not only the apparatus, regions 130 and 131, but is also present 131 in the 13.5 nm propagation space. A typical pressure of helium for use with lithium is in the range of 1-4 torr. Heat is provided by heater elements 120 in order to raise the central temperature. The temperature of the wicks also rises because thermal breaks 110, or the thin walls of structures 108, allow the wick temperature to rise well above the coolant temperature. The loaded metal then melts and infiltrates into the wicks 109. Further heating raises the metal temperature in the parts of the wicks closest to central location 170, until the vapor pressure of the metal approaches the buffer gas pressure. The heat input necessary to achieve this is shown in FIG. 5 for a realization of this source employing lithium with helium as the buffer gas that has been explored experimentally by the applicant. In that figure the different contributions to heat loss from the center to the outside of the apparatus are first shown as separate curves, and then summed to form a total. Radiation (curve 201) is a relatively small loss, as is conduction through the helium buffer (210). A larger heat flow (curve 220) is caused by conduction through the lithium-soaked wicks, and supporting thermal breaks 110. By far the largest heat flow (curve 230) at elevated temperature is due to the convection of enthalpy by lithium vapor that is evaporated in the central region, flows toward the outer regions, and condenses on the cooler outer parts of the wicks, giving up its heat. In order to reach a central temperature of 800 C, appropriate for 13.5 nm production in a star pinch of lithium vapor, a combined heat input (curve 240) of 2-3 kW is required in this realization. Lithium that has condensed on the outer parts of the wicks flows as liquid back toward the central region, to be available for re-evaporation, setting up a steady-state vapor density distribution. FIG. 3 shows the target range for lithium vapor density 200 in which the density of lithium metal vapor equals that of xenon gas measured for optimum 13.5 nm emission from xenon in the same discharge geometry. This ensures that the drive circuit can deposit a large fraction of its energy into plasma movement. It is seen that this target density range corresponds to a temperature of approximately 800 C. The corresponding vapor pressure of lithium, that is matched by the pressure of the buffer gas, is shown in FIG. 6. A buffer gas pressure in the approximate range of 1-4 torr is utilized. As this temperature is approached, lithium displaces essentially all of the helium buffer in central region 130, and a relatively sharp interface 132 develops between the lithium in central region 130 and helium in outer region 131. In a multiple-electrode lithium vapor discharge device (with two or more electrodes) there is a risk that one of the electrodes becomes cooler than the others and in consequence becomes more loaded with liquid lithium via condensation. When this happens, the thermal conductivity of this liquid lithium tends to pull the electrode temperature further down, establishing an unstable downward temperature spiral, to the detriment of the available lithium vapor pressure. Such an occurrence is prevented by use of a separate temperature control circuit for each electrode. One method, disclosed in [19] of sensing an electrode's temperature is to measure the electrical resistance of the heater element within the electrode, as long as this element is in good thermal contact with the body of the electrode. The resistance of refractory metal heater elements is quite a strong function of temperature. A temperature control circuit can be based on the establishment of a preset resistance within the heater element corresponding to a known temperature of the metallic resistance material. This temperature control mechanism is also necessary once significant additional power is being fed into the electrical discharges to be described below. As discharge power increases, the controller decreases power fed to the electrode in an attempt to stabilize its temperature at the preset value. Once a refluxing equilibrium vapor density of the working metal vapor, in this case lithium, has been established, electrical pulses are applied to the electrodes to generate a hot plasma at position 170 that efficiently radiates 13.5 nm light. To facilitate this, voltage generator V1 (160) is connected between anode 101 and inner shell 102. Also, voltage generator V2 (150) is connected between anode 101 and cathode 103. The arrangement of electrodes and pulse generators in FIG. 4 is one realization of the star pinch, an extreme ultraviolet source type described in prior disclosures [20, 21] and publications [22, 23, 24] in which several implementations of the star pinch principle have been described. Although several electrical modes of operation are possible, in a preferred embodiment a direct current “keep alive” current is applied via voltage generator 160 between inner shell 102 and anode 101. Voltage generator 160 maintains inner shell 102 at a negative potential of typically between 100 and 1,000 volts relative to anode 101 while supplying a discharge current of between 10 and 1,000 mA. During this resting “keep alive” phase, voltage generator 150 is not activated, but presents effectively a low impedance between anode 101 and cathode 103, keeping them at the same potential. The “keep alive” discharge generates ions in the channels defined by axes 142 between cathode holes 140 and inner shell holes 141. These ions are accelerated toward the inner shell by its negative potential relative to the cathode. On passage through channels 141 and along axes 142, a proportion of these ions are neutralized by resonant charge exchange, and proceed as neutral lithium atoms toward region 170. In a second phase of operation, inner shell 102 is pulsed negative for approximately 1 microsecond via an increased current from voltage generator 160, raised to a level of 1 to 100 Amps, when additional atoms are projected toward region 170. In the final phase of discharge production, after an additional delay of up to several microseconds the main power pulse is applied via voltage generator 150 to the cathode 103 and anode 101. A current pulse of typically between 500 A and 10 kA and duration typically between 100 nsec and 10 μsec is applied via a negative pulse from voltage generator 150 to cathode 103, the current flowing between cathode 103 and anode 101, via passages 141. During this high current pulse the low density plasma that has been pre-formed at location 170 is heated and compressed to reach an electron temperature typically in the range 5 eV to 20 eV, and an electron density typically in the range 1017 to 1018 electrons cm−3. Once the plasma is formed, carbon dioxide laser beam 190 is focussed via lens 191 into the plasma and heats the plasma to perform excitation of the hydrogen-like lithium resonance line. The heating mechanism is inverse bremsstrahlung absorption. A plot of the absorption length is given in FIG. 2 as a function of the electron density. The critical density for 10.6 micron carbon dioxide laser radiation is 1×1019 electrons cm−3. Just below this density (at sub-critical density) the absorption length varies as shown in FIG. 2, and can be arrange to be of the order of 0.1 cm by choice of the electron density in the region of 1×1018 cm−3. Under these conditions there is copious production of the Li2+ ion and excitation into its excited states that lead to intense radiation on its resonance transition at 13.5 nm. The 13.5 nm light is radiated in all directions, but the backward propagating light through structures 108 can be collected and used for lithography or other purposes. The third embodiment of the invention, shown in FIG. 7, operates as follows. In this EUV source the handling of lithium vapor is accomplished in the same manner as for the second embodiment. The difference lies in the type of magnetically confined lithium discharge. FIG. 7 shows a hypocycloidal pinch [15] in which electrodes 1 and 3 are symmetrically disposed around electrode 2, and the whole apparatus has a vertical axis of rotational symmetry. This type of pinch has opposed “Z-pinch” regions that contain a small central region 70 around a point of null magnetic field where a hot plasma builds up. In operation, once a working density of lithium vapor 30 has been established, contained in a buffer gas heat pipe by helium buffer 31, a voltage pulse applied to electrode 2 establishes discharges between the inner edges of electrodes 1 and 2, and electrodes 2 and 3. For example, if central electrode 2 is negatively pulsed, it acts as the cathode for pinch discharges on each side of it, with anodes 1 and 3 respectively. Application of several kAmps of current in a pulse of the order of one microsecond collapses and heats the hypocycloidal pinch plasma, to the point where its electron density is approximately 1×1018 electrons cm−3 and its temperature is 10 eV. This plasma type has been observed [15] to have much greater stability than Z-pinch discharges alone, and its use has been suggested [25] for lithium EUV source work, but not with localized laser heating, as in the present disclosure. Once the correct underdense plasma conditions have been established, CO2 laser beam 90, focused by lens 91, is pulsed into a mm-sized region of the hypocycloidal pinch to further heat the plasma and generate intense 13.5 nm radiation from the small laser absorption region which can be many times smaller than the plasma of the hypocycloidal pinch. A fourth embodiment of the invention is shown in FIG. 8. This is identical in operation to the first embodiment except for the addition of six conical heat pipe structures with heating elements 61 and cooling elements 60 respectively, at the inner and outer edges of the (truncated) cones. A helium buffer gas is also added, with the same heat pipe containment function as previously described with reference to the second embodiment. The surfaces of the cones can have meshes to return lithium after condensation, thereby acting as a DMS, and allowing operation with a small lithium inventory. A fifth embodiment of the invention is shown in FIG. 9. This is similar in operation to the first embodiment except for the addition of conical surfaces on each of the two main discharge electrodes and the addition of a single central disc electrode 90 embedded within which is a magnetic field coil 30. The heating laser beam 41 is now inclined at 15 degrees to the radial direction so as to clear the disc electrode structure 90. Each of the conical surfaces can have heating elements 61 and cooling elements 60 respectively, at the inner and outer edges of the (truncated) cones. The central disc electrode can have heating element 61 and cooling element 60 at its inner and outer edge, respectively. As provided in the wide angle heat pipe patent [19], each disc or conical surface can have a mesh for lithium reflux. In operation, the central disc electrode 90 can be attached to a high impedance supply and biassed positive or negative relative to the main discharge electrodes by a potential in the range 100V to 5 kV in order to create a low density of seed electrons for the main pinch discharge. 1. V. Banine and R. Moors, “Plasma sources for EUV lithography exposure tools” J. Phys. D, Appl. Phys. 37, 3207-3212 (2004). 2. J. Pankert et al., “Integrating the Philips extreme UV source in alpha tools”, Proc. SPIE 5751, 260-271 (2005). 3. K. Nishihara et al., “Further improvement of CE up to 5-6% and B field mitigation of fast ions”, Proc. Sematech 2007 International EUVL Symposium, Sapporo, Japan. 4. B. A. M. Hansson and H. M. Hertz, “Liquid-jet laser-plasma extreme ultraviolet sources: from droplets to filaments”, J. Phys. D: Appl. Phys. 37, 3233-3243 (2004). 5. W. Partlo, I. Fomenkov, R. Oliver and D. Birx, “Development of an EUV (13.5 nm) light source employing a dense plasma focus in lithium vapor”, Proc. SPIE 3997, 136-156 (2000). 6. M. Masnavi, M. Nakajima, A. Sasaki, E. Hotta and K. Horioka, “Potential of discharge-based lithium plasma as an extreme ultraviolet source”, Appl. Phys. Lett. 89, 031503 (2006). 7. M. McGeoch, “Lithium discharge EUV source”, Proc. Sematech 2005 International EUVL Symposium, San Diego, USA. 8. M. McGeoch, Sematech EUV Source Workshop, Vancouver, May 2006. 9. C. A. Back et al., “Efficient multi-keV underdense laser-produced plasma radiators”, Phys. Rev. Lett. 87, 275003 (2001). 10. B. L. Henke, E. M. Gullikson and J. C. Davis, “X-ray Interactions: Photoabsorption, Scattering, Transmission and Reflection at E=50-30,000 eV, Z=1-92”, At. Data and Nucl. Data Tables 54, 181-343 (1993). 11. M. Masnavi, M. Nakajima, E. Hotta and K. Horioka, “Estimation of the Lyman-α line intensity in a lithium-based discharge-produced plasma source”, J. Appl. Phys. 103, 013303 (2008). 12. G. C. Vlases, “Heating of Pinch Devices with Lasers”, Physics of Fluids 14, 1287-1289 (1971). 13. J. M. Dawson, “Production of Plasmas by Long Wavelength Lasers”, AIAA Paper 70-779 (1970). 14. T. W. Johnston and J. M. Dawson “Correct values for high-frequency power absorption by inverse bremsstrahlung in plasmas”, Phys. Fluids 16, 722 (1973). 15. J. H. Lee, D. R. McFarland and F. Hohl, “Production of dense plasmas in a hypocycloidal pinch apparatus”, Phys. Fluids 20, 313-321 (1977) 16. K. Horioka, M. Masnavi and E. Hotta, “Plasma Generating Apparatus and Plasma Generating Method” Intl. Patent Pub. WO2006/120942 (16.11.2006 Gazette 2006/46). 17. F. J. Wessel, F. S. Felber, N. C. Wild, H. U. Rahman, A. Fisher and E. Ruden, “Generation of high magnetic field using a gas-puff Z-pinch”, Appl. Phys. Lett. 48, 1119-1121 (1986). 18. M. A. Liberman, J. S. DeGroot, A. Toor and R. B. Spielman, “Physics of High Density Z-Pinch Plasmas”, Section 6.6.1, Springer, New York (1999). 19. M. W. McGeoch “Extreme ultraviolet source with wide-angle vapor containment and reflux”, US Patent application published July 2007 (#20070158595), all claims allowed. 20. M. W. McGeoch “Star pinch X-ray and extreme ultraviolet photon source”, U.S. Pat. No. 6,567,499 (2003). 21. M. W. McGeoch “Star pinch plasma source of photons or neutrons”, U.S. Pat. No. 6,728,337 (2004). 22. M. W. McGeoch and C. T. Pike, “Star pinch scalable EUV source”, Proc. SPIE 5037, pp 141-146 (2003). 23. M. W. McGeoch, Sematech EUV Source Workshop, San Jose, February (2005). 24. M. W. McGeoch, “Star pinch EUV source”, Chapter 15, Extreme Ultraviolet Sources for Lithography, SPIE Press, Bellinghaven, Wash., (2005). 25. M. Masnavi et al. Proc. Sematech 2007 EUVL symposium, Sapporo, Japan. Further realizations of this invention will be apparent to those skilled in the art. Having thus described several aspects of at least one embodiment of this invention, it is to be appreciated various alterations, modifications, and improvements will readily occur to those skilled in the art. Such alterations, modifications, and improvements are intended to be part of this disclosure, and are intended to be within the spirit and scope of the invention. Accordingly, the foregoing description and drawings are by way of example only.
summary
claims
1. A method of operating a nuclear power plant for suppressing occurrence of stress corrosion cracking in metallic component materials in contact with reactor cooling water of a nuclear power plant, wherein electrochemical corrosion potentials of said metallic component materials are decreased by injecting zirconium hydroxide and hydrogen into said reactor cooling water. 2. A method of operating a nuclear power plant for suppressing occurrence of stress corrosion cracking in metallic component materials in contact with reactor cooling water of a nuclear power plant, wherein electrochemical corrosion potentials of said metallic component materials are decreased by injecting zirconium hydroxide and hydrogen into said reactor cooling water, and an injecting amount of said hydrogen, an injecting amount of said zirconium hydroxide and injecting timing of said zirconium hydroxide are controlled so that said electrochemical corrosion potentials may be maintained below a target value. 3. A method of operating a nuclear power plant according to claim 1 , wherein said zirconium hydroxide is injected so that a concentration of zirconium in said reactor cooling water may be kept within a range of 0.5 to 50 ppb. claim 1 4. A method of operating a nuclear power plant according to claim 1 , wherein said zirconium hydroxide is injected so that a concentration of zirconium in said reactor cooling water may be kept within a range of 1 to 6 ppb. claim 1 5. A method of operating a nuclear power plant according to claim 1 , wherein said hydrogen is injected so that a concentration of hydrogen in reactor cooling water of a water supply system may be kept within a range of 0.1 to 0.6 ppm. claim 1 6. A method of operating a nuclear power plant according to claim 1 , wherein said hydrogen is injected so that a concentration of hydrogen in reactor cooling water of a water supply system may be kept within a range of 0.3 to 0.5 ppm. claim 1 7. A method of operating a nuclear power plant according to claim 1 , wherein said metallic component materials are materials selected from the group consisting of stainless steels, nickel based alloys, cobalt based alloys, titanium based alloys, copper based alloys and ferroalloys, non-ferrous alloys, carbon steels and low alloy steels. claim 1 8. A method of operating a nuclear power plant according to claim 1 , wherein said injection of zirconium hydroxide is performed during operating said nuclear power plant at a full power. claim 1 9. A method of operating a nuclear power plant according to claim 1 , wherein said injection of zirconium hydroxide is performed during shut-down operation of said nuclear power plant. claim 1 10. A method of operating a nuclear power plant according to claim 1 , wherein said injection of hydrogen is initiated after completion of said injection of zirconium hydroxide. claim 1 11. A method of operating a nuclear power plant according to claim 1 , wherein an electrochemical corrosion potential of said metallic component material is monitored when said hydrogen is injected, and zirconium hydroxide is additionally injected during operating said nuclear power plant at full power based on the monitored result. claim 1 12. A method of controlling water chemistry of a nuclear power plant, wherein in order to suppress occurrence of stress corrosion cracking in metallic component materials in contact with reactor cooling water of a nuclear power plant, zirconium hydroxide is injected into said reactor cooling water before injecting hydrogen into said reactor cooling water. 13. A method of controlling water chemistry of a nuclear power plant according to claim 12 , wherein said zirconium hydroxide is injected so that a concentration of zirconium in said reactor cooling water may be kept within a range of 0.5 to 50 ppb. claim 12 14. A method of operating a nuclear power plant for suppressing occurrence of stress corrosion cracking in metallic component materials in contact with reactor cooling water of a nuclear power plant, wherein zirconium hydroxide and hydrogen are injected into said reactor cooling water. 15. A method of operating a nuclear power plant for suppressing occurrence of stress corrosion cracking in metallic component materials in contact with reactor cooling water of a nuclear power plant, wherein zirconium hydroxide and hydrogen are injected into said reactor cooling water, and an injecting amount of said hydrogen, an injecting amount of said zirconium hydroxide and injecting timing of said zirconium hydroxide are controlled so that said electrochemical corrosion potentials may be maintained below a target value.
053923243
summary
FIELD OF THE INVENTION The invention relates to a device for and a method of removing the residual energy from a fast-neutron nuclear reactor at shutdown. BACKGROUND OF THE INVENTION Fast-neutron nuclear reactors include a core constituted by fuel assemblies, in which core heat is released due to the effect of the nuclear reactions. The fuel core, which is placed inside a large-sized vessel, is cooled by a heat-transfer fluid which is generally constituted by liquid metal. In addition, the nuclear reactor includes at least one cooling system in which the heat released by the core and taken up by the heat-transfer liquid metal enables the feed water to be heated up and vaporised inside steam generators. In general, the heat released by the core is transmitted to the feed water via a primary coolant constituted by a first liquid metal, which may be liquid sodium, circulating inside the reactor vessel, and via a second liquid metal which may also be constituted by sodium and which circulates in the secondary cooling system of the nuclear reactor on which the steam generators are placed. In the case of integrated-type fast-neutron nuclear reactors, the nuclear-reactor vessel is filled with liquid metal such as sodium in which the reactor core is submerged. Intermediate heat exchangers are also submerged in the liquid metal filling the vessel and each include a heat-exchange element connected to the secondary cooling system of the steam generator and in which the secondary heat-exchange fluid circulates. The liquid metal filling the vessel and constituting the primary coolant cools the core and yields up the heat taken up from the core assemblies to the secondary coolant circulating in the intermediate heat exchangers. Inside the steam generators, the secondary coolant heats up and vaporizes the feed water and cools down. When it is desired to operate the reactor under accident conditions or to shut it down, for example prior to maintenance, repair and/or core-reloading operations, control rods made of neutron-absorbing material are inserted inside some of the core assemblies, constituting the assemblies for controlling the nuclear reaction. The control rods, in the maximum insertion position inside the core, make it possible for the most part to stop the nuclear reactions occurring in the core and releasing energy. However, because the materials constituting the core are activated, after a period of operation of the nuclear reactor, the nuclear reactor possesses residual energy which is transmitted to the coolant in the form of heat. It is necessary to remove this residual energy in the form of heat in order to cool the nuclear reactor completely, prior to carrying out work inside the vessel. It is known to use heat exchangers designed especially to remove the residual heat of the nuclear reactor at shutdown. In the case of integrated-type fast-neutron nuclear reactors, these heat exchangers may be submerged in the nuclear-reactor vessel, like the intermediate heat exchangers, so as to cool the primary coolant directly. Such devices are complex and expensive to produce and require additional coolant-feed systems. SUMMARY OF THE INVENTION It is an object of the invention to provide a device for removing the residual power from a fast-neutron nuclear reactor at shutdown and/or under accident conditions, the nuclear reactor including a vessel containing the reactor fuel core and a system for cooling the core, in which a liquid metal circulates and on which is placed at least one steam generator including a substantially cylindrical casing having a vertical axis in which casing the liquid metal circulates, water-feed means and means for heat exchange between the liquid metal and the feed water, including, around the body of the steam generator, a tubular unit for recovering heat and for guiding a cooling gas and means for causing the cooling gas to flow in an annular space between the tubular unit and the casing of the steam generator, this device enabling the residual power of the reactor to be removed without requiring expensive additional plant. To this end, the tubular unit includes a metal shell covered on the outside by a layer of thermally insulating material and carrying, on its internal surface, a plurality of fins placed longitudinally of the shell. The invention also relates to a method of removing the residual power from a fast-neutron reactor.
039322173
abstract
Conventional fuel elements within the core of a nuclear reactor and especially a fast reactor are at least partly replaced by "safety elements" each formed by a stack of fissile fuel pellets enclosed in a can. Each pellet is provided with a central orifice so as to form an axial flow duct of sufficiently large cross-sectional area to ensure that the portion of fuel which is liable to melt as a result of a neutron-flux excursion flows under gravity to the bottom of the fuel element and has the effect of reducing the reactivity without damaging the fuel can.
claims
1. A method of irradiating samples using an irradiation system, the method comprising:releasing at least a first sample container from an initial position:receiving the first sample container in an irradiation position, the irradiation position being disposed below the initial position, wherein the initial position and the irradiation position are linked together by a substantially vertical conduit, and wherein the first sample container moves from the initial position to the irradiation position through the conduit;exposing the first sample container to a radiation source, thereby irradiating the first sample container; androtating the first sample container while the first sample container is being irradiated. 2. The method of claim 1, further comprising releasing a second sample container from the initial position. 3. The method of claim 1, further comprising:releasing a second sample container from the initial position; andmoving a first gate into a first slit, the first slit being formed in a first portion of the conduit, the first gate blocking the passage of the second sample container through the first portion of the conduit. 4. The method of claim 3, further comprising removing the first gate from the first slit, thereby permitting the second sample container passage through the first portion of the conduit. 5. The method of claim 4, further comprising moving a second gate into a second slit, the second slit being formed in a second portion of the conduit, the second portion being disposed below the first portion, the second gate blocking the passage of the second sample container through the second portion of the conduit. 6. The method of claim 5, further comprising removing the second gate from the second slit, thereby permitting the second sample container passage through the second portion of the conduit. 7. The method of claim 1, further comprising:releasing the irradiated sample container from the irradiation position; andreceiving the irradiated sample container in a sample storage area, the sample storage area being disposed lower relative to the irradiation position. 8. The method of claim 1, further comprising using a controller associated with the irradiation system to adjust a number of sample containers to be received by the irradiation position. 9. A sample transfer system for nuclear irradiation, the sample transfer system comprising:a conduit defining a passage for transfer of at least a first sample container from at least an initial position to an irradiation position;an input assembly configured to allow the first sample container to pass through the conduit in a predefined order; andan exposure assembly configured to receive the first sample container via the conduit and rotate the sample containers during exposure to a radiation source, the first sample container attached to an engagement member, the exposure assembly comprising a first mechanism configured to engage and rotate the sample container, the first mechanism including a first motor and at least one gear coupled to the first motor, wherein the at least one gear is configured to engage with the engagement member of the sample container. 10. The system according to claim 9, further comprising a control system configured to close and open a first portion of the passage in the conduit. 11. The system according to claim 9, wherein the input assembly includes:a first gate configured to open and close the conduit; anda second gate disposed above the first gate, the second gate being configured to hold one or more sample containers while the first gate is opened. 12. The system according to claim 11, wherein the input assembly further includes:a motor;an actuator coupled to the motor, wherein the actuator is configured to convert a rotational motion of the motor to a linear motion of the first gate; anda mechanism connected to the actuator, wherein the mechanism is configured to transfer motion of the actuator to the second gate and thereby close the conduit while the first gate is opened. 13. The system according to claim 12, wherein the mechanism is further configured to transfer motion of the actuator to the second gate and thereby open the conduit while the first gate closes. 14. The system according to claim 9, wherein the exposure assembly rotates each received sample container for a predetermined amount of time. 15. The system according to claim 9, wherein the exposure assembly further comprises a second mechanism configured to displace the first mechanism, the second mechanism including a second motor and an actuator coupled to the second motor, wherein the actuator is configured to actuate the first mechanism to be displaced thereby to be engaged with the engagement member. 16. The system according to claim 9, further comprising a sample storage area, wherein the sample storage area comprises a lead-coated chamber.
abstract
A radioscopy device is provided. The radioscopy device includes a detector grid; and a scattered radiation matrix. The detector grid is disposed relative to the scattered radiation matrix, which is substantially perpendicular to a direction in which the integral across both location-frequency coordinates of the Fourier transforms of the detector grid and the scattered radiation matrix is at a minimum.
summary
abstract
A contour collimator and an adaptive filter as well as an associated method for adjusting a contour of a ray path of x-ray radiation are provided. The contour collimator and the adaptive filter include fluid impermeable for x-ray radiation and a number of electroactive polymer elements actively connected to the fluid. On application of an electrical voltage to the electroactive polymer elements, an aperture forming the contour in the fluid is formed.
056639937
abstract
In a nuclear fuel rod assembly including a plurality of fuel rods and at least one water rod held together in a bundle, and wherein end plugs at the lower ends of the fuel rods and the at least one water rod engage a lower tie plate, an improvement wherein the end plug at the lower end of the at least one water rod is hollow and includes a multi-diameter flow metering bore for regulating coolant flow into the water rod.
abstract
A voltaic cell uses a radioactive material for energy. Energetic particles emitted by the radioactive material boost charge carriers within a semiconductor lattice into higher energy bands. Dielectric layers having quantum dots tuned by size and spacing (density) to favor particles having specific energies permit quantum mechanical tunneling of the charge carriers before they lose significant energy, are captured, or recombine. The energetic carriers tunnel to an electrical circuit, where they perform work.
description
This application claims the benefit of U.S. Provisional Application No. 61/945,407, filed Feb. 27, 2014, which is hereby incorporated by reference in its entirety. This invention was made with Government support under contract no. DE-AC04-94AL85000 awarded by the U.S. Department of Energy to Sandia Corporation. The Government has certain rights in the invention. The present invention relates to high durability solar absorptive coatings, as well as methods of making such coatings. In particular, the coatings include a ceramic oxide that is laser-treated to form a solar absorptive nanostructure. Methods of making and using such coatings are also described. Concentrating solar power (CSP) systems represent a zero emission method to convert sunlight into electricity. Generally, CSP systems use an array of mirrors to heat a working fluid by concentrating sunlight on the surface of a heat exchanger. These heat exchangers require durable surfaces, capable of extended operation in air at temperatures in excess of 700° C., with high absorptivity and low emissivity in the solar spectrum. Furthermore, a CSP receiver surface will experience daily thermal cycles as the sun rises and sets. Abrupt temperature changes will occur as clouds transit the mirror array. The receiver surface will be exposed to air, wind, dirt, and precipitation year around. Economical operation of the CSP system requires that the CSP receiver surface properties remain stable for the heat exchanger's lifetime (˜30 years). Pyromark®-2500, a leading solar absorptive coating, exhibits high solar absorptivity but has limited durability as it is based on an organic resin. Accordingly, there is a need for materials capable of functioning at high operating temperatures (e.g., more than 700° C.) and forming durable coatings. The present invention relates to durable coatings having high solar absorptivity. In particular, the coating includes a laser-treated material (e.g., ceramic material). Herein, characterization of thermal sprayed chrome oxide (Cr2O3) and lanthanum strontium manganite (LSM) coatings, modified using a laser surface treatment, is discussed. Laser surface treatment was used to improve both coatings' solar performance. In particular embodiments, laser-treated chrome oxide coatings exhibited a figure of merit of 0.889, and laser-treated lanthanum strontium manganite coatings exhibit a figure of merit of 0.892. This is significant because these figures of merit are similar to Pyromark®-2500 (FOM=0.89), the leading solar absorptive coating. Because chrome oxide and lanthanum strontium manganite are both a high temperature ceramic (Cr2O3 Tm=2435° C.; LSM Tm˜1000° C.), they are expected to be significantly more durable at high temperature than organic based Pyromark®-2500. These data strongly suggest that laser-treated ceramic coatings have high potential for concentrating solar power systems. Furthermore, without wishing to be limited by mechanism, analysis of the coating surfaces shows that laser-treatment created unique nanostructures on each surface. These nanostructures are significantly smaller than the wavelength of light in the solar spectrum and could easily scatter photons resulting in improved solar absorption. Accordingly, the invention features a method of forming a solar absorptive coating on a surface, the method including: applying a material (e.g., a ceramic material) to the surface to form a coated surface; and treating the coated surface with a pulsed laser source, thereby forming the solar absorptive coating on the surface. In some embodiments, the method includes curing the ceramic material on the coated surface (e.g., where the curing step occurs prior to the treating step). In some embodiments, the method includes re-treating the solar absorptive coating with a pulsed laser source (e.g., where the re-treating step occurs after the treating step). In further embodiments, this re-treating step is performed periodically (e.g., every month, every 3 months, every 6 months, every year, every two years, every five years, etc.). In some embodiments, the ceramic material is a ceramic oxide, a dielectric, or a cermet (e.g., any described herein). Exemplary ceramic materials include a ceramic oxide, such as a chromium oxide, lanthanum-based perovskite, manganese cobalt oxide, silicon oxide, cerium oxide, zinc oxide, aluminum oxide, magnesium oxide, titanium oxide, strontium oxide, zirconium oxide, hafnium oxide, vanadium oxide, nickel oxide, and indium oxide, optionally including one or more dopants (e.g., Sr, Mg, Ca, Ba, Ni, Ru, silicate, Ga, etc.); a material having a perovskite structure (e.g., any described herein); a dielectric; a cermet, such as an alumina-based cermet, a magnesium zirconate-based cermet, a magnesium oxide-based cermet (e.g., Au—MgO), a chromium oxide-based cermet (e.g., Cr—Cr2O3 or Mo—Cr2O3), a nickel-based cermet (e.g., Ni—ZnS (also known as black nickel), Ni—NiOx, or Ni—MgF2), a cobalt-based cermet (e.g., Co—Co3O4), a molybdenum-based cermet (e.g., Mo—MoO2 or Mo—AlN), a tungsten-based cermet (e.g., W—WOx or W—AlN), a titanium-based cermet (e.g., TiNxOy); and composites or blends of any of these. In some embodiments, the solar absorptive coating has a solar absorptance of greater than about 0.9 (e.g., greater than about 0.91, 0.92, 0.93, 0.94, 0.95, 0.96, 0.97, 0.98, or greater). In other embodiments, the solar absorptive coating is a high-temperature solar selective coating (e.g., a coating capable of functioning at temperatures of more than 700° C.). In some embodiments, the solar absorptive coating includes a plurality of microstructures and/or a plurality of nanostructures. Exemplary microstructures and nanostructures include trenches (e.g., having a width of from about 5 μm to about 30 μm (e.g., from 5 μm to about 20 μm, such as about 10 μm) and/or a spacing between two trenches of from about 20 μm to about 70 μm (e.g., 20 μm to 50 μm, such as about 30 μm)); one or more redeposition layer (e.g., disposed on one or more trenches, having any useful thickness, such as about 0.5 μm to 2 μm); columnar structures (e.g., having a spacing between two columns of from about 50 nm to about 750 nm (e.g., from 100 nm to 500 nm, such as about 250 nm)); a surface roughness greater than 3 μm (e.g., from 3 μm to about 5 μm); and/or fine substructures (e.g., having a dimension that is less than 10 nm, such as of from about 1 nm to about 8 nm, disposed on any useful surface, such as on a columnar structure). In particular embodiments, the coating includes both microstructures and nanostructures, thereby providing a surface encompassing multi-length scales in order to effectively interact within incoming photons (e.g., and resulting in effective solar absorption, such as, e.g., that determined by a solar absorptance greater than about 0.9). In some embodiments, the pulsed laser source has a pulse width from about 100 fs to about 1000 ns (e.g., from about 1 ns to 500 ns, 1 ns to 400 ns, 1 ns to 300 ns, 50 ns to 500 ns, 50 ns to 400 ns, 50 ns to 300 ns, 100 ns to 500 ns, 100 ns to 400 ns, or 100 ns to 300 ns). In other embodiments, the pulsed laser source has a wavelength from about 700 nm to about 1200 nm (e.g., about 750 nm, 800 nm, 850 nm, 900 nm, or 1000 nm). In yet other embodiments, the pulsed laser source has a pulse energy more than about 1 mJ (e.g., from about 1 mJ to about 100 mJ). In some embodiments, the pulsed laser source has an average power of more than about 2 watts (e.g., from about 2 watts to about 1000 watts). In another instance, the applied power is about 1 mW to about 20 mW). In some embodiments, the pulsed laser source has a repetition rate of between about 1 kHz to about 500 kHz (e.g., from about 1 kHz to 100 kHz, 1 kHz to 200 kHz, 1 kHz to 300 kHz, 1 kHz to 400 kHz, 10 kHz to 100 kHz, 10 kHz to 200 kHz, 10 kHz to 300 kHz, 10 kHz to 400 kHz, 10 kHz to 500 kHz, 15 kHz to 100 kHz, 15 kHz to 200 kHz, 15 kHz to 300 kHz, 15 kHz to 400 kHz, 15 kHz to 500 kHz, 20 kHz to 100 kHz, 20 kHz to 200 kHz, 20 kHz to 300 kHz, 20 kHz to 400 kHz, or 20 kHz to 500 kHz). In particular embodiments, the pulsed energy source is a nanosecond laser. For instance, the nanosecond laser can have a pulse duration of between about 1 ns to about 400 ns, a wavelength of about 1064 nm, an average power of more than about 2 watts (e.g., more than about 20 watts), a repetition rate of about 15 kHz to about 300 kHz, and/or a beam diameter of from about 10 μm to about 100 μm. In other embodiments, the pulsed energy source is a femtosecond laser. For instance, the femtosecond laser can have a pulse energy of more than about 1 mJ, a repetition rate of between about 1 kHz to about 100 kHz, an applied power of from about 5 mW to about 10 mW, and/or a wavelength of about 800 nm. In some embodiments, the surface is a substrate for absorption of solar energy (e.g., a CSP receiver, a solar tower, a trough, a Stirling engine, a heat absorber, a solar collector, or any useful substrate, such as any described herein, or a portion thereof). In another aspect, the invention features a solar absorptive coating formed by any method described herein. As used herein, the term “about” means+/−10% of any recited value. As used herein, this term modifies any recited value, range of values, or endpoints of one or more ranges. The term “ceramic material” usually refers to an inorganic, essentially non-metallic protective coating, on a substrate (e.g., a metal substrate) suitable for use at or above about 500° C. However, the term ceramics both with regard to a ceramic filler, and the ceramic coating of this invention, includes all engineering materials or products that are chemically inorganic (e.g., except metals and metal alloys), and also includes composites, such as ceramic-metal combinations and other combinations of ceramic materials involving organic filler materials. By “micro” is meant having at least one dimension that is less than 1 mm. For instance, a microstructure (e.g., any structure described herein) can have a length, width, height, cross-sectional dimension, circumference, radius (e.g., external or internal radius), or diameter that is less than 1 mm. By “nano” is meant having at least one dimension that is less than 1 μm. For instance, a nanostructure (e.g., any structure described herein) can have a length, width, height, cross-sectional dimension, circumference, radius (e.g., external or internal radius), or diameter that is less than 1 μm. As used herein, the terms “top,” “bottom,” “upper,” “lower,” “above,” and “below” are used to provide a relative relationship between structures. The use of these terms does not indicate or require that a particular structure must be located at a particular location in the apparatus. Other features and advantages of the invention will be apparent from the following description and the claims. The present invention relates to solar absorptive coatings, as well methods of making and using such coatings. Such coatings, methods, and uses are described herein. Solar Absorptive Coating Generally, the solar absorptive coatings of the invention include a ceramic material (e.g., any described herein). Upon treatment with a pulsed laser source, the ceramic material undergoes substantial darkening and displays increased solar absorptivity, as compared to a surface without laser treatment. Without wishing to be limited by mechanism, this resultant increase in solar absorptivity likely arises from the creation of nanostructures on the treated surface. Such nanostructures have dimensions smaller than the wavelength of light in the solar spectrum (e.g., about 250-2500 nm) and likely promote photon scattering at the surface. The coatings of the invention can be directed applied to a substrate of interest. Alternatively, the coating can be integrated as a component of a multilayered coating. Exemplary layers in a multilayer coating include a thermal expansion management layer, a protective layer (e.g., an Al2O3 layer), an absorber layer, a roughening template layer, a reflector layer, a high metal volume fraction (HMVF) layer, a low metal volume fraction (LMVF) layer, a dielectric layer, an anti-reflective layer, etc. In particular embodiments, the solar absorptive coating of the invention is included in the absorber layer of a multilayered coating or any layer described herein. In another example, the multilayered coating can include one or more of the following layers configured to provide a gradual variation in refractive index, thereby providing efficient absorption by phase interference: a reflector layer (e.g., an infrared reflective mirror layer, such as a Cu or Mo layer), an HMVF layer (e.g., a HMVF ceramic-metal composition or cermet layer), a LMVF layer (e.g., a LMVF cermet layer), and/or an anti-reflective layer (e.g., an SiO2 layer). In some embodiments, the HMVF layer, LMVF layer, and/or anti-reflective layer include the solar absorptive coating of the invention. Methods of testing such coatings are described in Kennedy C E et al., Progress in development of high-temperature solar-selective coating, Proc. ISEC2005, 2005 International Solar Energy Conference Aug. 6-12, 2005, Orlando, Fla. USA, 7 pages; Kussmaul M et al., “Ion beam treatment of potential space materials at the NASA Lewis Research Center,” Surf Coatings Technol. 1992 April; 51(1-3):299-306; Tsukamoto M et al., “Periodic microstructures produced by femtosecond laser irradiation on titanium plate,” Vacuum 2006; 80:1346-50; and Nejati M, “Cermet based solar selective absorbers; further selectivity improvement and developing new fabrication technique,” Dr.-Ing. Dissertation for the Universitat des Saarlandes, 2008, 190 pages, each of which is incorporated herein by reference in its entirety. Methods of Making Solar Absorptive Coating The present invention includes methods of making solar absorptive coatings, as well as applying such coatings to structures or substrates and integrating such coatings as components of a multilayered coating. In general, the coatings of the invention are made by applying a ceramic material (e.g. any described herein, such as a ceramic oxide) to a surface, optionally curing the applied ceramic material to form a coated surface, and then treating the coated surface with a laser source. The ceramic material can be applied by any useful method, such as thermal spraying (e.g., air plasma spraying), dip coating, spin coating, roll coating, spray coating, physical vapor deposition, chemical vapor deposition, electrodeposition, electroless deposition, anodization, chemical conversion, sol-gel deposition, spray pyrolysis, sputtering (e.g., radiofrequency planar sputtering, direct current sputtering, triode sputtering, reactive sputtering, glow discharge sputtering, and magnetron sputtering), evaporation (e.g., cathodic arc evaporation), ion plating, annealing, photolithography, e-beam lithography, holography, embossing, and laser patterning. Optionally, the ceramic material can be cured, hardened, or annealed. The coated surface having the ceramic material is then treated with a laser source. In some embodiments, the laser source is a pulsed laser source. In particular, for some surfaces, we have observed that a nanosecond laser provided enhanced reduction in reflectance, as compared to a femtosecond laser. In addition, nanosecond laser treatment provides other benefits, such as increased translation speed of the laser beam, reduced treatment time, and lower cost, as compared to the femtosecond laser treatment. The laser source can have any useful characteristics, such as optimized laser wavelength (e.g., 1064 nm, 800 nm, etc.), laser pulse frequency (e.g., 1 kHz, 50 kHz, etc.), laser pulse width (e.g., 100 fs, 200 ns, etc.), pulse repetition rate, power, power density, fluence, scan rate, scan pattern, beam spot size, etc. Exemplary laser sources include a pulsed fiber nanosecond laser, an infrared laser, a Ti:sapphire laser, etc. The laser source can be used with any other component(s) to facilitate treatment, such as an energy attenuator, a shutter, a filter, a lens, a mirror, a scanning head, etc. For general use, laser treatment can occur in ambient air conditions. Optionally, laser treatment can be conducted in laboratory conditions, such as with controlled pressure under vacuum. Multicomponent coatings can also be made, such as those forming multilayered coatings, graded coatings, or blended coatings. Methods for making such multicomponent coatings include blending one or more materials into a single feedstock or an agglomerated powder, pre-alloying materials to form a single block, fusing multiple layers, as well as other deposition methods described herein, such as spray drying. Additional methods of making and testing coatings are described in Selvakumar N et al., “Review of physical vapor deposited (PVD) spectrally selective coatings for mid- and high-temperature solar thermal applications,” Solar Energy Mater Solar Cells 2012 November; 98:1-23; and Zhang Q C, “Recent progress in high-temperature solar selective coatings,” Solar Energy Mater Solar Cells 2000; 62:63-74, each of which is incorporated herein by reference in its entirety. Materials The solar absorptive coating can include any useful material (e.g., ceramic materials) that displays increased solar absorptivity upon treatment with a pulsed laser source. The material can be a ceramic material, such as a ceramic oxide, a dielectric, or a cermet. In some embodiments, the material is a ceramic oxide. Exemplary ceramic oxides include chromium oxide, such as Cr2O3, Cr2O3-xTiO2 (e.g., where x is 2-40 nominal wt. % (nom. wt. %), such as x is 40 nom. wt. %), Cr2O3-xSiO2-yTiO2 (e.g., where x is 4-5 nom. wt. % and y is 3 nom. wt. %); lanthanum-based perovskites, such as lanthanum strontium manganite (e.g., LaSrMnO3, such as (La0.8Sr0.2)xMnO3, where x is 0.8 to 1 (e.g., x is 0.98), or LaySrzMnO3, where y+z=1), lanthanum strontium chromite (e.g., LaxSryCrO3, such as La0.84Sr0.16CrO3 or where x+y=1), lanthanum calcium manganite (e.g., LaxCayMnO3, such as La0.8Ca0.2MnO3 or where x+y=1), lanthanum strontium ferrite (e.g., LaxSryFeO3, such as La0.6Sr0.4FeO3 or where x+y=1), lanthanum strontium cobaltite ferrite (e.g., LaSrCoFeO3, such as La0.6Sr0.4Co0.2Fe0.8O3 or La0.78Sr0.2Co0.2Fe0.8O3, where subscripts refer to mol. %), and lanthanum strontium gallate magnesite (e.g., La0.8Sr0.2Ga0.8Mg0.2O3); manganese cobalt oxide, such as Mn1.5Co1.5O4; silicon oxide, such as SiO; cerium oxide, such as CeO2; zinc oxide, such as ZnO; aluminum oxide, e.g., Al2O3, Al2O3-xTiO2 (e.g., where x is 2-50 nom. wt. %, such as x is 3, 13, or 40 nom. wt. %), Al2O3-xTiO2-ySiO2-zZrO2 (e.g., where x is 32, y is 8.5, and z is 3), Al2O3-xSiO2 (e.g., where x is 8-25), Al2O3-xMgO (e.g., where x is 24), and Al2O3-xZrO2 (e.g., where x is 23); magnesium oxide, such as MgO; titanium oxide, such as TiOx (e.g., where x is 1.7, 1.8, 1.9, or 2), BaTiO2, or TiO2-xCr2O3 (e.g., where x is 45 nom. wt. %); strontium oxide, such as SrO; zirconium oxide, such as ZrO2 or stabilized ZrO2 including ZrO2-xY2O3 (e.g., where x is 7-25 nom. wt. %), ZrO2-xY2O3-yHfO2 (e.g., where x is 7.5 and y is 1.8), ZrO2-xCeO2 (e.g., where x is 25 nom. wt. %), ZrO2-xCeO2-yHfO2 (e.g., where x is 21 nom. wt. % and y is 1.5 nom. wt. %), ZrO2-xCeO2-yY2O3 (e.g., where x is 25 nom. wt. % and y is 2.5 nom. wt. %), ZrO2-xTiO2-yY2O3 (e.g., where x is 18 nom. wt. % and y is 10 nom. wt. %), ZrO2-xCaO (e.g., where x is 5-24 nom. wt. %), ZrO2-9.5Y2O3-5.6Yb2O3-5.2Gd2O3, and ZrO2-xMgO (e.g., where x is 5-24 nom. wt. %); hafnium oxide, such as HfO2; vanadium oxide, such as VO2; nickel oxide, such as NiO; and indium oxide, such as In2O3 or In2O3-10SnO2. Such ceramic oxides can optionally include one or more dopants (e.g., Sr) and/or can optionally be alloyed (e.g., with SiO2 and/or TiO2 to improved toughness and mechanical shock resistance). Commercially available ceramic oxides and mixed forms thereof include those available from Sulzer Metco Inc., Westbury, N.Y. (under the trade names Metco™), Saint-Gobain Ceramics and Plastics, Inc., Worcester, Mass., and Bay State Surface Technologies, Auburn, Mass. In particular embodiments, the ceramic material has a perovskite structure, such as ABO3, where A is Ca, La, Sr, or Ba; B is Co, Cr, Mn, Fe, Ti, or Al; and optionally including one or more dopants (e.g., Sr, Mg, Ca, Ba, Ni, Ru, silicate, Ag, or Ga). Another exemplary perovskite structure includes AxA′1-xBO3, wherein each A and A′ is differently and independently selected from the group of Ca, La, Sr, Mg, and Ba; and B is Co, Cr, Mn, Fe, Ti, or Al. Exemplary perovskite materials include LaMnO3, LaSrMnO3, LaBaMnO3, LaCaMnO3, LaMgMnO3, SrMnO3, LaCoO3, LaSrCoO3, LaBaCoO3, LaCaCoO3, LaMgCoO3, and SrCoO3. In some embodiments, the material is a dielectric, such as Al2O3, SiO2, CeO2, AN, ZrO2, ZnS, as well as any ceramic or cermet material described herein. In other embodiments, the material is a composite material including metal particles in a matrix of a ceramic material (i.e., a cermet). Exemplary cermets include an alumina-based cermet having Al2O3 as the ceramic material, such as Ni—Al2O3 (e.g., Al2O3-30(Ni20Al), which is a 29-31 wt. % Ni20Al chemically clad nickel-aluminum (Ni 20% Al) powder in a fused and crushed aluminum oxide ceramic material, available as Metco™ 41 ONS from Sulzer Metco Inc.), Co—Al2CO3, Cr—Al2CO3, V—Al2CO3, Ag—Al2O3, Mo—Al2O3, Cu—Al2O3, W—Al2O3, Pt—Al2O3, and Au—Al2O3; a magnesium zirconate-based cermet, such a MgZrO3-35NiCr or MgZrO3-26Ni7Cr2Al, which is a 34-36 nom. wt. % nickel-chromium or nickel-chromium-aluminum powder in a magnesium zirconate ceramic material, available as Metco™ 303NS-1 and Metco™ 441NS-1 from Sulzer Metco, Inc.; Au—MgO; Cr—Cr2O3 (also known as black chrome); Mo—Cr2O3; Ni—ZnS (also known as black nickel); Ni—NiOx; Ni—MgF2; Co—Co3O4 (also known as black cobalt); Mo—MoO2 (also known as black moly); W—WOx (also known as black tungsten); TiNxOy; Mo—AlN; W—AlN; or graded composites thereof. The material can also include one or more intrinsic absorbers, which are materials that intrinsically display solar selective properties. Exemplary intrinsic absorbers include metals (e.g., metallic W or doped metals, such as MoO3-doped Mo), silicon (e.g., Si doped with B), fluorides (e.g., CaF2), carbides (e.g., HfC), borides (e.g., ZrB2 or LaB6), or oxides (e.g., SnO2, In2O3, Eu2O3, ReO3, and V2O5). In yet other embodiments, the material is a carbide, such as titanium carbide (e.g., TiC), silicon carbide (e.g., SiC), boron carbide (e.g., B4C), chromium carbide (e.g., Cr3C2, Cr3C2-x(Ni-20Cr) (e.g., where x is 7-50), and Cr3C2-x(NiCrAlY) (e.g., where x is 25)), vanadium carbide (e.g., VC), tungsten carbide (e.g., WC, W2C, WC-xCo-yCr (e.g., where x is 10-20 and y is 0-4), W2C/WC-xCo (e.g., where x is 12), (WC-12Co)-25(Ni base super alloy), and (WC-12Co)-35(Cr3C2)-20(Ni-20Cr)), hafnium carbide (e.g., HfC), or tantalum carbide (e.g., TaC); a nitride, such as titanium nitride (e.g., TiN); a silicide, such as molybdenum silicide (e.g., MoSi2); a boride, such as hafnium boride (e.g., HfB2) or zirconium boride (e.g., ZrB2); a refractory metal (e.g., W, Ta, Mo, or Nb); a metal spinel oxide (e.g., AB2O4, where A, B=Ni, Co, Fe, or Cu) as well as mixtures thereof. The ceramic materials can be included in any useful base, such as a resin (e.g., polyethylene, polyester, or polypropylene), to form a paint. The physical characteristics of the ceramic material can be optimized for application to the surface. Such characteristics include particle size, degree of dispersion, volume fraction, scattering properties, wetting properties, etc. Exemplary paints and ceramic materials are described in Wijewardane S et al., “A review on surface control of thermal radiation by paints and coatings for new energy applications,” Renewable Sustainable Energy Rev. 2012; 16:1863-73, which is incorporated herein by reference in its entirety. One or more of the following can be included to improve material processing or material properties, such as one or more additives, such as a detergent, e.g., Triton® X, such as to improve wetting; binders, e.g., polyvinyl alcohol; pigments, such as manganese ferrite black spinel (e.g., FeMnOx); resins; and stabilizers, e.g., TiO2. Further materials are provided in Ambrosini A et al., “Improved high temperature solar absorbers for use in concentrating solar power central receiver applications,” Sandia Report SAND2010-7080 (October 2010), 43 pages; and Kennedy C E, “Review of mid- to high-temperature solar selective absorber materials,” National Renewable Energy Laboratory Technical Report NREL/TP-520-31267 (July 2002), 58 pages, each of which is incorporated herein by reference in its entirety. Uses The present invention also includes use of the solar absorptive coating on one or more substrates and structures that would benefit from increased solar absorptivity. Such structures include those useful for solar collectors, such as solar absorption coatings on flat plate collectors, evacuated tube collectors, concentrating collectors, solar towers, receivers, Concentrating solar power (CSP) systems employ solar absorbers to convert collected sunlight into electric power. In general, a CSP employs mirrors to focus sunlight onto a receiver, which is configured to capture the collected light and convert this light into heat. For example, in a power tower system, mirrors (heliostats) are arranged to focus light onto a receiver that is mounted atop of a tall power tower. In the receiver, the heat is absorbed by a fluid or a molten material (e.g., molten salts, such as nitrate salts) and then used to boil water into steam, which in turn is conveyed to a steam turbine generator to produce electricity. In another example, a dish system includes a parabolic dish that concentrates sunlight to a receiver, which is mounted at the focal point of the dish. In this integrated assembly, the receiver includes a combustion engine having gas tubes that can be heated by the concentrated sunlight, which results in gas expansion to drive the piston, crankshaft, and electric generator connected to the engine. In yet another example, a trough system employs a parabolic U-shaped reflector having a receiver mounted along the focal point of the reflector. The receiver (e.g., a hear collection element) is generally a tube coated with a solar absorptive coating. Within the tube, a fluid (e.g., an oil) absorbs heat, which in turn is used to boil water, form steam, and drive a steam turbine generator. In any of these systems, the receiver or any other surface can include one or more solar absorptive coatings of the invention to promote light adsorption. In CSP systems, efficiency can be increased by improving the efficiency of solar collectors, increasing operating temperatures, and/or increasing power cycle efficiency. In one embodiment, efficiency can be increased by including one or more solar absorptive coatings on a solar receiver or the heat-collection element of CSPs, thereby increasing the current operation limit to 400° C. In particular embodiments, the coating is thermally stable above about 500° C. in air. In some embodiments, the coating has high spectral selectivity, such as high absorptance in the solar spectrum (e.g., λ<2.5 μm) and low thermal emittance in the thermal infrared spectrum (e.g., λ>2.5 μm). In other embodiments, the coating has a low reflectance p at wavelength λ≦2 μm (e.g., ρ˜0), a high reflectance ρ at λ≧2 μm (e.g., ρ˜1), a high solar absorptance a at wavelength λ≦2 μm (e.g., α≧0.96), and/or a low thermal emittance ∈ (e.g., ∈≦0.07 at 400° C. in the infrared region, λ≧2 μm). The solar absorptive coatings of the invention can be renewed after its first application. For instance, CSP systems generally have a long lifetime (e.g., over 30 years). In addition, these systems encounter daily thermal cycling. Over the years, various factors can contribute to degradation of the solar absorptive coating, such as high thermal load, high water condensation, and environmental exposure to sun, heat, debris, and wind. Thus, renewable surfaces would be beneficial. The present invention also allows for renewing a coating by treating the surface with a laser after the first application of the coating. Accordingly, the present invention also includes methods of renewing a coated surface by treating the surface with a laser source. The coated surface can include any coating, such as Pyromark® 2500, a high-temperature silicone-based paint including manganese ferrite black spinel as the black pigment (available from LA-CO Indus., Inc., Elk Grove Village, Ill.) or any coating described herein (e.g., the solar absorptive coating of the invention). Substrate The solar absorptive coating of the invention can be applied to any useful surface of a substrate. Exemplary substrates include a surface for absorption of solar energy, such as surfaces on CSP receivers, solar towers, troughs, Stirling engines, heat absorbers, and solar collectors; a metal substrate, such as stainless steel, carbon steel, austenitic superalloys, Ni—Cr-based superalloys (e.g., Inconel®600, 601, and 690, from Special Metals Corp., New Hartford, N.Y.; Ni—Cr—Al-based alloys, such as Inconel® 693; Ni—Cr—W-based alloys, including Co—Ni—Cr—W alloys and Ni—Cr—W—Mo alloys, such as Haynes®25, 188, and 230 from Haynes Int'l, Inc., Kokomo, Ind.; Fe—Ni—Co—Cr-based alloys, such as Haynes®556; Ni—Cr—Mo—Nb-based alloys, such as Inconel® 725 and Haynes®625; Fe—Ni—Cr-based alloys, such as Inconel®706 and Haynes®HR-120®; Ni—Cr—Mo-based alloys, such as Inconel® 625, 625LFC® and Ni—Cr—Co—Mo-based Inconel®617, as well as Hastelloy®S from Haynes Intl, Inc.; Ni—Co—Cr—Si-based alloys, such as Haynes®HR-160®; and Ni—Fe—Cr—Mo-based alloys, such as Hastelloy®X); and a substrate undergoing thermal cycles having an upper range of 300-800° C. or higher. The solar absorptive coating can be applied directly or indirectly onto the substrate. For direct application, the coating is in contact with the surface of the substrate. For indirect application, the coating can be a component of a multilayered coating stack, such that the solar absorptive coating of the invention is embedded within the multilayered stack or located on the top surface of the multilayered stack. In addition, one or more interleaving layers or structures can be present between the solar absorptive coating and the surface of the substrate. Exemplary interleaving layers include a roughening template layer, a reflector layer, an anti-reflective layer, etc. The present invention relates to solar absorptive coatings formed by laser treatment. Here, we compare treatment with a femtosecond laser and a nanosecond laser. Results of these experiments are provided in FIG. 1A-1B. The femtosecond laser treated sample shown in FIG. 1A-1B was treated using an 800 nm Spectra-Physics® laser capable of 100 Femtosecond pulsed output at 1 khz pulse frequency. The laser was operated at the following conditions: 16 mW laser power, 1 kHz pulse rate, 1 mm/s traverse rate, 5× objective lens, and a 0.010 mm×0.010 mm raster pattern. The laser-treatment was conducted in an evacuated chamber at pressures ranging from 500 mTorr-6 Torr. In an effort to reduce the time required for laser treatment, a nanosecond laser equipped with an optical scanning head was used. The femtosecond laser used herein had a fixed beam path and was not equipped with an optical scanning head. Laser beam translation was accomplished by moving the sample using a high-precision mechanical stage. Thus, the maximum laser traverse speed was limited by the maximum speed of mechanical stage (˜1 mm/s). In contrast, the nanosecond laser included a scanning head, which allowed the laser's focal point to be moved optically while the substrate remains fixed. This greatly increased the translation speed of the laser beam (e.g., data are shown for a nanosecond laser having a translation speed of 50 mm/s), thus reducing the time required for laser treatment. For nanosecond laser treatment, an SPI G3 pulsed fiber nanosecond laser with a beam expander set at 8× attached to Nutfield XLR8 scan head was used to laser treat a Cr2O3 sample. A LINOS f163 lens was used to focus the beam on sample. The laser treatment parameters used were laser power of 5 W (commanded), beam translation speed of 50 mm/s, laser pulse frequency of 50 kHz, laser pulse width of 200 ns, a serpentine raster, raster step size of 40 μm, and an incident beam spot size of 50 μm. Faster translation speeds are possible when employing an optical scanning head. Treatment with the femtosecond or nanosecond laser was successful in darkening the Cr2O3 sample. Furthermore, the Cr2O3 region treated with the nanosecond laser appeared to be darker than the Cr2O3 region treated with the femtosecond laser (FIG. 1A). Reflectance measurements confirm this observation (FIG. 1B). Under these conditions, nanosecond laser treatment was faster than femtosecond laser treatment and provided better results. It is important to note that darkening mechanism associated with nanosecond laser treatment may be different than the darkening mechanism associated with femtosecond laser treatment. Nanosecond laser treatment has other benefits, such as reduced cost. Regardless of traverse speed, nanosecond laser treatment will be less costly than femtosecond laser treatment because nanosecond lasers are significantly less expensive than femtosecond lasers. The SPI G3 nanosecond laser used above costs about ten times less than the femtosecond laser. Lower cost equipment that accomplishes laser treatment significantly faster will undoubtedly result in lower laser treatment cost. Analysis of plasma sprayed Cr2O3 and LSM coatings modified using laser surface treatment are discussed. Both laser-treated coatings exhibited solar absorptivity figures of merit similar to Pyromark®-2500, the industry standard SPT receiver coating. Identifying the mechanism for the high figure of merit is critical to successfully evaluating the coating's long term high temperature performance. Thermal spray coating processes prepare thick (>25 microns) metal and ceramic coatings via droplet deposition. A feed stock material, typically powder, is melted and propelled toward the substrate. When the feed stock droplets impact, they deform, solidify, and build a coating. Unlike other coating technologies, thermal spray processes allow rapid coating of large substrates without a vacuum chamber. Just as many different welding process exist (e.g. laser welding, electron beam welding, and shielded metal arc welding), many different thermal spray processes exist. All coatings discussed in this example were prepared using the Air Plasma Spray (APS) process. The APS process melts and propels feed stock using an inert gas plasma. When the feed stock droplets impact the substrate they deform, solidify, and consolidate to form a lamellar coating. Air plasma spray torches can effectively work high melting point materials because the plasma is an extremely high temperature heat source, ˜10,000° C. Air plasma spray torches are relatively portable and can coat large substrates in the field. Chrome oxide is a common plasma spray coating. Chrome oxide melts at 2435° C. (4415° F.). Also, it is extremely stable thermally, extremely hard, and insoluble in water, acids, and alkalis. Plasma sprayed Cr2O3 is dark green to black in color. It finds application as a high temperature, wear resistant surface for pumps and impeller housing. Chrome oxide coatings are also commonly used as the laser engravable surface for Anilox printing rolls (Sulzer-Metco Technical Bulletin #10-658, “AMDRY® 6420 Chromium Oxide Powder For Wear Resistant Coatings,” October 2000). Plasma sprayed chrome oxide coatings are used at service temperatures of 815° C. (1500° F.) or higher. Lanthanum Strontium Manganite (LSM) is a single-phase perovskite ceramic material. It is also commonly plasma sprayed and finds application as an electrolyte for Yittria-Stabilized Zirconia (YSZ) based solid oxide fuel cells (Sulzer-Metco Material Product Data Sheet for Lanthanum Strontium Manganite (LSM) Powder, #DSMTS-0024.2, 2012). In this application, LSM coatings are routinely used at service temperatures up to 800° C. (1475° F.). Lanthanum Strontium Manganite is actually a family of perovskite materials with various specific compositions. Most LSM's exhibit melting points in excess of 1000° C. (Jiang S P, “Development of lanthanum strontium manganite perovskite cathode materials of solid oxide fuel cells: a review,” J. Mater. Sci. 2008 November; 43(21):6799-833). The LSM investigated here was (La0.8 Sr0.2)0.98 MnO3. Experimental Procedures Coating preparation: All coatings were prepared using a TriplexPro®-210 air plasma spray torch (Sulzer-Metco, Inc. Westbury, N.Y.). The torch was mounted on an ABB IRB-6600 six axis robot which controlled the spray path. Commercially available feed stock powders optimized for thermal spray were used. Table 1, below, identifies the feed stock powder and provides the exact torch operating conditions used to prepare both the Cr2O3 and Lanthanum Strontium Manganite (LSM) coatings. TABLE 1Process parameters and set points used to prepare Cr2O3 and LSM coatingsProcess ParameterCr2O3 Set PointLSM Set PointSpray TorchTriplexPro ®-210TriplexPro ®-210Current470 A400 AArgon47.5 SLPM46 SLPMHelium35 SLPM0 SLPMNozzle9 mm9 mmPowder Injector1.8 mm1.8 mmInjector Holder90°-Long90°-LongPowder HopperSulzer-Metco Sulzer-Metco 9MP-CL9MP-CLPowder Gas Flow 3.5 SLPM5.0 SLPM(Argon)Powder Hopper pressure200 mbar200 mbarPneumatic Vibration 2000 mbar2000 mbarpressurePowder Feed Rate30 g/min30 g/minAir Jet Cooling pressure4 Bar4 BarSpray PatternRasterRasterStand Off Distance6 in6 inNumber of passes3 passes10 passesTraverse speed999 mm/s800 mm/sStep speed500 mm/s500 mm/sStep size5 mm5 mmPowderPP-39 (Bay StateMetco ™-6800Surface Technologies,Inc., Auburn, MA)Nominal Composition99% Cr2O3(La0.8Sr0.2)0.98 MnO3 The Cr2O3 feed stock powder had a fused and crushed morphology, and is not expected to break during feeding. Energy dispersive spectroscopy (EDS) analysis confirmed the presence of Ti, Si, and Zr impurities. Laser surface treatment: After spraying, both coatings were treated with a Femtosecond laser with the intention of increasing their roughness. Other surfaces have shown increased absorptivity when roughened (Brown R J C et al., “The physical and chemical properties of electroless nickel-phosphorus alloys and low reflectance nickel-phosphorus black surfaces,” J. Mater. Chem. 2002; 12:2749-54). Laser-treatment was conducted using an 800 nm Spectra-Physics® laser capable of 100 femtosecond pulsed output at 1 kHz pulse frequency. Three laser-treatment conditions were used as indicated in Table 2, below. Laser-treatment was conducted in an evacuated chamber at pressures ranging from 500 mTorr-6 Torr, although laser-treatment can be conducted in air. A vacuum chamber was used because it protects personnel from any material that could evolve from the coating surface during laser-treatment. TABLE 2Process parameters and set points used for Cr2O3 and LSM laser-treatmentProcess ParameterSet Point 1Set Point 2Set Point 3Laser Power8 mW16 mW16 mWPulse Rate1 kHz 1 kHz 1 kHzTraverse rate1 mm/s 1 mm/s 1 mm/sRaster pattern size0.010 mm × 0.010 mm × 0.010 mm × 0.010 mm0.010 mm0.010 mmObjective lens20×20×5× X-ray diffraction analysis: Micro-X-ray-Diffraction (μ-XRD) was employed because of the small sample size. In this way, a spatially specific analysis could be performed. μ-XRD data were collected using a Bruker D8 diffractometer with GADDS (Hi-Star area detector) and a eulerian texture cradle equipped with an XYZ translation stage (Bruker-AXS, Inc., Madison, Wis.). The D8 system employed a sealed-tube (Cu Kα) X-ray source with an incident beam mirror optic (for removal of Kβ radiation). A 500 μm pinhole snout was used as an incident-beam optic to generate a small, collimated beam suitable for μ-XRD analysis. Area detector frames were collected at two positions of 20, selected such that the 1D 2θ scans, obtained by integration of a portion of the area detector frame, would overlap. The count time for each frame was 300 seconds. 1D scans were integrated within the GADDS software and merged and analyzed within the program JADE, ver.9.4.1 (Materials Data Inc., Livermore, Calif.). Surface roughness analysis: All surface roughness data were collected using a Model Wyko NT1100 Scanning White Light Interferometer manufactured by Veeco Instruments Inc., Plainview, N.Y. The instrument was operated in vertical scanning mode (100 micron scan) at 50.3× effective magnification. The field of view at 50.3× magnification was 126 microns by 94 microns. All analysis was conducted using Vision 3D Analysis Software, Bruker Corporation, Tucson, Ariz. Because the Wyko is an interferometric measurement instrument that relies on capturing light scattered from the sample measurement error is introduced by high angle surfaces that do not scatter light efficiently into the optical system. In practice, the Wyko measurement error increases as more high aspect ratio features are present on a surface. Scanning electron microscopy and EDS analysis: A Carl Zeiss Supra™ 55VP Scanning Electron Microscope was used to image both the as-sprayed and laser-treated Cr2O3. The microscope was operated at 5 kEv and a working distance of 7.5 mm, with varying magnification. Images were obtained using secondary (SE2) and backscatter (BSC) detection. Energy Dispersive X-ray Spectroscopy (EDS) was conducted using an Oxford X-Max detector and AZtec® software. The Cr2O3 sample was not coated prior to SEM imaging, as is common for insulating samples. Focus ion beam analysis: An FEI Helios dual platform focused ion beam (FIB) tool equipped with both a Ga+ ion column and a scanning electron microscope column was used to produce cross sections of the sample normal to the deposition surface for both SEM imaging with energy dispersive x-ray spectrometry (EDS) and TEM imaging with EDS. Localized Platinum deposition was used to protect the surface of the sample from direct ion beam irradiation. SEM samples were prepared of selected areas by milling trenches normal to the deposition surface. The sample was then imaged, and EDS was performed directly from the ion milled surface. Thin samples for TEM analysis were produced by the in situ lift-out technique. In this method, a selected area of the sample is cut free using the ion beam. The cut sample is transferred to a support grid where final ion thinning was conducted. High resolution transmission electron microscopy: All scanning transmission electron microscopy was conducted with a FEI Company Titan G2 80-200 microscope outfitted with ChemiSTEM technology. The scope was operated at 200 kV using a high-brightness Schottky electron source and a spherical aberration corrector. A silicon drift detector (SDD) energy-dispersive x-ray detector array with a combined solid angle of collection of 0.7 steradians was used for all energy-dispersive x-ray analysis. All TEM samples were prepared and thinned using the same Focused Ion Beam microscope described above. Solar property characterization: Solar absorptance a (solar weighted or αsolar) measurements were performed on a Device and Service Company solar spectrum reflectometer that was calibrated with a white diffuse standard (α=0.198) and was weighted to provide a measurement spectrum of that closely approximates the air mass solar spectrum. Thermal emittance (∈80° C.) measurements were performed using an AZ Technologies Temp 2000 infrared reflectometer with an 80° C. black body source. A gold standard (∈=0.02) and a black standard (∈=0.908) were used to calibrate the instrument. Diffuse reflectance (absorptance, αUltraviolet) from wavelengths of 200-2400 nm and emittance at 2400 nm (∈2400 nm) were measured at room temperature on a Shimadzu UV-3600 IV/VIS/NIR Spectrophotometer. A BaSO4 reference standard was used for calibration. The performance of each test coupon was ranked using a figure of merit (FOM) defined by the following equation: FOM = α solar ⁢ Q - ɛ ⁢ ⁢ σ ⁡ ( T 4 - T surr 4 ) Q , ( Eq . ⁢ 1 ) where αsolar is the solar absorptance, Q is the concentrated solar irradiance on the receiver (assumed to ˜60 W/cm2 or ˜600 suns), ∈ is the emittance, σ is the Steffan-Boltzmann constant (5.67×10−12 W/cm-K4), T is the surface temperature of the receiver (assumed to be 973 K), and Tsurr is the temperature of the surroundings (assumed to be 293 K). As an approximation, the emittance at the assumed surface temperature was calculated to be the average of the emittance at 80° C. (∈80° C., from the IR reflectometer) and the emittance at 2400 nm (∈2400 nm, from diffuse reflectance). The emittance from diffuse reflectance is assumed to equal the absorptance at these wavelengths according to Kirchhoff's Law. The emittance term provides an estimate of the average value of this property over the wavelength spectrum of interest from the data generated and is meant for comparison purposes only. The numerator in the FOM is the net absorbed radiation by the receiver, and the denominator is the net absorbed radiation by an ideal absorber (αsolar=1, ∈=0). This figure of merit recognizes that maximizing absorptance at the receiver does more to improve SPT efficiency than minimizing the emittance from the receiver at the irradiance and surface temperature being considered. Results The as-sprayed Cr2O3 coating samples were dark green and exhibited a figure of merit ranging from 0.80-0.83 (Table 3). The as-sprayed LSM sample was black and exhibited a figure of merit of 0.82 (Table 3). These figures of merit are reasonable, but not good enough to warrant consideration by themselves. Other investigators have reported improvements in absorptivity after roughening a surface (see, e.g., Brown R J C et al., J. Mater. Chem. 2002; 12:2749-54). Thus, roughening of both surfaces using a femtosecond laser was explored. Laser treatment results: Laser-treatment of the Cr2O3 coating was accomplished by rastering an 800 nm femtosecond laser across the coating surface in air. The 0.010 mm×0.010 mm features in FIG. 2 were created using Set Point 1 in Table 2 (left region in FIG. 2) and Set Point 2 in Table 2 (right region in FIG. 2). Because of the significant darkening that was observed, a larger area (˜¾″×¾″) was laser-treated using Set Point 2 in Table 2. This larger feature, shown in FIG. 3, was created to provide sufficient sample area for measurement of optical properties. It was also analyzed to determine the mechanism responsible for the color change. A similar procedure was used to laser-treat the LSM surface (FIG. 4). As with the Cr2O3, laser-treatment caused visible darkening of the LSM surface. Solar performance (FOM) measurements: Solar absorptance and emissivity measurements were made in both the as-sprayed and laser-treated regions of the Cr2O3 and LSM samples. These data and the calculated Figure of Merit are shown in Table 3. For comparison purposes, samples of Pyromark®-2500, deposited and cured according to manufacturer specifications have a relative FOM of 0.89, when measured using the same technique (Ho C K et al., “Characterization of Pyromark 2500 for high-temperature solar receivers,” Proc. ASME 2012 Energy Sustainability and Fuel Cell Conference, San Diego, Calif., Jul. 23-26, 2012, Paper No. ES2012-91374, pp. 509-518). TABLE 3Solar property measurements comparing the as-sprayed and laser-treated coatingsSampleαsolarε80°C.ε2400nmFOMCr2O3 #1 As-Sprayed0.9020.8660.8760.829LSM #1 As-Sprayed0.8930.8570.8630.821Cr2O3 #1 Laser-Treated0.9590.8540.9270.885Cr2O3 #2 Laser-Treated0.9410.7430.8380.875Cr2O3 #3 Laser-Treated0.9540.7330.9020.886Cr2O3 #4 Laser-Treated0.9480.7590.9000.879LSM #1 Laser-Treated0.9580.8980.6750.892 The solar absorptance measurements in Table 3 show that both the laser-treated Cr2O3 and LSM surfaces exhibit a FOM similar to Pyromark®-2500 (FOM=0.89). These data also show that in both cases solar absorptivity increased more than emissivity decreased. These results are extremely important because laser-treated Cr2O3 and LSM are both ceramic materials and, thus, are likely to be significantly more durable at high temperature than organic based Pyromark®-2500. Samples #2-4 in Table 3 were laser-treated using Set Point 3 in Table 2. One of these sample (sample #2), was left over from an earlier thermal aging experiment. During that experiment, the Cr2O3 sample was thermally aged in air at 600° C. for two weeks, at 700° C. for two weeks, and then at 800° C. for four and one half days. Interestingly, the thermally-aged sample did not perform significantly differently after laser-treatment when compared to the un-aged samples. This suggests that laser-treatment could be used to “refresh” a Cr2O3 coating if its optical properties degrade during use on a power tower. Before determining that laser-treated Cr2O3 and LSM actually are alternatives to Pyromark®-2500 the long term (about 30 years) thermal stability of these surfaces must be assessed. Identification of the microstructural features responsible for the observed solar property changes are critical because this will identify what must be evaluated in order to predict aging behavior. The samples shown in FIGS. 3-4 were analyzed with the goal of identifying these microstructural features. This analysis is reported below. The Cr2O3 coating was analyzed first, and these data were used to inform analysis of the LSM sample. As a result the LSM sample was analyzed using STEM only. X-ray diffraction results: Both the as-sprayed and laser-treated regions shown in FIG. 3 were examined using X-Ray Diffraction (XRD). The XRD analysis was intended to identify any gross phase or chemical change that could be associated with the color change from green to black in the laser-treated region. XRD data confirmed that both the as-sprayed and laser-treated regions had expected crystal lattice parameters. For instance, these data confirmed the presence of Cr2O3 with the expected crystal lattice parameters for the as-sprayed region (a=4.957(2) Å, c=13.556(9) Å, vol=288.5 Å3) and the laser-treated region (a=4.956(2) Å, c=13.55(1) Å, vol=288.3 Å3). Neither the as-sprayed nor the laser-treated Cr2O3 coating contained any contamination detectable using XRD. Furthermore, lattice parameter refinement for both the as-sprayed and laser-treated regions showed little difference in the Cr2O3 unit-cell size. These data demonstrate that the observed changes in the coating optical properties cannot be attributed to either a gross chemical change or a gross phase transformation. Surface roughness results: The surface roughness of the as-sprayed and laser-treated Cr2O3 coating regions was characterized to determine if the meso-scale (1-10 microns) surface roughness differences could be responsible for the change in optical properties. Both regions contain high aspect ratio features that introduce error in the Wyko surface roughness measurement. Nevertheless the data were informative. Three areas were measured in each coating region. Representative data are shown in FIG. 5A-5B. Table 4 summarizes the average roughness (Ra) data for all six measured areas. TABLE 4Average Roughness (Ra, microns) data showing little meso-scale roughness difference exists between the two surfacesMeasurementAs-SprayedLaser treated12.674.2322.632.7733.112.61Average2.803.20 Despite the measurement error associated with interferometric characterization of this sample, these roughness data demonstrate that the as-sprayed and laser-treated regions have similar meso-scale roughness. Thus, a meso-scale roughness difference is not responsible for the change in optical properties. The sample was further analyzed using scanning electron microscopy (SEM). SEM and energy dispersive x-ray spectroscopy results: Secondary electron image spanning both the as-sprayed and laser-treated regions confirmed the optical interferometry based conclusion that no gross difference in meso-scale surface roughness was associated with the laser treatment. FIG. 6 shows a backscattered electron (BSC) image of as-sprayed (left region) and laser-treated (upper right quadrant) surfaces. Contrast in a BSC image generally results from atomic species differences at the near surface region with bright areas having higher atomic number (more backscattering) than dark areas (less backscattering). This image suggests that a surface chemistry difference between the as-sprayed Cr2O3 and the laser-treated region exists. FIG. 7 is a higher magnification backscattered electron image showing both the as-sprayed and the laser-treated regions of the Cr2O3 coating. The as-sprayed surface appears to be covered with a low atomic number material that is not present in the laser-treated region. An Energy Dispersive X-Ray Spectroscopy (EDS) map of the area shown in FIG. 7 was used to identify the atomic species present on the Cr2O3 coating surface. FIG. 8 shows a summary spectrum identifying the species present on the coating surface shown in FIG. 7. EDS maps of the region in FIG. 7 showed the distribution of a single element (Na, Si, Cr, O, or C). Carbon is the only element that is identified in significantly different quantities in the as-sprayed region and laser-treated regions suggesting that a carbon containing material has been removed from the surface by laser-treatment. It is important to note that a carbon containing material may not actually be present on the surface. There is no carbon source in the APS process or the fused and crushed Cr2O3 feed stock. Previous experiments involving thermal aging of plasma sprayed Cr2O3 coatings at temperatures between 600° C. and 800° C. for times up to two weeks did not cause sample darkening or increase in absorptivity. These temperatures would have removed any organic material on the coating surface. As will be shown below, no other analysis technique identified a carbon containing material on the Cr2O3 surface. However, microstructural features capable of creating artifacts in a backscattered image were identified. Surface morphology results: A high magnification SEM image showing the laser-treated surface revealed high aspect ratio sub-micron roughness (FIG. 9B). This extremely fine texture is characteristic of the laser-treated Cr2O3 surface. This surface is similar to the SuperBlack surface and may be responsible for the observed change in the laser-treated Cr2O3's optical properties. Importantly, similar roughness appears to be present beneath the carbon containing material on the as-sprayed surface (FIG. 9A). Focused ion bean cross sectioning results: An SEM equipped with a Focused Ion Beam (FIB) micro-machining capability was used to prepare cross sections of the as-sprayed and laser-treated Cr2O3. These cross sections revealed detail about the depth of the sub-micron surface roughness shown in FIG. 9B. The FIB was used to cut trenches approximately 25 microns long by 10 microns wide by 5 microns deep at three locations. A thin layer of platinum was deposited over and around the area of interest prior to cutting. This platinum layer is necessary to preserve the surface microstructure and prevent surface charging during FIB cutting. The sidewall step revealed by the FIB trench at the boundary between the as-sprayed and laser-treated regions suggests that the laser-treated region is lower than the as-sprayed surface. While this is not conclusive evidence that material has been removed by the laser treatment; when coupled with the SEM data above (FIG. 6), it strongly suggests that material has been removed by the laser-treatment. FIB trenches were also obtained in region well away from the boundary. The trench shown in FIG. 10A-10B was prepared in the as-sprayed region. This FIB trench revealed that regular, sub-micron, high-aspect ratio roughness was present on the as-sprayed Cr2O3 coating surface. Importantly, no carbon contamination was identified on the as-sprayed surface. FIG. 11A-11B shows the FIB trench in the laser-treated region. This FIB trench revealed a regular, sub-micron, high-aspect ratio roughness on the laser-treated Cr2O3 coating surface. These data strongly suggest that the laser-treatment is creating this sub-micron roughness. Further examination of as-sprayed and laser-treated coating regions using high resolution transmission electron microscopy was used to determine if a chemical or phase change is present in the near surface region that could be responsible for the observed change in optical properties. STEM results for Cr2O3 samples: Electron-transparent cross sections of the as-sprayed and laser-treated regions of the coating were prepared using the FIB. These cross sections were placed in a high resolution scanning transmission electron microscope (STEM) so that the sub-micron features on the coating surface could be further analyzed. In the as-sprayed Cr2O3 sample, the Cr2O3 surface was visible at the top of the image, and the stainless steel substrate is visible at the bottom of the image, as confirmed by the EDS map show in FIG. 12A. Columnar features can be seen clearly on the surface of the as-sprayed Cr2O3 sample. This is consistent with the sub-micron roughness noted in SEM images and FIB cross sections of the as-sprayed sample surface, FIGS. 9A and 10B. An electron diffraction contrast image of the as-sprayed sample is shown in FIG. 12B. In the electron diffraction image, differences in grain orientation are revealed as contrast. The columnar structures on the as-sprayed Cr2O3 surface appear related to the grains in the Cr2O3 splats. Specifically, they appear to have similar orientation to many of the visible grains and appear to be growing out of the surface grains. This suggests that the columnar structures are a product of the Cr2O3 splat solidification process. This orientation similarity can be more clearly seen in the high magnification image shown in FIG. 12C. FIG. 12C is a high magnification (57,000×) image of the as-sprayed Cr2O3 surface. In this image the columnar structure can be seen to extend from the underlying Cr2O3 grain structure at the splat surface. The EDS map in FIG. 12D confirms that the columnar structure contains Cr and thus is presumably Cr2O3. This can be seen more clearly in the high magnification electron diffraction contrast images shown in FIG. 12E-12F. The Cr2O3 columns are ˜250-300 nm tall and 50-150 nm wide. Impurity segregation, common in solidifying materials, can be seen as 10-20 nm clusters at the Cr2O3 grain boundaries in FIGS. 12C, 12E, and 12F. This material is likely normal impurity in the commercially pure Cr2O3 feedstock. There was no carbon present on the surface of this sample, as previously suggested by FIG. 6. These columnar structures were oriented in similar directions, at an angle to the substrate normal. Due to the angle to the substrate normal and the high aspect ratio of these columnar structures, the previously collected data from multiple materials characterization tools were visited and reanalyzed. First, the results from Wyko surface roughness measurement did not capture the structure dimension of 250-300 nm tall and 50-150 nm wide. Second, the results from backscattered SEM images and elemental x-ray analysis from EDS/SEM are likely artifacts created by the spacing between the columns. The spacing between the columns are effectively pores and could create sufficient porosity in the electron interaction volume to reduce the effective atomic number an produce the backscattered electron contrast seen in FIG. 6. FIG. 13A shows an electron diffraction contrast image of the laser-treated Cr2O3 sample. The brighter region at the left of the image was deliberately left thicker to support the thinner more electron transparent region to the right of the image. The sample orientation is such that the Cr2O3 coating surface is at the top of the image. Multiple columnar structures are visible. These columnar structures exhibit fractal-like structures similar to solidification dendrites and they do not show a clear orientation relationship to the Cr2O3 grains beneath them. FIG. 13B shows a second laser-treated Cr2O3 TEM sample. The Pt coating visible on the sample surface confirms that the original Cr2O3 surface is intact on this sample. As in the damaged sample, columnar nanostructures are visible on the laser-treated Cr2O3 surface. A higher magnification image is shown in FIG. 13C. The columnar nanostructures clearly contain features within the columns. These features are best seen in FIG. 13D. FIG. 13E provides EDS maps of the column shown in FIG. 13D. These maps confirm the presence of Cr and O in the columnar nanostructure as well as the presence of Pt surrounding the columnar nanostructures. A Fourier transform image taken in the columnar nanostructure at even higher magnification shows no diffraction spots, suggesting that the column is amorphous or highly defective (FIG. 13F). STEM results for LSM samples: The as-sprayed LSM sample is shown in FIG. 14A. It is a dense coating with a lamellar structure typical of a plasma sprayed coating. The individual lamella contains grain structures that are largely vertical because the grains grow in the heat flow direction as the liquid droplets that form the lamella solidify. The majority of this sample surface is covered with Pt confirming that the coating surface is intact. A small amount of debris is visible on the coating surface. FIG. 14B shows a higher magnification image of the as-sprayed LSM surface. Contrast in this image is due to differences in electron absorption as the beam passes through the sample. Thus, the dendrite like structures just beneath the surface are most likely solute segregation associated with the LSM solidification. EDS analysis (FIG. 14C) confirmed that the dendritic regions are rich in La and that the interdendrite regions are rich in Mn. Interestingly, FIG. 14B shows lattice fringes. Perovskites such as LSM typically have large unit cells making atomic resolution imaging possible. Atomic resolution images with EDS analysis confirmed that the as-sprayed LSM sample contains the expected ordered perovskite structure with La and Sr sharing a crystal plane and Mn-O occupying the other crystal plane. FIG. 15A shows one of two laser-treated LSM samples. Platinum is present on the surface of this sample confirming that the original LSM surface is present. The laser-affected zone in both LSM samples is significantly larger than the laser-affected zone in the Cr2O3 sample. FIG. 15B shows a higher magnification image of the laser-affected zone in the LSM sample. This sample has experienced significant ablation. LSM has a lower melting point than Cr2O3 and would be expected to have lower binding energy. Thus, the increased ablation is expected. EDS maps of the laser-affected zone (FIG. 15C) confirmed that selective ablation has occurred. The remaining material in the laser-affected zone is rich in Mn and O and is depleted in La and Sr. Discussion Optical measurements of multiple Cr2O3 samples clearly show that laser-treatment significantly improves Figure of Merit. Laser-treatment also improved Figure of Merit in LSM coatings. In both cases, the laser-treated coatings exhibit higher absorptance and slightly less emittance when compared to the as-sprayed samples. This is exciting because the laser-treated samples exhibit a Figure of Merit similar to Pyromark®-2500. Pyromark®-2500 is the baseline coating is known produce efficient power generation in CSP system. However, because Pyromark®-2500 is an organic coating it will not survive the 700° C. operating temperatures required by new CSP designs. Chrome oxide is a high temperature ceramic material (Tm=2435° C.) that is routinely applied as a coating using plasma spray. Chrome oxide plasma sprayed coatings are recommended for service at temperatures up to 815° C. (Sulzer-Metco Technical Bulletin #10-658, “AMDRY® 6420 Chromium Oxide Powder For Wear Resistant Coatings,” October 2000). Similarly, LSM coatings are routinely used at service temperatures up to 800° C. (1475° F.). Lanthanum Strontium Manganite is actually a family of perovskite materials with various specific compositions. Most LSM's exhibit melting points in excess of 1000° C. (Jiang S P, J. Mater. Sci. 2008 November; 43(21):6799-833). Given this encouraging data, the long term thermal stability (about 30 years) of these laser-treated surfaces is now a key question. Because testing at 700° C. for 30 years is not practical; accelerated testing must be performed to determine if the laser-treated surfaces can be expected to exhibit stable optical properties for the service life of the CSP receiver. It is not sufficient to simply bake a laser-treated sample at high temperature for weeks or months and then measure its properties. Instead, accurate accelerated testing requires understanding the mechanism responsible any change in the properties when exposed to high temperature. In order to understand changes to the coatings properties at high temperatures, the mechanism responsible for the observed increase in absorptivity and reduction in emissivity after laser-treatment must be identified. Analysis of both the as-sprayed and laser-treated Cr2O3 and LSM surfaces has been conducted. X-ray diffraction did not reveal any gross phase difference in the Cr2O3 samples. Optical interferometry did not reveal any gross differences in the Cr2O3 meso-scale surface roughness. Scanning electron microscopy revealed a visible texture difference between the two Cr2O3 surfaces. The as-sprayed Cr2O3 surface appeared rougher and more porous, and produced less backscattered electron contrast than the laser-treated surface. Initial EDS in the SEM analysis identified carbon on the as-sprayed Cr2O3 surface but not on the laser-treated surface. Analysis of the Cr2O3 feed stock powder demonstrated that no carbon is present in the feed stock. There is no other carbon source associated with the TriplexPro®-210 APS torch. No other analysis techniques, including glancing angle XRD and STEM, were able to detect carbon on the sample surface. Focused ion-beam analysis revealed sub-micron roughness on both the as-sprayed and laser-treated surfaces. Further analysis of this sub-micron roughness with STEM revealed regular columnar structures on the as-sprayed Cr2O3 surface. Electron diffraction contrast images show that these columnar structures have crystallographic orientation similar to the Cr2O3 that they appear to grow from. They are thus associated with the splat solidification front and are characteristic of early stage dendritic solidification. Without wishing to be limited by mechanism, we believe that the large amount on interstitial space (void) associated with the nano-sized columnar surface texture created an artifact that is responsible for the reduced backscatter contrast and the identification of carbon by the SEM's EDS system (FIG. 6). Evidence of impurity segregation due to dendritic solidification was also found on the as-sprayed LSM surface, however no columnar nanostructures were present on this as-sprayed surface. When the laser-treated surfaces were analyzed, different surface morphologies were revealed. The laser-treated Cr2O3 surface is populated with fractal-like columnar structures that do not have an orientation relationship with the underlying Cr2O3 grains. They clearly contained significant nano-meter scale features not present in the columns on the as-sprayed surface. The formation of similar nanostructures as a result of femtosecond laser-treatment has been reported on silicon and titanium surfaces (Tsukamoto M et al., “Periodic microstructures produced by femtosecond laser irradiation on titanium plate,” Vacuum 2006 September; 80(11-12):1346-50; and Haberfehlner G et al., “Selenium segregation in femtosecond-laser hyperdoped silicon revealed by electron tomography,” Microsc. Microanal. 2013 June; 19(3):716-25). Nanostructure formation in these cases was due to selective ablation by the femtosecond laser pulse not melting of the surface. Variations due to surface chemistry, laser polarization vector, and laser fluence were reported to affect nanostructure morphology and periodicity (Tsukamoto M et al., Vacuum 2006 September; 80(11-12):1346-50). The laser-treated LSM surface also exhibited a highly textured surface with nanoscale features, but not columns. EDS analysis showed evidence of selective La and Sr ablation. Without wishing to be limited by mechanism, these nanostructures are likely the most significant difference detected between the two surfaces. In both cases the features on the laser-treated surfaces are significantly smaller than the wavelength of light in the solar spectrum (250-2500 nm). The interfaces and defects associated with these nanostructured surfaces could easily scatter photons and increase absorptivity and decrease emissivity, consistent with Brown R J C et al., J. Mater. Chem. 2002; 12:2749-54. Based on these experiments, a skilled artisan would understand that the coating process, figure of merit values, and coating stability could be further optimized. Stability at CSP operating temperatures is critical. For instance, aging studies can be used to monitor the morphological evolution of these Cr2O3 and LSM nanostructures at various temperatures and correlate any changes nanostructure morphology with changes in measured optical properties. Such data would allow meaningful prediction of relevant long term aging behavior of these unique surfaces. Because these nanostructures can be created using laser-treatment, they need not be stable for the lifetime of the tower. Instead, laser-treatment could be conducted in-situ on an annual or semi-annual basis. The in-situ laser-treatment process could be conducted at night while the tube sheet is not in operation. Summary Thick Cr2O3 coatings, prepared using the air plasma spray process, have been previously shown to have a good figure of merit (0.80-0.83) for Solar Power Tower applications. Here, laser surface treatment was used to improve the solar performance of both Cr2O3 and LSM coatings. Laser-treated Cr2O3 and LSM coatings exhibited figures of merit of 0.889 and 0.892, respectively. This is significant because these figures of merit are similar to Pyromark 2500 (FOM=0.89), the leading solar absorptive coating. Both Cr2O3 and LSM are expected to be significantly more durable at high temperature than Pyromark®-2500. Analysis of both the as-sprayed and laser-treated Cr2O3 and LSM surfaces has been conducted. In particular, this analysis identified differences in the two surfaces that could cause the observed changes in Figure of Merit after laser-treatment. Analysis of sub-micron surface roughness with STEM revealed regular columnar structures on the as-sprayed Cr2O3 surface and evidence of solute segregation near the LSM surface. Both structures can be associated with the droplet solidification process inherent to the plasma spray process. When the laser-treated surfaces were analyzed different surface morphologies were revealed. The laser-treated Cr2O3 surface was populated with fractal-like columnar nanostructures that do not have an orientation relationship with the underlying Cr2O3 grains. Nanostructure formation is thought to be due to selective ablation by the femtosecond laser pulse not melting of the surface. The laser-treated LSM surface also exhibited a highly textured surface with nanoscale features, but not columns. EDS analysis showed evidence of selective La and Sr ablation. These nanostructures are likely the most significant difference detected between the two surfaces. In both cases the features on the laser-treated surfaces are significantly smaller than the wavelength of light in the solar spectrum (250-2500 nm). The interfaces and defects associated with these nanostructured surfaces could easily scatter photons and increase absorptivity and decrease emissivity. Future aging studies should attempt to monitor the morphological evolution of these unique surfaces at various temperatures and correlate any changes nanostructure morphology with changes in measured optical properties. Such data would allow meaningful prediction of relevant long term aging behavior of this unique surface. Two surfaces were further analyzed in an aging study: (1) an as-sprayed LSM coating and (2) a laser-treated LSM coating. Thermal testing, as described below, has proven that LSM surface structures and optical properties are reasonably stable at temperatures up to 700° C. for up to 20 days. Experimental Procedures Thermal spray coating preparation: Coatings were prepared as described herein, e.g., in Example 2. In brief, all coatings were prepared using a TriplexPro®-210 air plasma spray torch outfitted with a Unicoat™ process controller and a 9MP-CL powder hopper (Sulzer-Metco, Inc. Westbury, N.Y.). The spray torch was mounted on an ABB IRB-6600 six axis robot, which controlled the spray path (raster pattern with a traverse speed of 800 mm/s) and standoff distance (about 152.4 mm). Coatings were prepared using a standard 9 mm nozzle and 1.8 mm powder injector (powder feed rate of 30 g/min, carrier gas of argon, feed stock powder of agglomerated and sintered LSM powder ((La0.8Sr0.2)0.98MnO3)). The torch was operated at 400 A with an Ar plasma (gas flow of 46 SLPM). Nanosecond-laser surface treatment: Prior to thermal exposure, all LSM coatings were laser-surface treated using an SPI G3 nanosecond laser operated at 5 W laser power (commanded), 50 kHz pulse frequency, and 200 ns laser pulse width. Surface treatment was accomplished by translating the ˜50 micron diameter incident beam over the sample surface at 50 mm/s in a serpentine raster pattern with a nominal step size of ˜40 microns. Thermal exposure (thermal aging): Plasma sprayed and laser-treated LSM coating were thermally aged in ambient air for 480 hours at temperatures of 600° C., 700° C., and 800° C. by baking them in a laboratory oven. Coating surface characterization: The LSM coating microstructures were characterized in three conditions: as-sprayed, laser-treated, and laser-treated with thermally aging. The thermally-aged sample was exposed to 700° C. ambient air for 480 hours (20 days). Two Scanning Electron Microscopes (SEMs) were used to characterize the sample surfaces. All samples were imaged using a Carl Zeiss Supra™ 55VP SEM at 10 kV and a working distance of 8.5 mm, with varying degrees of magnification. Images were obtained using secondary (SE2) and backscatter (BSC) detection. Energy Dispersive Spectroscopy (EDS) spectra were collected with an Oxford X-Max detector and AZtec® software. The EDS spectra enabled mapping of chemical species on the sample surfaces. An extreme high resolution FEI Magellan 400 SEM was used to characterize the LSM surface morphology because it's higher resolution enabled imaging the nanoscales LSM structures. The FEI Magellan 400 XHR SEM is the world's first Extreme High Resolution SEM. The Magellan 400 delivers unmatched surface sensitive imaging performance at sub nanometer resolution. The LSM samples were predominately imaged at SkeV and 0.20 nA in Field Free mode. For most of the SEM images, the ETD (Everhart-Thornley Detector) was used. A few SEM images used the TLD (Through the Lens Detector). The CBS (Concentric BackScatter) Detector was used for the backscatter images. In both SEMs, all samples were imaged without any preparation or conductive surface coatings. Solar property characterization: Optical properties (solar absorptance and thermal emittance) of the coatings were monitored before, during, and after aging. Optical property measurements made at times <480 hours were made by removing the samples from the furnace, allowing them to cool to room temperature, and measuring their properties. Solar properties were determined as described herein, e.g., in Example 2. In brief, the following measurements were obtained: solar absorptance a (solar weighted or αsolar), thermal emittance (∈80° C.), emittance at 2400 nm (∈2400 nm), and diffuse reflectance (absorptance, aUltraviolet). The performance of each test coupon was ranked using a selective absorber efficiency ηsel defined by the following equation: η sel = α solar ⁢ Q - ɛσ ⁡ ( T 4 - T surr 4 ) Q , ( Eq . ⁢ 2 ) where αsolar is the solar absorptance, Q is the concentrated solar irradiance on the receiver (assumed to ˜60 W/cm2 or ˜600 suns), ∈ is the emittance, σ is the Steffan-Boltzmann constant (5.67×10−12 W/cm-K4), T is the surface temperature of the receiver (assumed to be 973 K), and Tsurr is the temperature of the surroundings (assumed to be 293 K). The numerator in the ηsel represents the net absorbed radiation by the receiver, and the denominator is the net absorbed radiation by an ideal absorber (αsolar=1, ∈=0). Solar absorptance and emissivity measurements were made on both the as-sprayed and laser-treated regions of the LSM sample. These data and the calculated solar selective efficiency are shown in Table 5. For comparison purposes, samples of Pyromark®-2500 (deposited and cured according to manufacturer specifications) exhibited ηsel of 0.890, when measured using the same technique (see, e.g., Ho C K et al., “Characterization of Pyromark 2500 paint for high-temperature solar receivers,” J. Sol. Energy Eng. 2013 July; 136(1):014502 (4 pp.)). The data in Table 5 show that the laser-treated LSM surface exhibits a FOM similar to Pyromark®-2500. TABLE 5Solar property measurements comparing the as-sprayed and laser-treated coatingsSampleαsolarε80°C.ε2400nmηselLSM #1 As-Sprayed0.8930.8570.8630.821LSM #1 Laser-Treated0.9580.8980.6750.892 When comparing laser-treated LSM to Pyromark®-2500, the long term (30 years) thermal stability of the laser-treated surface must be assessed. If the optical properties of the laser-treated LSM are not stable at CSP operating temperatures then the coatings are of little value. Short duration (480 hours), high temperature aging (up to 800° C.) studies were conducted to assess the thermal stability of LSM. FIG. 16A-16C shows three LSM surfaces at two relatively low magnifications. As seen in FIG. 16A, the as-sprayed surface shows well melted droplets, microcracks, and partially solidified droplets, which are features typical of a thermal sprayed coating. In FIG. 16B-16C, the trenches resulted from material removal during laser treatment. The fine structured material on the sidewalls of the trenches is vaporized LSM that has re-deposited on the trench sidewalls during laser-treatment. The trenches and re-deposited materials create a fractal-like surface with micrometer-nanometer length scale features which strongly interact with photons and absorb solar energy efficiently. It is likely possible to optimize both the micrometer and nanometer length scale features to further improve solar selectivity using the nanosecond laser treatment process. The as-sprayed LSM coating exhibited splat structures, indicating well melted droplets and partially solidified droplets (FIG. 17A-17C). The partially solidified droplets will give rise to some porosity in the coating (FIG. 17B). Solidification induced microcracking, which can in the coating surface (FIG. 17C). Neither feature will be detrimental to the coatings performance and may actually improve its strain tolerance. In contrast, laser-treated coatings exhibited trench-like features (FIG. 16B-16C). Measurements of these trenches include a width of about 10 microns and a spacing of about 30 microns between trenches. They are a direct result of material removal during the nanosecond laser-treatment process. Comparison of the laser-treated and the laser-treated with thermally-aged samples showed that trench size and trench spacing had not changed. FIG. 18A-18B shows high magnification images of the re-deposited material at the bottom of a trench in laser-treated LSM. The surface of the re-deposited material is the surface of the bottom of the trench. The re-deposited material had a highly convoluted surface with considerable porosity, as well as micron and submicron features. Cross-sections of trenches and sidewalls were also imaged (FIG. 19A-19B), which showed re-deposited material visible on the trench sidewall. The backscattered electron images in FIG. 19A-19B (right) did not show contrast between the re-deposited material and the underlying LSM splats. This suggested that the re-deposited material's composition was similar to the underlying splats. The columnar microstructure visible in the re-deposited material suggested that it was deposited from vapor. As seen in FIG. 20, the even higher magnification image of the re-deposited material showed an extremely fine (<10 nm) structure throughout the columnar structures. Thus, laser treatment has created an LSM surface with multiple length scales. The trenches are about 10 μm wide with about 30 μm wide spacing. The trench sidewalls are covered with a re-deposited layer having a thickness of about 1 μm. In addition, the re-deposited layer contained columnar structures with about 250 nm spacing, where these columnar structures in turn contained substructures with about 10 nm-sized features. Without wishing to be limited to mechanism, we believe that these variations in the re-deposited layer provide a multi-length scale surface, and this surface allows for interaction (and absorption) with incoming photons. Thermal aging of laser-treated LSM surfaces were analyzed. FIG. 21 shows high magnification secondary electron and backscattered electron images of the laser-treated LSM surface after aging at 700° C. for 480 hours. The re-deposited material was still present after aging. Lack of contrast in the backscattered image suggested that no gross composition change had occurred in the re-deposited material during aging. Higher magnification images showed the surface of the re-deposited material at the bottom of a trench (FIG. 22A-22B), which revealed porous, multi-scale surfaces similar to the surface observed before aging. Surprisingly, the fine (<10 nm) structure appeared to still be present. However, one important difference can be seen; crystallites are present throughout the re-deposited material (see, FIG. 22B, white arrowheads). Some measurements of these crystallites provided a width of from about 67 nm to about 436 nm. FIG. 23A-23B shows high magnification images of a cross-section of the re-deposited material visible on the trench sidewall after aging. Small (about 50-500 nm) crystallites were visible on top of the vapor deposited material and in the underlying splats. The backscattered electron images in FIG. 23A-23B clearly showed contrast between the re-deposited material, the crystallites, and the underlying LSM splats. This suggested that diffusion had occurred during aging and was responsible for the crystallite growth. This is not surprising during a 700° C., 480 hours thermal treatment. Interestingly, the columnar microstructure was still visible in the re-deposited material. The backscatter images also suggested that the secondary deposited material has higher atomic number than the background. EDS analyses were also conducted on laser-treated, thermally-aged samples. Individual EDS maps for the aged LSM surface suggested that the crystallites may be slightly enriched in Mn. Analytical transmission electron microscopy can be conducted to further confirm the crystallite composition. Summary Many structural properties of the beneficial laser-treated surface was maintained after thermal aging. Much of the nanoscale (<10 nm) structure of the laser treated surface remained, and the micron-scale structure was undisturbed. One main difference included diffusion, which had occurred in the LSM coating during the 700° C., 480 hours anneal, resulting in moderate manganese segregation and growth of crystallites in the re-deposited material and on the LSM surface. Diffusion rates cannot be determined from this single sample, nor can equilibrium crystallite size. It is possible that diffusion will continue and will obscure all features with time. It is also possible that an equilibrium crystallite size will be reached and the surface structure will remain stable. Many well proven strategies exist to reduce sintering rates in ceramics. They include removal of high diffusion rate impurities and addition of dopants that frustrate sintering. If crystallite growth proves to be a limiting factor for LSM coatings, these strategies could be explored to mitigate crystallite growth. In addition, further laser treatments can be explored in order to treat a degraded LSM surface and to determine the effect of a second laser treatment on surface structure and optical properties. Various parameters of the laser treatment process can be refined. For instance, the nanosecond laser treatment can be optimized to minimize treatment time and maximize optical properties, such as by varying line width, line spacing, line depth, substrate temperature, etc. Laser-treated surfaces provide enhanced solar absorptivity. The practicality of this laser-treatment process can be considered. For instance, at a translation speed of 50 mm/sec, one square meter can be treated in 8334 minutes or about 139 hours. The current nanosecond laser technology being explored could allow an individual laser beam to be split into four laser beams, thereby providing translation speeds up to 1 m/s. Assuming four beams/laser and a translation speed of 1 m/s a single laser, can treat one square meter in 104 minutes or ˜1.7 hours. Further improvements can include use of multiple lasers. Using eight lasers (32 incident beams), the time to treat one square meter can be reduced to ˜13 minutes. At this speed, a 1000 m2 tube sheet could be treated in ˜217 hours or ˜27 eight hour nights. Assuming that the tube sheet can be treated at night, refreshing the surface over the course of a month could be practical. Other Embodiments All publications, patents, and patent applications, mentioned in this specification, including U.S. Provisional Application No. 61/945,407, filed Feb. 27, 2014, are incorporated herein by reference to the same extent as if each independent publication or patent application was specifically and individually indicated to be incorporated by reference. While the invention has been described in connection with specific embodiments thereof, it will be understood that it is capable of further modifications and this application is intended to cover any variations, uses, or adaptations of the invention following, in general, the principles of the invention and including such departures from the present disclosure that come within known or customary practice within the art to which the invention pertains and may be applied to the essential features hereinbefore set forth, and follows in the scope of the claims. Other embodiments are within the claims.
claims
1. An X-ray radiographic method for performing an X-ray radiography of a subject, comprising:providing an X-ray radiographic apparatus comprising an X-ray tube, an X-ray detector, a holding member for holding the X-ray tube and the detector, a table top board for supporting the subject, and a filter movement mechanism:radiating X-rays from the X-ray tube to the subject;detecting an X-ray applied to the subject with the X-ray detector;moving at least one of the holding member and the table top board;actuating the movement mechanism to move a filter, which extracts X-ray components radiated from the X-ray tube, outside or inside a radiation field of the X-rays radiated from said X-ray tube so that the filter is moved inside the radiation field only when a relative position between the holding member and the table top board is at a predetermined position, and so that the filter is moved outside the radiation field when the relative position is other than the predetermined position. 2. The X-ray radiographic method according to claim 1, further comprising: switching the filter, which is a currently used filter and is one of a plurality of available filters, with another of the plurality of filters to move the another of the plurality of filters inside the radiation field of X-rays and the currently used filter outside the radiation field of the X-rays.
047042354
summary
TECHNICAL FIELD The present invention relates to a method by which radio active coatings on the walls of the primary heating system in nuclear reactors of the pressurized water type can be removed. More specifically, the invention relates to the decontamination of in acid insoluble or sparingly soluble corrosion products from these primary system surfaces. In this respect the invention is a development and simplification of the technique that includes a first step wherein the contaminated surfaces are contacted with an oxidation agent, for oxidation of the insoluble products to acid-soluble oxidation products, whereupon in a subsequent step the oxidized products are dissolved and removed by means of an acidic decontamination solution. BACKGROUND ART OF THE INVENTION Corrosion products stemming from the primary heating system, which to a major extent comprises the tubes and pipe-lines of the steam generators, are conveyed into the reactor core where they are deposited on the fuel elements. After some time, the corrosion products, which are now radioactive after the neutron irradiation, are liberated from the fuel elements and are subsequently deposited on the parts of the primary system in contact with water which lie outside the reactor core. Then the radioactive corrosion products give rise to radiation fields outside the core and thereby to radiation doses to the operational personnel. Another cause of the occurrence of radiation fields is fuel element leakage. In case of leakage in the encapsulating material of the fuel elements fission products are leached out by the circulating water. These products are then incorporated in the oxide layers on the parts of the system (primarily the steam generators) lying outside the reactor core. The radiation doses received by personnel must be kept within prescribed limits. For reasons of health and operational economy, the doses should of course be kept as low as is reasonably possible. Before undertaking major work on the primary system, it can thus be desirable to remove the radioactive corrosion and fission products which have been deposited on the primary system surfaces. By a partial or complete dissolution of the oxide layers, a substantial portion of the radioactive isotopes can be removed from the system surfaces. In nuclear reactor terminology this process is denoted decontamination. Most of the known processes within this technology have been described in detail in J. A. Ayres, Ed., Decontamination of Nuclear Reactors and Equipment, the Ronal Press Company, N.Y. (1970). During the years from about 1961 and up to the first years of the seventies, only a very small number of decontaminations of reactor systems were carried out. The most discussed decontaminations during this period were those of the Shippingport PWR (PWR=Pressurized Water Reactor) in the USA and the PWR plant at Greifswald in the GDR. Modified versions of the APAC method developed during 1961 in the USA were used in these decontaminations. There were two steps in this method, namely a first oxidizing step with alkaline permanganate followed by a second dissolving step with an acidic decontamination solution containing ammonium citrate. Common to all modifications of the APAC process is that the contents of chemicals must be relatively high for acceptable decontamination factors to be achieved. The decontamination factor (Df) is defined in the following way: ##EQU1## In occasional cases where the APAC process has been used, it has been necessary to repeat the decontamination a number of times to obtain a satisfactory result. The radioactive solutions of chemicals from this process have either been purified by ion exchangers or been treated in special evaporators. The greatest disadvantage with the APAC process is the large volumes of waste occurring in the form of radioactive ion exchange masses or evaporator residues. The above-mentioned disadvantages have resulted in that during 1970 work was started in several quarters on developing new processes. The aim then was to achieve processes which: provide an acceptable decrease of the radiation fields during a treatment time of maximum 36 hours, only require low concentrations of chemicals in the final step by the utilization of continuous regeneration of the chemicals with cation exchange, are possible to perform at temperatures below 100.degree. C., give a final waste in the form of ion exchange masses containing all chemicals present, including metals and radio isotopes released or liberated during the process. As to the processes which began to be developed during the seventies and which are used today, it has been found necessary to include a pre-treatment step with oxidizing reactants. In said pre-treatment step essentially the following oxidizing agents are used: permanganate in an alkaline or nitric acidic environment (in the latter case the pH is about 2.5) potassium hexacyanoferrate in an alkaline environment. In the subsequent treatment step there are used almost exclusively organic acids (citric or oxalic acids and ammonium salts of these) and some strong complex forming agent, e.g. EDTA (ethylenediaminetetraacetic acid). Additives in the form of reducing agents such as aldehydes or ascorbic acid can also be present in the acid treatment step. The conditions (reducing, high pH) prevailing in a pressurized water reactor are such that the oxide layers formed will to a large extent have relatively high contents of chromium, partially together with nickel, in the form of oxide or spinel phases. To have these oxide layers dissolved at all in organic acids, it is thus necessary to carry out the pre-treatment in an oxidizing environment. At present the completely dominating oxidation agent in this respect is permanganate. The reaction sequence for the oxidation step is substantially as follows: EQU 3MnO.sup.-.sub.4 +Cr.sup.3+ +8H.sub.2 O.sup..fwdarw. .rarw.3Mn.sup.2+ +5CrO.sub.4.sup.2- +16H.sup.+ In order to illustrate more in detail the decontamination effect, which may be obtained by the processes available today, reference is made to the following. In all processes available today in Europe, USA and Canada there are at least two treatment steps, one of which is always the above-mentioned pre-oxidation step. All these processes have been tested, partly on a laboratory scale, partly at half or full scale in some cases. The processes worked out in Europe have been tested in two international decontamination projects. These are the Agesta decontamination project in process in Sweden, and the project in process at the Pacific National Laboratories, Richland, Wash., USA. The tests in the USA have been carried out in an authentic steam generator taken from the Surry-II PWR plant after an approximate operation time of 6 years. In the Agesta project, laboratory tests have been carried out on samples taken from the steam generators in Ringhals-2 (Sweden), Biblis A (Germany), Millstone 2 (USA) and from the inlet chamber in one of the steam generators in the Borssele reactor in Holland. The Swedish laboratory tests have been carried out with so-called "soft" processes (i.e. processes where low contents of chemicals are used) developed at: Studsvik Energiteknik AB (Sweden) PA1 Kraftwerk Union (Germany) PA1 EIR (Switzerland) PA1 BNL (CEGB) (England) The samples from the above-mentioned PWR:s were of the following materials: ______________________________________ Ringhals-2 Inconel 600 Millstone-2 Inconel 600 Bib11s A IncoIoy 800 Borssele AISI 304. ______________________________________ In this connection it may be mentioned that the compositions of these materials in percent per weight are: ______________________________________ Material C Si Mn Cr Ni Mo Fe ______________________________________ AISI 304 0.04 0.4 1.2 19 9.5 0.2 residue Incoloy 800 0.02 0.6 0.6 21 33 residue Inconel 600 0.02 0.3 0.8 16 73 residue ______________________________________ The results of these tests can be summarized as follows: The samples of Inconel 600 were difficult to decontaminate. Decontamination factors exceeding 3 (the lowest acceptable value) could only just be achieved by three of the four processes. The samples of Incoloy 800 and AISI 304 reached satisfactory decontamination factors by a good margin. In the tests in the steam generator from Surry-II PWR, a process was tested which had been developed in Canada as well as a process similar to the one tested by BNL (CEGB) in the Agesta project. The results of the tests showed here as well that surfaces of Inconel 600 were very difficult to decontaminate. Acceptable decontamination factors could be achieved only after several treatment cycles. It should be noted in this connection that a pre-oxidation step with permanganate is included in both these processes. As prior art in this area, even if this art is not utilized in practice today, the art disclosed in the Swedish Patent Application Ser. No. 8001827-8 (based on U.S. Ser. No. 028,200 filed on Apr. 9, 1979) now U.S. Pat. No. 4,287,002, may also be mentioned. Said patent application describes a decontamination method where the pre-oxidation step is carried out by means of ozone as the oxidation agent. In the subsequent acid dissolving step organic acids and complex forming agents are used at high temperatures such as 85.degree. C. and 125.degree. C. In the patent application there are described decontamination trials on samples pre-oxidized for 7 days (PWR environment at 350.degree. C.) and thereafter exposed for 3 months at 250.degree. C. in a PWR trial plant. In the trials, decontamination factors with a mean of about 2.7 were obtained for samples of Inconel 600, which must be regarded as a low value. DISCLOSURE OF THE INVENTION In accordance with the present invention it has surprisingly been found possible to substantially eliminate the disadvantages of the previously known art, above all large amounts of secondary wastes, low decontamination factors, high contents of chemicals and high treatment temperatures, which in turn lead to increased corrosions and high costs, etc. The method according to the invention which is preferably performed in one step only, more specifically involves the utilization in an acidic, preferably nitric acidic, aqueous solution of the combination of cerium(4)nitrate, chromic acid and ozone. Thus, surprisingly this combination has been found to give a synergistic effect which could not be predicted against the background of the known properties of these oxidation agents taken individually. In the method according to the invention, the contaminated surfaces are brought into contact with the above-mentioned oxidation agent in an aqueously based form and with an acidic pH, i.e. a pH below 7. This may mean, for example, that the oxidation agent is present in the form of an aqueous solution of cerium nitrate and chromic acid, and ozone preferably in a saturated solution and dispersed form. In accordance with another embodiment of the method the oxidation agent can however be utilized in the form of a two-phase ozone gas-water mixture, where ozone in gaseous form is dispersed in water with added cerium nitrate and chromic acid. This in turn means that the ozone addition per se can take place substantially in accordance with the same principles as in the Swedish Patent Application Ser. No. 8001827-8, which therefore do not need to be repeated here. In addition to the above-mentioned advantages with the invention in relation to the prior art, it has furthermore been found, surprisingly, to be possible to achieve the favourable results at room temperature already, and while using low proportions of the chemicals utilized. This signifies, of course, an extremely vital contribution to the art in the area, since it is thus possible to save costs thanks to the use of smaller amounts of chemicals, thanks to savings in energy and thanks to reduced corrosions. A particularly preferable embodiment of the method in accordance with the invention thus means that the decontamination is carried out at room temperature or lower, i.e. at a temperature below about 25.degree. C. and preferably below 20.degree. C. However, very favourable effects in relation to the known art are obtained in the decontamination already at a temperature below about 60.degree. C. The decontamination according to the invention means that the contaminated surfaces are contacted with the acidic solution with the new oxidation agent for a period of time sufficient to oxidize insoluble oxides, so as to make these soluble in the same solution. The period of time required in each individual case is of course easily determined by one skilled in the art against the background of utilized concentrations of oxidation reagents, utilized treatment temperatures etc. A water-soluble cerium salt has oxidizing properties only when the cerium ion is present in its highest oxidation stage, viz. Ce.sup.4+, while the pH of the solution is preferably about 1. When selecting the cerium salt Ce(3)nitrate is preferably used which in contact with the ozone is immediately oxidized in Ce(4)nitrate. The origin of the chromic acid is preferably dosed chromium trioxide, and the ozone is suitably utilized in the form of an ozone-enriched oxygen gas or air. The concentrations or proportions of the chemicals included in the oxidation agent are determined by one skilled in the art from case to case, so as to obtain the desired results, inter alia depending on the materials which are to be decontaminated and the desired decontamination effect, but generally the concentrations are usually within the range of 0.01-50 g/l, preferably 0.5-2 g/l, of cerium nitrate, within the range of 0.01-50 g/l, preferably 0.05-0.2 g/l, of the chromic acid and within the range of 0.001-1 g/l, preferably 0.005-0.015 g/l of the ozone. The water-based or aqueous oxidation agent has preferably been made acidic by nitric acid, preferably to a pH of about 1. The method in accordance with the invention is generally utilizable for the decontamination of all those different types of material which are present in these connections. However, the invention has been found to give extremely good results in the decontamination of chromium (III) oxide from a chromium-nickel-iron alloy, such a decontamination therefore representing an especially preferable embodiment of the invention.
052375943
description
DESCRIPTION OF THE PREFERRED EMBODIMENTS The invention will be hereafter depicted in connection with the logging techniques, and especially with the for the characterization, i.e. the detection and quantification of given elements of earth formation surrounding a borehole. An example of an embodiment of the invention is described and illustrated in FIG. 1 showing a nuclear logging tool or sonde 10 suspended by an armored cable 14 in a borehole 12 surrounded by earth formations 30, and comprising a tubing 31 and a casing 32. The downhole tool 10 includes a neutron source in the form of a pulsed neutron generator 22 and four gamma ray detectors 24, 25, 26 and 27 that are located at different distances (or spacings) from the neutron generator 22. The gamma ray detectors 24-27 are aligned along the longitudinal axis of the sonde 10, on the same side with respect to the neutron generator 22. For the illustration of the present invention, the neutron generator 22 is of the type which generates discrete pulses of fast neutrons, e.g. 14 Mev, and may for example be of the type described in more complete detail in U.S. Pat. No. 2,991,364 to C. Goodman, and U.S. Pat. No. 3,546,512 to A. H. Frentrop both patents being incorporated herein by reference. The generator emits 14 Mev neutrons having an energy level above the threshold energy level needed for the oxygen activation. Operation of the neutron generator 22 is controlled as usual by a neutron generator control circuit (not shown) which may also be of the type described in the aforementioned patents. The detectors 24, 25, 26 and 27 may be of any construction suitable for the detection of gamma rays, such as thallium-activated sodium iodide scintillation detectors or bismuth germanate crystal detectors. In this respect, the detectors will be understood to include the usual photomultiplier tubes, photomultiplier high voltage supplies, and amplifier-discriminators (not shown). It will also be understood that other downhole power sources (not shown) are provided as required to drive the neutron generator 22 and other downhole circuits. Power for the logging tool 10 is supplied over the cable 14 from a surface power supply (not shown), as is conventional. Output pulses from the gamma ray detectors are applied to processing and telemetry circuits 34 which count and store the signals from the gamma ray detectors, and which include downhole telemetry circuits for transmission to the surface over the cable 14. These circuits are designed to achieve the timing schedules for neutron emission and gamma ray detection according to that discussed in detail below. The downhole telemetry circuits 34 may be of any known construction for encoding, time division multiplexing, or otherwise preparing the data-bearing signals. At the earth's surface, the data-bearing signals from the detectors 24, 25, 26 and 27, respectively, are amplified, decoded, demultiplexed and otherwise processed as needed in surface telemetry circuits (not shown) which may also be conventional. The telemetry circuits also included circuits for the receipt and transmission, respectively, of command messages from the surface. Following transmission to surface telemetry circuits, the respective signals from each gamma ray detector are separately counted to acquire the counts data over a desired period of time, and then stored. From storage, the count data are processed in a computer which suitably comprises a microprocessor or, alternatively, a general purpose digital computer such as that manufactured by Digital Equipment Corporation, Maynard, Mass., under the designation PDP-11. As is described more fully herebelow, the computer processes the count data from the respective detectors to develop various desired outputs which may be recorded in conventional fashion as a function of tool depth in a recorder. The usual cable-following mechanical linkage is provided for this purpose. More details about the overall design of the above mentioned circuits can be found e.g. in U.S. Pat. No. 4,721,853, which is herein incorporated by reference. The measurements carried out by the tool 10 are based on the nuclear reaction called "activation", as described e.g. in the article "Advances in Nuclear Production Logging" by P. A. Wichmann et al., Trans., SPWLA (1967). A nuclear source emits high energy neutrons which interact with certain atoms in the earth formation in such a way that these atoms are activated to an unstable state which decays back exponentially in time with a given half life, to a stable atom while emitting gamma rays of an energy representative of the activated atom. After the tool has been lowered in the borehole, either down to the bottom or at a lower level than the zone to investigate, the neutron source is turned on and the tool is pulled out of the borehole while detecting the gamma rays resulting form the activation. The tool is pulled out at a given linear speed (usually called "logging speed") which is typically in the range of a few hundred to three thousand feet/hour. The logging speed is preferably chosen in relation to the element of interest, and more particularly to the half life of the corresponding atom, in order to minimize the influence of other atoms which might also be activated. Accordingly, the longer the atom half life, the slower the logging speed. When carrying out measurements related to oxygen, the logging speed will be faster than the logging speed when investigating for silicon or aluminum, which itself will be faster than for gold measurements. According to the teaching of the article from H. D. Scott and M. P. Smith hereabove referred to, the logging speed providing a maximum number of counts is given by the formula: EQU V=K d / t, where "V" is the logging speed, "K" is a constant determined experimentally (e.g. K=0.693), "d" is the spacing between the detector and the source (in feet) and "t" is the half life time of the element of interest (in hours). For example, assuming the spacing "d" is equal to 5 feet, the respective logging speeds given by the hereabove mentioned formula is: V=1750 feet/hour for oxygen; PA1 V=100 feet/hour for silicon and/or aluminum. PA1 a first gamma ray detector 240 from a natural gamma ray tool, known as the Gamma Ray (GR) tool, such as described in U.S. Pat. No. 3,786,267, which is herein incorporated by reference; PA1 a second and a third gamma ray detector, respectively 250 and 260, and a neutron source 220 similar to the source 22 shown on FIG. 3; these three components are part of a Thermal Decay Tool, or TDT tool, mark of Schlumberger Technology Corporation, such as described in U.S. Pat. No. 4,721,853; detectors 250 and 260 can be e.g. disposed at the following respective distances from the neutron source 220: between 5 and 20 inches, and 20 and 30 inches; PA1 a fourth gamma ray detector 270 from a natural gamma ray tool (GR), disposed between 2 and 5 feet from the neutron source 220. However, the optimum logging speed is actually a compromise taking into account opposite requirements. First, technical constraints limit the range of operational speeds to between 500 feet per hour and 3000 feet per hour. Second, business requirements push towards a logging speed as high as possible. Third, the relationship between the number of counts and the logging speed, as shown in FIG. 5 in the form of a plot of counts versus speed, from the article from H. D. Scott and M. P. Smith, is such that small variations around the speed value corresponding to the maximum number of counts leads to a large variation in the number of counts. This variation in the number of counts is detrimental to the measurements. The optimum logging speed is chosen in the part of the plot of FIG. 5 having a light slope, thus providing a reasonable number of counts without jeopardizing the measurement accuracy. In case the tool has more than one detector, such as the tool of FIG. 1, the optimum logging speed is determined as hereabove mentioned, with "d" being the spacing of the detector closest to the source, or the intermediate detector (e.g. the second from the source, in case of a four detector tool). By way of example, the spacings of the respective detectors of the tool shown on FIG. 1 are respectively: between 5 and 20 inches, 20 and 35 inches, 40 and 50 inches, and 50 and 70 inches. According to the above, a logging speed of 500 feet per hour constitutes, for aluminum/silicon, a compromise between the above mentioned constraints. The source remains activated during all the time the measurements are carried out while the tool is pulled up in the borehole. The irradiation may be provided by either continuous neutrons emission or successive bursts of neutrons. a coordinates system counts versus time on which are plotted three substantially linear curves. Each curve is representative of an activated element, to wit, in the examples of FIG. 2, gold, silicon and oxygen. With each depth is associated one plot counts versus time and one curve. Each curve is made from four dots. Each dot is associated with a detector and represents the number of counts from that detector and the corresponding time of detection. The cross-plotting of counts versus time, for a given depth, is carried out as follows. First, for that depth, a time origin is set which is the time when the neutron source reaches that depth. Gamma rays are detected and counted at one detector during a time period starting from the time origin and ending when that detector reaches that depth. The time period depends on the logging speed and on the spacing between the source and the detector. Thus, one obtains, for that depth and for that detector, a number of gamma ray counts and a value for the above mentioned time period (e.g. a few seconds). The couple number of counts & time period generates a dot on the plot. These steps are repeated for each of the other detectors, still for the same depth. Finally, for that depth, are obtained four dots forming a curve, generally a substantially straight line. Since the tool is continuously moving in the borehole, the hereabove steps are repeated for each depth. Actually, a plot is made for every increment of depth. The increment is a function of the logging speed and of the ability in speed of the electronics to sample, store, transmit or otherwise process the data issued from the detector. For example, the depth increment is comprised between one inch and 12 inches. It has to be noted that the farther the detector from the neutron source, the longer the detection for that detector. As can be seen from FIG. 2, the farther the detector from the source, the less the number of counts, although the duration of detection increases as the detector spacing increases. This is because the activation fades away with time. As per the depths where there is no earth formation element susceptible to be activated, the gamma ray counts result on the corresponding plot in an horizontal line characteristic of the background. Each curve forms approximately a straight line the slope of which is characteristic of the corresponding activated atom, and more particularly of its half life. In other words, the slope of the line is representative of the identity of the element. For example, FIG. 2 shows three curves corresponding respectively to oxygen, silicon and gold atoms. While FIG. 2 shows several theoretical examples of curves on the same co-ordinates system, actual measurements would provide a single curve passing through four points corresponding respectively to each detector. By measuring the slope of the line, one could be able to identify the kind of activated atom. This identification could be carried out e.g. by comparing the actual plot to a set of reference plots (counts versus time) established through laboratory measurements for each kind of element able to be activated, and thus determine which reference line has a slope closest to the slope of the actual line. The method and the apparatus according to the invention also provide, besides the qualitative information as hereabove described, quantitative information about the activated atoms. The number of counts, as plotted, is a function of the activated level of the atoms, which is a compound function of the logging speed, the neutron source output, the quantity in volume of the earth formation area including the activated atoms, as well as the radial distance from the tool of the activated area. Thus, assuming the logging speed and the neutron source output are constant during the measurements, the number of counts for each detector, is representative of the quantity of activated atoms, compounded with the radial distance from the borehole of these atoms. FIG. 3 shows two plots of counts versus time, corresponding to the response to activation of silicon atoms, from two respective areas located at two different radial distances from the borehole. The plot farthest from the time axis corresponds to activation of silicon located near the tool, while the plot closest to the time axis corresponds to activation of silicon atoms located far from the tool, being understood that the words "near" and "far" are here used in their relative meanings. The depth of investigation (in the radial direction is typically of 3 or 4 feet. The number of counts derived from the plots provides a relative indication of the radial distance of the activated atoms within the range of investigation. The actual plots derived from the measurements are, to this end, compared to reference plots established through laboratory measurements. Thus, through a quick look to the plots, the user is able: (i) to detect the presence of activated elements; (ii) to identify the activated atoms, by determining the slope of the line; (iii) and to quantify the amount and distance from the borehole of the activated atoms. It has to be noted that although the tool hereabove described is provided with four detectors, it only requires basically, at least in theory, two detectors in order to be able to obtain a plot of counts versus time showing two dots from which could be inferred a line the slope of which is representative of the activated element. Now there is described an alternative embodiment of the invention, wherein basically, only one detector is used to detect gamma rays, and the tool is run, i.e. lowered to the bottom and pulled up, several times in the borehole. Each run provides, for each depth, a dot on the corresponding plot. In other words, the dots on the plot are obtained from the successive runs, instead of several detectors as hereabove described. For example, one way to implement this alternate embodiment of the invention is now described in relation to FIG. 4 showing a logging tool consisting in the combination of several known nuclear logging tools. The tool 100 of FIG. 4 comprises, from top to bottom: The advantage of the implementation as hereabove described in connection with FIG. 4, resides in the possibility of using and combining existing nuclear logging tools at the cost of slight modifications. The method according to this alternate embodiment comprises the steps of: (i) lowering the tool of FIG. 4 in the borehole, either down to the bottom of the borehole or below the zone to investigate; (ii) turning the neutron source on; (iii) pulling the tool at a logging speed related to the element under investigation; (iv) detecting gamma rays resulting from activation with a single detector; (v) determining the number of counts and the corresponding time periods according to the steps hereabove described in connection with FIG. 2: (vi) cross-plotting, for each depth, the number of counts versus the corresponding time periods; (vii) turning the neutron source off; and (viii) repeating at least one more sequence including the steps (i), (iii), (iv), (v) and (vi). In other words, the tool is run in the borehole two times or more, at the same logging speed related to the element of interest, the first run being carried out with the neutron source on, and the remaining runs with the source off. According to the embodiment of the invention shown in FIG. 4, the measurements are carried out only with detector 270, i.e. the detector placed below the neutron source. For each run, a number of counts is determined as well as the corresponding time period. Thus, the different counts are plotted against the corresponding time period values so as to generated curves similar to the curves of FIG. 2 or 3. From each curve is derived information related to the earth formation element under investigation, as hereabove described. The uppermost gamma ray detector 240, disposed e.g. between 20 and 30 feet from the source, may be used for providing a background natural radioactivity level, to be subtracted from the gross measurements. Although the invention has been described and illustrated with reference to a specific embodiment thereof, it will be understood by those skilled in the art that various modifications and variations of that embodiment may be made without departing from the invention concepts disclosed. Accordingly, all such modifications are intended to be included within the spirit and scope of the appended claims.
description
This application is based upon and claims the benefit of priority from the prior Japanese Patent Application No. 2005-112117, filed on Apr. 8, 2005, the entire contents of which are incorporated herein by reference. 1. Field of the Invention The present invention relates to an electron beam exposure apparatus and an electron beam exposure method for drawing a circuit pattern by use of electron beam. 2. Related Art According to electron beam lithography, particularly according to an electron beam direct writing technology, when a device circuit pattern is exposed into a resist on a semiconductor substrate, masks used as a master of circuit pattern need not to be fabricated for each device. Therefore, there is an advantage in that it is possible to reduce fabrication cost and period. Additionally, the wavelength of electron beam is significantly shorter than that of the light source of photoexposure, thus enabling shaping of a fine pattern. Therefore, the above technique has been used for device prototyping by QTAT (Quick Turn Around Time), R&D of the most advanced fine devices and the like. In electron beam lithography using the electron beam direct writing technology, a circuit pattern to be exposed is divided into basic figures acting as an exposure unit, and then beams having the same shape and size as each basic figure are shaped by use of a plurality of shaping patterns. Electron beams shaped with the shaping aperture are directly irradiated on the resist to perform an exposure processing. Individual electron beam irradiations are called a shot. As the electron beam shaping technique by the electron beam direct drawing technique, there have been known two methods: Variable Shaped Beam (VSB) technique and Character Projection (CP) technique. According to VSB technique, a rectangular beam shaped by a first shaping aperture is irradiated on part of an opening having the same rectangular shape of a second shaping aperture to shape a rectangular (oblong) beam of a given size. According to CP technique, a rectangular beam shaped by a first shaping aperture is irradiated on an opening of a given shape formed in a second shaping aperture to shape a beam having the same shape as the opening. The resultant beam shape is called a character, and the opening is called a character opening. In the electron beam writing based on CP technique, exposure can be performed with a beam shape corresponding to a character opening preliminarily formed in the second shaping aperture. Thus, compared to in the case that beam irradiation using basic shapes is repeated, as with VSB method, to shape a pattern of a given shape, the number of shots can be reduced and drawing time can also be shortened. The present inventor has proposed a technique of arranging as a character in a second shaping aperture a standard cell constituting a logic device in order to efficiently draw the logic device pattern of small production type directly on a wafer using the electron beam writing based on CP technique (refer to Japanese Patent Laid-Open No. 2001-274071). However, as semiconductor device patterns are miniaturized, the size of cell in the device also becomes smaller. Accordingly, even if individual standard cells are provided as a character, the number of shots per wafer increases, thereby making it impossible to shorten drawing time and thus lowering drawing throughput. According to one embodiment of the present invention, an electron beam exposure apparatus, comprising: a first shaping aperture having a plurality of rectangular openings, each having sizes different from each other and shaping a beam shape of an electron beam; a rectangular opening selection deflector which controls a path of the electron beam to irradiate the electron beam on one of the plurality of rectangular openings; a second shaping aperture having a plurality of character openings, each having sizes different from each other and shaping a beam shape of the electron beam passing through the first shaping aperture; and a character beam deflector which controls the path of the electron beam to irradiate the electron beam on character openings corresponding to the rectangular openings in the first shaping aperture. Furthermore, according to one embodiment of the present invention, an electron beam exposure method, comprising: irradiating an electron beam on a first shaping aperture having a plurality of rectangular openings, each having sizes different from each other and shaping a beam shape of the electron beam; controlling a path of the electron beam to irradiate the electron beam on one of the plurality of rectangular openings; irradiating the electron beam passing through the first shaping aperture on a second shaping aperture having a plurality of character openings, each having sizes different from each other and shaping a beam shape of the electron beam; and controlling the path of the electron beam by a character deflector to irradiate the electron beam on character openings corresponding to the rectangular openings in the first shaping aperture. Furthermore, according to one embodiment of the present invention, a method of manufacturing semiconductor device, comprising: irradiating an electron beam on a first shaping aperture having a plurality of rectangular openings, each having sizes different from each other and shaping a beam shape of the electron beam; controlling a path of the electron beam to irradiate the electron beam on one of the plurality of rectangular openings; irradiating the electron beam passing through the first shaping aperture on a second shaping aperture having a plurality of character openings, each having sizes different from each other and shaping a beam shape of the electron beam; controlling the path of the electron beam by a character deflector to irradiate the electron beam on character openings corresponding to the rectangular openings in the first shaping aperture; and irradiating the electron beam passing through the character opening on a wafer to fabricate a semiconductor device. One embodiment of the present invention will be described below with reference to the drawings. FIG. 1 is a view showing a schematic configuration of an electron beam exposure apparatus according to one embodiment of the present invention. The electron beam exposure apparatus of FIG. 1 includes an electron gun 1, a first condenser lens 2, a rectangular opening selection deflector 3, a rectangular opening selecting deflection amplifier 4, a second condenser lens 5, a first shaping aperture 6, a first projection lens 7, a second projection lens 8, a character selection deflector 9, a character selecting deflection amplifier 10, a second shaping aperture 11, an imaging lens system 12, an objective deflection amplifier 15 controlling a primary objective deflector 13 and a secondary objective deflector 14 of the lens system 12, a deflection controller 16, a drawing information storage unit 17 storing information relating to a circuit pattern drawing method, a movable stage 19 mounting a wafer 18, a Faraday cup 20 placed on the stage 19, a heavy metal particle mark 21 and an electron beam measurement mark platform 22. The operating principle of the electron beam exposure apparatus of FIG. 1 will be briefly described below. The first condenser lens 2 and the second condenser lens 3 adjust current density and Koehler illumination condition of an electron beam emitted from the electron gun 1. The adjusted electron beam is guided to the first shaping aperture 6. In the first shaping aperture 6, there are a plurality of rectangular openings having a different size from each other. FIG. 2 is a plan view showing an example of the first shaping aperture 6. In the example of FIG. 2, two rectangular openings 31 and 32 of a different size from each other are formed in the first shaping aperture 6. Three or more rectangular openings of a different size from each other may be arranged in the first shaping aperture 6. The electron beam is deflected by the rectangular opening selection deflector 3 and irradiated on one selected from among the plurality of rectangular openings 31 and 32 formed in the first shaping aperture 6. The electron beam passes through a specific rectangular opening within the first shaping aperture 6, and then is focused on the second shaping aperture 11 through the first projection lens 7 and the second projection lens 8. On the second shaping aperture 11, there are formed a plurality of character openings of a plurality of shapes and sizes, and a rectangular opening (hereinafter referred to as a VSB opening) used in drawing based on VSB technique. The electron beam is deflected by the character selection deflector 9 and irradiated on one selected from among the plurality of openings formed in the second shaping aperture 11. The rectangular opening selection deflector 3 and the character selection deflector 9 are controlled by the deflection controller 16. The control method will be described later. FIG. 3 is a view for explaining a drawing process based on VSB technique and a drawing process based on CP technique. In VSB technique, as shown in FIG. 3A, an electron beam is irradiated on part of a VSB opening 25 to form a rectangular beam of a given shape. Meanwhile, in CP method, an electron beam is irradiated on a character opening 26 preliminarily processed into a given shape to form a beam corresponding to the shape of the character opening 26, as shown in FIG. 3B. Returning to FIG. 1, the electron beam passes through the second shaping aperture 11, and then is reduced by the imaging lens system 12 and focused on the wafer 18. The electron beam focusing position within the wafer 18 is adjusted by the primary objective deflector 13 and the secondary objective deflector 14 based on a control by the objective deflection amplifier 15. The Faraday cup 20 and the heavy metal particle mark 21 are used to specify an electron beam irradiating position on the stage 19. FIG. 4 is a plan view showing an example of the second shaping aperture 11. In the example of FIG. 4, there are formed first character openings 33 of small size having a different shape from each other, second character openings 34 of large size having a different shape from each other, and a VSB opening. A plurality of the first character openings 33 are provided. Each of the first character openings has the same size, but has a different shape. Similarly, a plurality of the second character openings 34 are provided. Each of the second character openings 34 has the same size, but has a different shape. As shown in FIG. 2, in the first shaping aperture 6, there are a plurality of rectangular openings having a different size. In accordance with a rectangular opening selected in the first shaping aperture 6, a character opening is selected from the second shaping aperture 11. For example, the first character opening 33 of small size in the second shaping aperture 11 is selected when the rectangular aperture of small size is selected from the first shaping aperture 6. Similarly, the second character opening 34 of large size and the VSB opening in the second shaping aperture 11 are selected when the rectangular aperture of large size is selected from the first shaping aperture 6. Conventionally, only character openings having the same size are arranged in the second shaping aperture 11, Therefore, the number of openings capable of be formed in the second shaping aperture 11 has been limited. However, according to the present embodiment, the first character openings 33 of small size and the second character openings 34 of large size are formed. Consequently, the number of openings capable of being formed in the second shaping aperture 11 can be increased, as compared with conventional art. Therefore, more various shapes of circuit patterns can be formed with one shot of exposure, thereby reducing the number of shots. In FIG. 4, the pitch between the first character openings 33 and the pitch between the second character openings 34 are different from each other, and the second character openings 34 and the VSB opening 35 are formed near the center. However, arrangement of the openings and the number of the openings are not especially limited. As one example, the VSB opening 35 and the second character opening 34 are each about 5 μm square on the wafer 18. The actual beam size on the second shaping aperture 11 is several times to several tens of times as large as the beam size on the wafer 18. The electron beam shaped by the second shaping aperture 11 is reduced and then imprinted onto the wafer 18. The second shaping aperture 11 of FIG. 4 has one VSB opening 35, 168 pieces of first character openings 33 with 3.5 μm square on the wafer, and 8 pieces of second character openings 34 with 5 μm square on the wafer. For example, when the second character opening 34 is not needed, the first character opening 33 can be disposed in that position. In this case, 192 pieces of first character openings 33 can be formed in the whole second shaping aperture 11. Meanwhile, the rectangular opening 31 of large size within the first shaping aperture 6 is, for example, 5 μm square on the wafer, and the rectangular opening of small size is, for example, 3.5 μm square on the wafer. FIG. 5 is a view showing an exemplary size of the second shaping aperture 11. FIG. 5A shows the first character opening 33, and FIG. 5B shows the second character opening 34. When a standard cell of small size indicated by the shaded area of FIG. 5C is drawn, the first character opening 33 of FIG. 5A is used. When a standard cell of large size as shown in FIG. 5D is drawn, the drawing is performed by a plurality of shots using the first character opening 33 of FIG. 5A. Also, when a memory cell having a repeating structure of small-size cells, as shown shaded small rectangles in FIG. 5E, is drawn, the drawing is performed in one shot for plural cells using one of the second character openings 34. FIG. 6 is a schematic layout diagram showing an example of system LSI. SRAM1 to SRAM3 of FIG. 6 have a repeating structure, are drawn at one shot by use of the second character openings 34 in the second shaping aperture. Meanwhile, logic gate circuits and processors have random structures having little repetition. Therefore, exposure is performed with the first character opening 33 of small size. When the logic gate circuits and the processors are drawn, it is desirable to provide as many as possible of the first character openings 33 having shapes different from each other. As described above, the second shaping aperture 11 has a plurality of openings of different sizes and shapes. Therefore, to perform drawing, it is needed to specify which opening should be used for drawing. Thus, according to the present embodiment, as shown in FIG. 7, an opening is specified by use of coordinates (X, Y) indicating the position of all openings in the second shaping aperture 11. In FIG. 7, original point “O” is set at the central position in the second shaping aperture 11. However, original point “O” may be set at another position. In this case, original point “O” must be set at a position which can be properly identified by a control system controlling electron beam writing (rectangular opening selecting deflection amplifier 4, character selection deflection amplifier 10 and the like) and from which deflection quantity can be properly detected. Also, in accordance with the size of a rectangular opening selected by the first shaping aperture 6, an opening must be selected in the second shaping aperture 11. Therefore, it is also necessary to specify a relationship between the size of rectangular opening selected in the first shaping aperture 6 and the kind of opening selected in the second shaping aperture 11. Thus, according to the present embodiment, drawing information indicating the relationship between an opening selected by the first shaping aperture 6 and an opening selected by the second shaping aperture 11 is stored in the drawing information storage unit 17. The deflection controller 16 reads sequentially the drawing information from the drawing information storage unit 17 and controls the rectangular opening selecting deflection amplifier 4 and the character selecting deflection amplifier 10. FIG. 8 is a view showing an example of character table stored in the drawing information storage unit 17. As shown in FIG. 8, the drawing information storage unit 17 stores character IDs for specifying the characters to be drawn by the respective shots, the sizes of rectangular openings selected by the first shaping aperture 6, and coordinates of the openings and opening types (comment) selected by the second shaping aperture 11. In FIG. 8, character ID of a VSB opening 35 in the vicinity of the center is “0” and its coordinates are (X0, Y0). An adjoining first character opening 33 of small size on the right side of the VSB opening 35 is used to draw a standard cell, and its character ID is “10” and its coordinates are (X10, Y10). Meanwhile, a second character opening 34 of large size on the left side of original point O is used to draw a number (8) of SRAM cells, and its character ID is “179” and its coordinates are (X179, Y179). In FIGS. 7 and 8, coordinates are set based on a vertex at the lower left corner of each opening. However, coordinates may be set based on another position. In this case, the setting is preferably standardized for all openings. In the character table of FIG. 8, the two rectangular openings in the first shaping aperture 6 are represented by “0” and “1”. This is ID for specifying the size of rectangular opening. When there exist three or more kinds of rectangular openings, the number of bits of ID may be increased accordingly. Alternatively, information for identifying each rectangular opening within the first shaping aperture 6 may be stored in the character table. The character table of FIG. 8 is provided for each combination of the first shaping aperture 6 and second shaping aperture 11. Thus, when the first shaping aperture 6 or the second shaping aperture 11 is changed to another aperture, the character table must also be changed. When the circuit pattern to be drawn is changed, a new character table must be prepared. The character table of FIG. 8 is shown as an example; any information other than one shown in FIG. 8 may be added or modified. In this manner, according to the present embodiment, a plurality of rectangular openings of different sizes are arranged in the first shaping aperture 6, and in accordance with this, a plurality of character openings of different sizes are arranged in the second shaping aperture 11. Accordingly, optimum opening sizes can be selected according to the circuit pattern, thereby reducing the number of shots. Particularly, since the second shaping aperture 11 has a plurality of character openings of different sizes, as compared to conventional art, the number of character openings in the second shaping aperture 11 can be increased, so character openings corresponding to circuit patterns of various shapes can be preliminarily prepared. Consequently, the number of shots can be significantly reduced. More specifically, in terms of circuit patterns of a repeating structure, such as SRAM cell, drawing can be performed at one shot for plural cells by use of character openings of large size. Therefore, exposure can be efficiently performed in a short time. In terms of circuit patterns of a complex shape having little repetition, such as logic circuit, i.e. in terms of the circuit patterns of a combination logic circuit and a sequential circuit, when many character openings of small size are preliminarily arranged, exposure can be performed by a smaller number of shots. Accordingly, in the case of circuit patterns having logic circuits and memory cells mixed therein, when the kind of character opening is selected according to the position within the wafer 18, drawing can be rapidly performed with excellent reliability. Even when the degree of integration of semiconductor device increases in the future, the present embodiment is substantially applicable. Furthermore, according to the present embodiment, drawing information indicating the relationship between character ID of each shot, the size of rectangular opening in the first shaping aperture 6, and the position and kind of character opening in the second shaping aperture 11, is stored in the drawing information storage unit 17. Accordingly, when each aperture is controlled according to the drawing information, drawing can be performed easily and quickly.
047572094
abstract
In order to make possible precisely controlled and reliable operation of the rotors of a neutron chopper each rotor is to be driven by a hysteresis motor, whose rotor is in the form of a hollow cylinder. The attachment of each drive rotor to the one end of the rotor is by way of a non-magnetic disk or ring which is screwed to the lower end of the rotor or the top end thereof in accordance with the design. The drive rotor is only shrunk onto the disk or ring. The stator placed around the drive rotor is embedded within the neutron chopper housing in an electrically insulating cast material which is not affected by high vacuum and radiation.
abstract
An inspection apparatus includes a feed-in preparation chamber, an imaging chamber, and a feed-out preparation chamber. Each preparation chamber includes a feed-in unit that receives an inspection object through a first opening, a traverser that translates the received object to a second opening in a direction different from the receiving direction of the object, and a feed-out unit that moves the object in a direction different from a moving direction of the traverser and discharges the object through the second opening. The imaging chamber includes an imaging unit that images the object fed from the feed-in preparation chamber. The traverser includes a mount for the object, and a shield that moves together with the mount and prevents radioactive rays entering one of the first and second openings and propagating in the moving direction of the traverser from reaching the other opening.
052176800
description
DESCRIPTION OF THE PREFERRED EMBODIMENTS Embodiments of the present invention will now be described with reference to FIGS. 1 to 8. A first embodiment shown in FIG. 1 is as follows. A cooling water type reactor includes a pressure vessel 4 which contains therein a reactor core 5 capable of generating heat by nuclear reaction and a cooling water 7 serving as a coolant. The pressure vessel 4 is stored in a primary reactor container 19 made of steel together with a suppression pool 8. This primary reactor container 19 is stored in a secondary reactor container 22 made of concrete. Within the secondary reactor container 22, a fuel storage pool 3, a water storage tank 17, etc. are installed. A main steam piping 1 is connected to the pressure vessel 4 so as to send the high-temperature and high-pressure steam 6 produced in an upper phase section within the pressure vessel 4 to a turbine side via a main steam isolation valve 20. A water-feed piping 2 is connected to the pressure vessel 4 so as to communicate with the cooling water 7 therewithin, thus enabling a condensate from a condenser or the like to be supplied into the pressure vessel 4 via a check valve 21. A gas phase section of the water storage tank 17 and a steam phase section of the pressure vessel 4 are allowed to communicate with each other by means of a piping 10. An on-off valve 13 is provided on the piping 10 at a midway thereof. A liquid phase section of the water storage tank 17 is allowed to communicate with a liquid phase section of the pressure vessel 4 via a piping 11, on which an on-off valve 14 is provided. The interior of the water storage tank 17 is communicated with the pool water within the fuel storage pool 3 by means of a piping 16 which is equipped, at a midway thereof, with a check valve 15 permitting only the flow of water toward the interior of the water storage tank 17. The water storage tank 17 is provided, at its outer peripheral surface, with pin-type fins 17a serving as a heat dissipation means. In the first embodiment having the described construction, usually, the on-off valves 13, 14 are closed and the main steam isolation valve 20 is opened. When the reactor is operated under this condition, the cooling water 7 heated by the reactor core 5 is circulated within the pressure vessel 4 as indicated by the arrows to produce a high-temperature and high-pressure steam in the steam phase section of the pressure vessel 4. This steam is sent to a turbine by way of the main steam piping 1 and thus is utilized as a drive source for such turbine. The steam thus utilized is changed into a condensate and is passed through the water feed piping 2 and thus returned back to the liquid phase section of the pressure vessel 4. When the pressure vessel 4 or main steam piping 1 is broken and resultantly the cooling water 7 within the pressure vessel 4 is released, as it stands or in the changed form into a steam, into the primary reactor container 19, the coolant 7 within the pressure vessel decreases to cause the occurrence of a loss-of-coolant accident. When such loss-of-coolant accident has taken place, the high-temperature and high-pressure steam having been released from the pressure vessel, main steam piping, or the like into the primary reactor container 19 is led into the pool water of the suppression pool 8 via a vent port 9. Thus, such steam is condensed to cause a decrease in level of the pressure within the primary reactor container 19. This eliminates the occurrence of a danger attributable to the increased pressure. When the loss-of-coolant accident has taken place, the on-off valve 13, 14 are simultaneously opened, or firstly the on-off valve 13 and secondly the on-off valve 14 are opened to permit communication between the pressure vessel 4 and the water storage tank 17. In this state, the high-temperature and high-pressure steam is introduced from the pressure vessel into the water storage tank 17, whereby the pressure within the pressure vessel 4 becomes equal in level to that within the water storage tank 17. Since the cooling water 7 within the pressure vessel 4 becomes lower in level than the liquid surface within the water storage tank 17, the cooling water stored in the water storage tank 17 is introduced into the pressure vessel 4 by way of the piping 11 and by the action of the gravitational force. When the stored water in the water storage tank 17 becomes empty and introduction of water is further needed, the on-off valves 13, 14 are closed to cut off the influence of high-temperature and high-pressure from the pressure vessel 4 to the water storage tank 17. Thereafter, the water storage tank 17 is cooled by its surroundings. This cooling is effected with high efficiency by dissipating the heat within the water storage tank 17 to the surroundings thereof by means of the pin type fins 18. When the residual steam within the water storage tank 17 is cooled and condensed, the pressure within the same is decreased down to a level permitting the pool water within the fuel storage pool 3 to enter the water storage tank 17. Thus, that pool water enters the water storage tank 17 by way of the piping 16 and the check valve 15 and is stored in the same. After this storage, when the on-off valves 13, 14 are re-opened, the cooling water stored within the water storage tank 17 is filled into the pressure vessel 4 by the gravity in the same manner as mentioned above. Through repetition of the above-mentioned operation, it is possible to supplement the coolant for a long period of time into the pressure vessel in a state wherein the level of the cooling water within the pressure vessel 4 decreases while the same is maintained in a condition of high pressure. This can avoid the danger of the reactor due to the loss-of-coolant accident for a long period of time. Thus, this embodiment avoids the occurrence of a danger due to the loss-of-coolant accident without using any dynamic equipment such as a pump, thus enhancing the reliability of the apparatus. This eliminates the necessity of considering the damages likely to be caused to a power source for driving a pump, or a control system for a pump. In the first embodiment, the height of a pool water inlet 23 of the piping 16 is made higher than that of the used fuel within the fuel storage tank 3, thereby completely avoiding any impairment of the function of the fuel storage pool. The second embodiment shown in FIG. 2 is a modification of the first embodiment and differs from the latter as follows, provided that the same parts or sections as those in the first embodiment are denoted by like reference numerals and description thereof is omitted. In FIG. 2, a pool 24 is newly provided at a left upper corner of a secondary reactor container 22 and a water storage tank 17 is installed within a water in the pool 24 in such a manner that the water storage tank 17 is submerged within the water of the pool 24. One end of a piping 16 connects to the interior of the water storage tank 17 via a check valve 17 while the other end thereof is opened as a suction port to a bottom portion of the pool 24. The other constructions are the same as those of the first embodiment. According to the second embodiment shown in FIG. 2, the same function or action and effect are brought about. In addition, since the water storage tank 17 is submerged within the pool water of the pool 24, the pool water plays both the role of promoting the condensation of the steam within the water storage tank 17 and the role as the function of a supplementary cooling water supply source for supply of a supplementary cooling water into the water storage tank 17. Thus, the facilities are simplified and at the same time the pressure within the water storage tank 17 can be effectively reduced. A third embodiment shown in FIG. 3 is a modification of the first embodiment. Both the embodiments differ from each other in the following respects, provided that the same parts or sections as those in the preceding embodiment are denoted by like reference numerals, respectively, and description thereof is omitted. Referring to FIG. 3, a pool 24 is newly provided at a left upper corner of a secondary reactor container 22. A water storage tank 17 is installed within a pool water in this pool 24 in such a manner that the water storage tank 17 is submerged within the same. The arrangement includes a piping 16 having one end connecting to the interior of the water storage tank 17 via a check valve, the other end thereof being opened as a suction port to an inner bottom portion of the pool 24. A plurality of heat transfer pipes 25 are disposed in the water storage tank 17 in such a manner that they are passed through the water storage tank 17 in their vertical direction, and that their upper and lower ends are opened into the pool water of the pool 24. The other constructions are the same as in the first embodiment. According to the third embodiment shown in FIG. 3, the same action and effect as in the case of the first embodiment are obtained. In addition, the water storage tank 17 is submerged within the pool water of the pool 24, the pool water plays both the role of promoting the condensation of the steam within the water storage tank 17 and the role as a supplementary cooling water supplying source for supply of a supplementary cooling water into a water storage tank 17. Thus, the facilities are simplified and at the same time the pressure in the water storage tank 17 can be effectively decreased. Further, at the time when the steam in the water storage tank 17 is condensed, the pool water of the pool 24 passes through the heat transfer pipes 25 in such a manner that the pool water circulates therethrough from below to above. Therefore, as compared with an arrangement wherein dissipation of heat is effected only from the outer peripheral surface of the water storage tank, the steam condensing efficiency becomes high and cooling water can be speedily re-stored because dissipation of heat is effected from the interior of the tank as well via the heat transfer pipes 25. This brings about an advantage of enabling the reductin in the cycle length of filling water into the pressure vessel. A fourth embodiment shown in FIG. 4 is a modification of the first embodiment but differs from the latter in the following respects. Note that the same parts or sections as those in the first embodiment are denoted by like reference numerals and description thereof is omitted. In FIG. 4, a water storage tank 17 is installed within the pool water within a fuel storage pool 3 in such a manner that the water storage tank 17 is submerged within the pool water. A piping 16 is so provided as to have one end connecting to the interior of the water storage tank 17 via a check valve 15 and the other end opened as a suction port into a bottom portion of the fuel storage pool 3. The other constructions are the same as those in the first embodiment. According to the fourth embodiment shown in FIG. 4, the same action and effect as in the first embodiment can be obtained. Further, since the water storage tank is submerged within the pool water of the fuel storage pool 3, the pool water functions not only to promote the steam condensation within the water storage tank 17 but also to cool the stored fuel as well as to serve as a supplementary cooling water supplying source for supply of supplementary cooling water into the water storage tank 17. Thus, the simplification of the facilities and highly efficient pressure reduction for the water storage tank 17 can be achieved. A fifth embodiment shown in FIG. 5 is a modification of the first embodiment and the difference therebetween is as follows. In this embodiment, however, the same parts or sections as in the first embodiment are denoted by like reference numerals, respectively. A valve 29 provided on a piping 11, the piping 11 having its filling port opened to the pressure vessel 4, the filling port being located at a position of liquid phase of the pressure vessel corresponding to a level equal to the height L as measured from the top of a reactor core 5, and a valve 28 provided on a piping 10 leading to a steam phase section 6 are motor operated valves. On the other hand, a water storage tank 17, which is disposed at a level higher than the level of said height L, has a level gage 12. A control device 27, which causes a switch to operate to close the valves 28, 29 in response to a low water level detection signal from the level gage 12 and which causes the valves 28, 29 to be opened in response to a high level of water detection signal, is connected between the level gage 12 and the motor operated valves 28, 29. According to the fifth embodiment shown in FIG. 5, the same action and effect as in the first embodiment are brought about. In addition, when water is supplied from the water storage tank 17 to the pressure vessel 4 and when the level of water in the water storage tank 17 decreases, the level gage 12 delivers the low water level detection signal to the control device 27. As a result, the control device 27 so operates as to cause the valves 28, 29 to be closed. For this reason, the communication between the interior of the pressure vessel 4 and that of the water storage tank is rendered ineffective in respect of temperature as well as pressure. Thus, the water storage tank 17 is cooled by an ambient or surrounding atmosphere, whereby the steam within the water storage tank 17 is condensed. Thus, the pressure within the water storage tank 17 is lowered until the pool water within the fuel storage pool 3 is permitted to enter the water storage tank 17. And eventually, that pool water is introduced into the water storage tank 17. This causes an increase in the quantity of water pooled within the water storage tank 17, with the result that the level gage 12 detects a high level of water. Upon detection of this high level of water, the low level of water detection signal is extinguished. Then, the motor operated valves 28, 29 are opened. Thus, supply of water from the water storage tank 17 to the pressure vessel 4 is re-started. In this way, supply of water is effected for a long period of time. This automatization can be also adopted in the preceding embodiments and further can be also applied as required to the following embodiments as well. A sixth embodiment shown in FIG. 6 is a modification of the first embodiment and differs from the first embodiment as follows, provided that the same parts or sections as those in the first embodiment are denoted by like reference numerals, respectively, and description thereof is omitted. The embodiment includes a primary reactor container 19 in which suppression pools 8 are provided at upper portions thereof. A main steam piping 1, a water-feed piping 2, etc. are installed below the suppression pools. Within each suppression pool, a water storage tank 17 is installed in such a manner that the water storage tank 17 is submerged beneath the water of the pool 8. The sixth embodiment of FIG. 6 is the same as the first embodiment in that if valves 13, 14 are opened, supply of water can be effected from the water storage tank 17 to the pressure vessel 4. After the water in the water storage tank has been exhausted after said supply of water, the valves 13, 14 are closed so as to permit the water storage tank 17 to be cooled by the pool water within the suppression pools 8. Thus, the residual high-temperature steam within the water storage tank 17 is condensed. Thus, the pressure within the water storage tank 17 decreases down to a level at which the pool water within the suppression pool 8 can be sucked into the tank 17. Thereafter, the water storage tank 17 sucks the pool water within the suppression pool 8 by way of a check valve, whereby the pool water is stored in the tank 17. If, thereafter, the valves 13, 14 are opened again, supply of water from the water storage tank 17 into the pressure vessel 4 is re-opened. According to the sixth embodiment shown in FIG. 6, the suppression pools 8 are disposed at a level higher than the level of the height L as measured from a reactor core 5, and the pool water within the suppression pool 8 can be made a cooling water of the water storage tank 17 and also made a suction water into the same. Accordingly, there is no need to newly provide any pool. In addition, various devices or sections included in an emergency water filling system can be disposed within the primary reactor container 19, whereby it is possible to maintain the likelihood of radioactive leakage into the primary reactor container 19 of a conventional level. A seventh embodiment shown in FIG. 7 differs from the sixth embodiment in that the position at which a water storage tank 17 is installed is different from that in case of the sixth embodiment. As shown in FIG. 7, the water storage tank 17 is disposed within a condensate storage tank 26 which is stationarily provided as a reactor facility outside a primary reactor container 19. Within this condensate storage tank 26 there is stored a condensate within which a water storage tank 17, a check valve 15, pipings, etc. are submerged. According to the seventh embodiment, the condensate within which the condensate storage tank 26 can serve both as a liquid to be sucked into the water storage tank 17 and as a cooling water for cooling the water storage tank 17. This eliminates the necessity of newly installing a pool for submerging the water storage tank 17 within the water, or a pool for storing a liquid to be sucked into the water storage tank 17. An eighth embodiment of FIG. 8 refers to a pressurized water reactor containing therein a reactor core 5 generating heat by nuclear reaction and a cooling water 7 serving as a coolant. The pressurized water reactor includes a pressure vessel 4 which is received within a reactor container. The reactor container 36 further accommodates therein a pool 24, a water storage tank 17, and a steam generator 32. The pool 24 and water storage tank 17 are installed at a level higher than that corresponding to a liquid surface within the steam generator 32. Heat transfer pipes are disposed within the steam generator 32 and pipings 33, 34 are led to these heat transfer pipes. These pipings 33, 34 are connected to the pressure vessel 4. A pump 35 is provided at a midway of the piping 34. This pump sends a cooling water, which has been cooled down to a low temperature by a steam generator, to the interior of the pressure vessel 4, and sends a cooling water, which has been heated up to a high temperature by the reactor core 5, to the heat transfer pipes of the steam generator 32. Thus, within the steam generator 32 the water surrounding the heat transfer pipes are heated and thus are caused to evaporate to cause production of a high-temperature and high-pressure steam 6 at the top of the steam generator 32. A main steam piping 1 is connected to the steam generator 32 so that the high-temperature and high-pressure steam 6 produced in an upper phase section within the steam generator 32 can be sent to a turbine side. A waterfeed piping 2 is so connected as to communicate with the cooling water 7 of the pressure vessel 4. This makes it possible to supply a condensate from, for example, a condenser to the steam generator 32. A gas phase section of the water storage tank 17 is allowed to communicate with a steam phase section within the steam generator 32 by way of a piping 10. An on-off valve 13 is provided at a midway of the piping 10. A liquid phase section of the water storage tank 17 is allowed to communicate with a liquid phase section within the steam generator 32 by way of a piping 11, on which an on-off valve 14 is provided. The interior of the water storage tank 17 is allowed to communicate with the pool water within the pool 24 by way of a piping 16 equipped with a check valve 15 permitting water to flow only toward the interior of the water storage tank 17. According to the eighth embodiment of FIG. 8, the reactor operation is performed with the valves 13, 14 being usually kept closed. During this reactor operation, the high-temperature and high-pressure steam is produced in the upper phase portion of the steam generator 32. This steam is sent to a turbine by way of the main steam piping 1 and is utilized as a drive source for the turbine. The steam, which has been utilized, becomes a condensate which is allowed to pass through the water feed piping and thus returned back to the liquid phase portion of the steam generator. When the steam generator 32 or main steam piping 1 is broken or fractured whereby a loss-of-coolant accident occurs that a water is released from break openings into the reactor container 36 as it stands or after having become steam, the water within the steam generator 32 decreases with the result that the reactor core or steam generator comes into a danger that a loss of coolant over the reactor core occurs due to the steam generator. Upon occurrence of the loss-of-coolant accident, the valves 13 and 14 are simultaneously opened, or firstly the valve 13 and secondly the valve 14 are opened, to permit communication between the interior of the steam generator 32 and the interior of the water storage tank 17. Thus, the high-temperature and high-pressure steam is introduced from the steam generator 32 into the water storage tank 17. Thus, the pressure within the steam generator 32 and that within the water storage tank 17 are equalized. Thus, the cooling water stored in the water storage tank 17 is introduced into the steam generator 32 by gravitational force and by way of the piping 11. When the water stored in the water storage tank 17 becomes empty and yet is further required to be introduced, the valves 13 and 14 are closed to invalidate the effect of high temperature and high pressure in the steam generator 32 upon the water storage tank 17. Thereafter, the water storage tank 17 is cooled by the pool water within the pool 24 to cause the heat within the water storage tank 17 to be dissipated over the environmental surroundings. When the residual steam within the water storage tank 17 is cooled and condensed, the pressure therewithin is decreased down to a level permitting the pool water within the pool 24 to be introduced into the water storage tank 17. At this time, the pool water enters the water storage tank 17 through the check valve 15 and thus is stored therein. When the valves 13 and 14 are opened after this storage, the water stored in the water storage tank 17 is introduced into the steam generator 32 by the action of the gravity by way of the piping 11, in the same manner as stated before. Through repetition of the above-described operation, the danger of the reactor due to the loss of the coolant is avoided for a long period of time. In this way, the occurrence of a loss-of-coolant accident is avoided without adopting any dynamic equipment such as a pump, so that the reliability of the apparatus is enhanced. FIG. 9 is a schematic diagram showing a reactor core cooling apparatus according to the prior art. When a loss-of-coolant accident has taken place, a valve 42 is opened to permit the steam having been generated within a reactor pressure vessel 4 to be led to a position above the water surface of a water storage tank 38 via a piping 40. Then, the pressure within the pressure vessel 4 is equalized with that within the water storage tank 38. Then, by opening a valve 44, the water stored in the water storage tank 38 can be introduced into the pressure vessel 4. The pressure difference between the pressure vessel 4 and the water storage tank 38 is monitored by means of a differential pressure gage 39. When the pressure difference has become sufficiently small, the valve 44 is opened, thereby causing the water stored within the water storage tank 38 to be introduced into the pressure vessel 4 by the gravitational force, thus completing the filling of the coolant into the pressure vessel 4. The present invention brings about the following advantages. That is to say, a large amount of liquid exceeding the capacity of the closed water storage tank can be introduced into the high-temperature and high-pressure vessel without relying upon the use of any pressure feed means such as a pump or a dynamic equipment. In addition, repetition of supply of liquid into the high-temperature and high-pressure vessel can be automatically effected. This makes it easy to conduct the operation of filling liquid into the high-temperature and high-pressure vessel. In addition, since it is not necessary to perform the operation of the valve between the liquid source and the closed liquid storage tank, the operation of filling the liquid into the high-temperature and high-pressure vessel becomes easy to carry out by that extent. Also, since the intermittent liquid filling cycle with respect to the high-temperature and high-pressure vessel can be expedited, it is possible to approximate it to a continuous liquid filling cycle. Further, since the pressure within the closed liquid storage tank can effectively be reduced, it is possible to provide a more suitable closed liquid storage tank for filling the liquid. In addition, at the time of a loss-of-coolant accident taking place in a reactor or steam generator, the safety therefrom can be secured for a long period of time without employing any pressure feed means such as a pump regarded as a dynamic equipment.
description
Applicants claim the benefit of their Provisional patent application Ser. No. 60/715,622 filed in the United States Patent and Trademark Office on Sep. 9, 2005. The present invention concerns apparatus and methods for the shielding (absorption) of incident gamma radiation and its conversion to less energetic photons. Gamma photons (often denoted by the Greek letter gamma, γ) are a form of very high energy, very high frequency, very short wavelength, and very penetrating electromagnetic radiation emitted by, for example: Atomic nuclei making a transition from an initial excited nuclear state to a subsequent lower energy state, or Transmutation reactions (nuclear reactions in which one element changes to another) in which prompt gamma rays are emitted by an atomic nucleus after it has absorbed a type of neutral elementary particle called a neutron, or Radioactive decay of nuclei in processes such as fission of unstable radioactive isotopes (e.g. Uranium-235, used in nuclear weapons and some commercial nuclear power plants), and Other subatomic processes involving elementary particles such as electron-positron annihilation. Gamma photons, alternatively called “gamma rays”, “gamma radiation” or “gamma emissions”, have the following basic characteristics: Energy: >100 keV Frequency: >1020 Hz Wavelength: <10−13 meters Penetrating Power: much more penetrating than X-rays in normal solid materials; gammas are the most powerful form of electromagnetic radiation. The following terminology, consistent with accepted practice, will be used in this Invention: Infrared (IR)—associated with the concept of “heat” because it emitted as radiation by hot objects; efficiently absorbed by many solid materials. IR is a broad spectral segment; photon energies range from 0.0012 eV up to ˜1.65 eV; this includes the infrared, intermediate infrared, and far infrared bands. Visible Light—electromagnetic radiation seen by the human eye comprises a relatively narrow slice on the entire electromagnetic spectrum with photon energies that range from 1.65 to 3.1 eV. Ultraviolet (UV)—broadly defined, photon energies range from 3.1 to 124 eV. Extreme Ultraviolet (EUV)—in optical and laser work, this term commonly refers to photons with energies that range from roughly 89 eV up to about 113 eV. This range narrowly overlaps the lower boundary of soft x-rays. Soft X-Rays—photon energy ranges from 0.10 keV (100 eV) up to 10 keV Hard X-Rays—photon energy ranges from 10 keV up to 1,000 keV (1 MeV) Gamma Rays—generally refers to any electromagnetic photon with an energy>100 keV; please note that this accepted definition overlaps that of “hard X-rays” from 100 keV to 1,000 keV (1 MeV)—this overlap is alternatively called “Soft Gamma Rays”. In the narrowest possible definition, the energy of gamma ray photons ranges from 1 MeV up to whatever. Gamma photon energies can get extremely high: gamma rays emitted in a 1996 burst recorded from an astronomical object named Markarian 421 (known as a “blazar”) reached 300 GeV—astronomers believe that they can reach TeVs. Prompt or Activation Gamma Rays—these terms imply gamma rays that are emitted from an atomic nucleus either: (a.) within one (1) nanosecond after a neutron absorption (“capture”) event, or (b.) within a few nanoseconds after the instant of nuclear fission. Gammas arising from neutron captures on nuclei can be described either as “prompt gammas” or as “activation gammas”. Gammas that are emitted contemporaneously with fission events are typically referred to as “prompt gammas.” Delayed or Decay Gamma Rays—in the case of neutron capture on nuclei without inducing fission, the terms “delayed” or “decay” gamma rays generally imply gammas that arise in the course of subsequent decay of more neutron-rich, unstable product(s) that are produced by the initial neutron capture event. Analogously, in the case of a fission event (whatever the proximate cause), the terms “delayed” or “decay” gammas generally mean gammas that arise from the later (depending on their half-lives) radioactive decay of the fission product(s)/fragments. The relative position and key characteristics of gamma rays compared to the rest of the electromagnetic spectrum can be seen in the following Table 1: TABLE 1Gamma Rays and the Electromagnetic SpectrumWavelengthFrequencyEnergy per PhotonName of Wave(m = meters)(Hz)(eV)AM Radio10210610−9FM, TV 110810−7Radar10−110910−6Microwaves10−2101010−5Infrared10−5101310−2Visible Light10−71015 1Ultraviolet10−81016101X-Rays10−101018103Gamma Rays10−131021106 The data shown above in Table 1 is expressed graphically in FIG. 1 in which the relative positions of the infrared bands, soft X-rays, and soft/hard gamma rays are shown versus the entire electromagnetic spectrum. FIG. 2 shows the relationship between gamma rays and the rest of the electromagnetic spectrum on the basis of wavelength; it also illustrates the relatively small percentage occupied by the visible segment of the entire spectrum. Gamma photons interact with normal matter through three main processes (Photoelectric Effect, Compton Effect, and pair production); in order of increasing energy of incident gamma photons required to initiate them, they are as follows: Photoelectric Effect (gamma photon energy≦˜0.5 MeV)—an incident gamma ray photon strikes and is fully absorbed by an atomic electron, which is then subsequently ejected from the atom as an energetic photoelectron, leaving an ionized atom. The gamma photon is now gone, having been absorbed completely by one atomic electron. This process is graphically illustrated in FIG. 3. Compton Scattering (˜0.5 MeV≦gamma photon energy≦˜5.0 MeV)—in this process, an incident gamma ray photon strikes an atomic electron, ionizing it, and giving up part of its energy to the struck electron, which is then ejected from the atom as an energetic Compton electron. This process is graphically illustrated in FIG. 4. After scattering, the incident gamma photon, now at a lower level of energy, continues through the material or medium in which the atom on which it scattered was located. Depending upon its remaining energy, this lower-energy gamma photon may interact further with materials in its subsequent path via the Photoelectric Effect, Compton scattering, or pair production. Pair Production (mostly at gamma photon energies≧˜5.0 MeV)—in this process, an incident gamma ray photon makes it all the way through the outer cloud of atomic electrons and encounters the intense internal Coulomb field of a atomic nucleus. While in the grip of the nuclear Coulomb field, if an incident gamma photon's energy is greater than a minimum value of 1.022 MeV, pair production may occur. In this process shown in FIG. 5, the entire energy of an incident gamma photon (which then disappears) is converted into an electron-positron (positive electron) pair that fly-off in opposite directions. When such an emitted positron slows down and encounters an ordinary electron, they annihilate each other: two 0.511 MeV gamma photons are produced, which can subsequently interact with matter via the Photoelectric or the Compton Effect. Relative contributions of each of process as a function of a “target” atom's nuclear charge (Z or atomic number of absorber) versus incident gamma photon energy (MeV) is shown in FIG. 6. In general, the Photoelectric Effect becomes dominant at low gamma ray energies. In the middle range of gamma ray energies from ˜1-5 MeV, the Compton Effect dominates over the Photoelectric Effect and pair production. At very high energies, the Photoelectric Effect is absent and pair production increasingly dominates over the Compton Effect. FIG. 7 illustrates high-energy photon absorption cross sections (measured in barns=b) versus photon energy for a relatively low-Z material (Carbon, Z=6); FIG. 8 shows the same data for a relatively dense, high-Z material (Lead, Z=82). Note the cross sections for absorption of gamma radiation between ˜100 keV and 10 MeV for Carbon versus Lead. In FIGS. 7 and 8, examination of the top line on the charted data denoting “Total” photon absorption cross-section per atom illustrates how a high-Z material (e.g. Lead) can be a substantially better gamma shield (i.e. photon absorber or attenuator) than a relatively low-Z material (e.g. Carbon) for gamma photons at energies from 100 keV to ˜10 MeV. Implicit in this comparison is the fact that electron density is much higher in high-Z materials such as Lead than in low-Z materials such as Carbon. It is important to note that in planetary environments, typical local (non stellar) gamma sources (natural, man-made, or man-induced) have photon energies that mostly range from 100 keV to ˜10 MeV. Gammas produced in nuclear fusion processes can easily reach ˜20+ MeV, but are rarely encountered on the surfaces of planets with atmospheres, including Earth. Thus, in most environments, the gamma photon energy range of ˜0.5 MeV to ˜10 MeV includes a very substantial portion of the gamma ray fluxes that might conceivably be encountered. Gamma Photon Radiation Damages Biological Organisms and Modern Electronic Systems: As shown in FIG. 9, exposure to electromagnetic (EM) radiation has various effects on biological and electronic systems. Compared to the rest of the EM spectrum, X- and gamma-rays can cause particularly severe, long term damage to various types of matter found in solid structures. Comparatively high potential for damage occurs from exposure to external gamma radiation because gamma photons have higher energy per photon, thus high frequencies, short wavelengths and tremendous penetrating power compared to charged particles such as alphas and betas (energetic electrons). X- and gamma-rays are thus able to ionize or otherwise excite a variety of atoms and/or molecules located almost anywhere deep inside a living organism or unshielded electronic component. A simplified example of the process of ionization is illustrated in FIG. 10. What happens is that a high energy photon (anything>30 eV) first hits an electron of an atom located somewhere within a biological structure or electronic component. That impact can knock an electron out of the atom, leaving behind a positively charged atom (ion) and an energetic electron (together forming an “ion pair”), both of which can interact further with other local atoms or molecules; this is essentially what happens in both the Photoelectric Effect and Compton scattering (discussed earlier). Types of Damage to Living Organisms from Incident Gamma Radiation: The following illustrate various types of damage from exposure of unshielded living organisms to external gamma radiation; its penetrating power enables it to irradiate 100% of the materials comprising most organisms (99% are low-Z elements: H—60%; O—25%; C—12%; and N—5%): Internal production of large quantities of highly reactive, damaging chemical species called “free radicals” that can wreak havoc in biochemical reaction networks by reacting extensively and uncontrollably with other molecules, Disruption of molecular chemical bonds resulting in “dangling bonds” and unnaturally activated macromolecules that can disrupt biochemical pathways, Production of new chemical bonds between nearby molecules, or creation of unnatural cross-linkages within various types of high molecular weight macromolecules, thus altering their biological function or biochemical activity, Deleterious alteration of the structures of biological macromolecules that are specifically involved in many critical cellular processes, e.g. proteins (structural and enzymes), RNA, and DNA.Major Types of Damage to Modern Electronic Equipment from Gamma Radiation: Similar to biological systems, many types of electronic equipment upon which modern civilization depends can be very sensitive to ionizing EM radiation (gammas and X-rays of terrestrial or extraterrestrial origin) and extremely energetic charged particles traveling at nearly the speed of light (cosmic rays of extraterrestrial origin of which 89% are protons, 10% are helium nuclei, and 1% are nuclei of many other elements) that are able to penetrate surrounding metallic enclosures. Examples of essentially ubiquitous, potentially radiation-sensitive electronic components include microprocessors, computer memory chips, and many other types of integrated circuits that have micron- or sub-micron-sized features. Gamma radiation damage to modern electronic devices falls into two major categories: ionization effects and lattice displacements. Damage to Electronics from Ionization Effects: This is probably the most important class of damage created by irradiation of electronic components with gamma photons and/or hard X-rays. Key damage parameters include the total “dose” and energies of gamma photons, dose rate, and specific locations of ionization interactions within an electronic device. Ionization-related damage can occur as a result of an interaction between a semiconductor device or integrated circuit's lattice atoms and a combination of atomic ions and energetic electrons (effectively beta particles) produced via the Photoelectric Effect and/or Compton scattering of gamma photons within device materials. Such secondary internal interactions between gamma photons and device materials (resulting from radiation passing through cases, circuit boards, components, and devices) are termed systems-generated electromagnetic pulse (SGEMP) effects. Examples of several different types of gamma-induced ionization effects include Photocurrents—Gamma irradiation can generate electron-hole pairs in semiconductor materials (a 1.0 MeV gamma photon can generate 105 electron-hole pairs/photon); such free carriers generate photocurrents as they move through depletion regions of p-n junctions of integrated circuits. The size of these currents can be orders of magnitude greater than normal system levels and can cause temporary or permanent damage to electronic circuits, depending on specific circumstances. Both CMOS devices and MOSFET transistors are affected by photocurrents; free charge can be permanently trapped in certain oxide materials. In the extreme case of the nearby detonation of a nuclear weapon, large transient photocurrents can be created throughout the entire structure of irradiated semiconductors. “Glitches” or Soft Errors—being short lived, these fall under the term “transient radiation effects in electronics” (TREE). They are transient, temporary effects that do not cause permanent damage to a device. In this effect, local charge fluctuations can cause transistors to randomly open which can in turn change the logical states of memory cells and flip-flops. Such “error states” are transitory, and disappear. In the case of DRAMs, the effects of such errors can be obviated with error-correction logic designed into the internal circuitry of the chip (ECC memory chips). However, depending on the size of the transient, this effect can also lead to permanent changes if there is actual junction burn-out or a “latch up”. “Latchup”—is a particularly destructive ionization effect that can potentially lead to catastrophic failure of electronic devices. This effect involves the creation of a high-current, low-voltage nanoscale pathway within an integrated circuit. Such an unnatural flow of current within a highly ordered device can cause a circuit to temporarily malfunction—in the worst case, a tiny segment of the device can be physically burned-out through joule heating of the material.Damage to Electronics from Lattice Displacements: This is a second major class of damage produced by gamma photons on electronic components; it occurs less frequently than ionization damage. Lattice displacement can only occur with relatively high-energy gamma photons which, for most materials and real-world environments, involve gamma photon energies from ˜2 MeV to 10 MeV. This type of damage is produced when an atom in a semiconductor has a Compton scattering interaction with a gamma photon and the “target” atom is physically moved (displaced) from its normal position relative to neighboring atoms in the semiconductor's 3-D lattice structure. Such displacements can cause permanent physical changes in lattice structure (e.g. various types of structural defects) and drastically alter the properties of materials (e.g. changes in bandgap energy levels), depending upon the total “dose” and dose rate of gamma photons as well as the precise locations of resulting lattice defects. Specialized Niche Markets for Radiation-Hardened Electronic Components: Although small compared to the size of the global markets for commercial off-the-shelf (COTS) electronic components, there are specialized commercial markets for radiation-hardened (rad-hard) electronic devices. These markets serve primarily military customers with defense systems that must be able to operate either out in space and/or in combat environments in which nuclear or thermonuclear detonations can potentially occur. “Rad hard” integrated circuits and electronic systems for military and space applications are substantially more expensive than ordinary commercial products. Many of the essential features of this unique market segment are summarized in quotes from an employee of a company, Maxwell Technologies that is well known for its product lines of rad hard components and systems: “The trouble is, building “hardened by design” electronics takes years, the costs are high, and at the end, the systems are often out of date with the current commercial state-of-the-art. Instead, there is an increasing need to use commercially-based computer systems in space to provide reliable, low power operation with throughput performance that is orders of magnitude higher than would be achievable with current state-of-the-art radiation-hardened flight systems.” “Traditionally, space-qualified single board computers (SBCs) have trailed the cutting-edge commercial and military products by factors of performance—often times in excess of 10-100×. Much of this discrepancy is due to the difficulty in radiation hardening, at either the component or system level, that is required for a product to survive and operate in the harsh environment of space.” “A simple and direct way to extend the life of semiconductors is to shield them against the ionizing dose environment. Electrons and protons can be shielded against relatively effectively, while energetic heavy ions and gamma radiation are difficult to shield against. Therefore, such an approach is attractive for space systems in which electrons and protons make ionizing dose a threat. While simple and direct, there is a science to shielding if one is to use it to the best advantage. The ability to use shielding is dependent on having enough information about the device to be shielded, as well as the intended environment.” “In addition to natural space radiation, some military systems must be protected from ionizing radiation from man-made sources in space, such as nuclear event-generated [nuclear weapons explosions] X-rays [and gamma rays]. Shielding can also prove to be very effective in reducing X-ray effects, depending on the energy of the photons. The problem of shielding against X-rays is somewhat different from that of shielding against the natural environment.” “The first such difference is found in the directionality of the radiation. Natural space radiation is, to a good approximation, omni-directional, while man-made radiation comes from a “point source,” and is, therefore, highly directional. Simple slab shields are rather effective in dealing with omni-directional space radiation but are insufficient against X-rays [and especially even higher energy gamma rays]. Because the source of radiation can't be predicted, the device must be shielded in all directions in order for shielding to be effective. Such distinctions must be made clear to users interested in using shielded packages to assure that, in the drive to switch to commercial components, users do not lose essential elements of radiation protection.” “Putting component- and system-level radiation strategies together allows using modern COTS processors such as the wildly popular PowerPC processor architecture from Apple, IBM and Motorola in space-based SBCs.” The source of the above quotes is a published article titled, “An Integrated Approach with COTS Creates Rad-Tolerant Single Board Computer for Space”, Chad Thibodeau, Product Manager, Maxwell Technologies, COTS Journal, December 2003. Natural Background of Terrestrial Gamma and Hard X-Ray Radiation: Earth's thick atmosphere protects the planetary surface from most gamma radiation originating in space from various sources located outside the solar system. However, the surface of the earth and its environs have always experienced a small, natural flux of background gamma and hard X-ray radiation, most of it being produced by decay chains of various radioactive isotopes found naturally in the earth's crust. A few radioisotopes (e.g. 238U) have been around essentially since the planet's formation from the protosolar nebula ˜4 billion years ago. Examples of commonly occurring, gamma-emitting radioisotopes with very different half-lives include: Bismuth: 212Bi (half-life=˜1 hour) in 228Th decay chain; and 214Bi (half-life=˜20 minutes) Lead: 212Pb (half-life=˜11 hours) and 214Pb (half-life=˜27 minutes) in 228Th decay chain Potassium: 40K (half-life=˜1.3 billion years) distribution is ubiquitous; can be found in bananas Thallium: 208Tl (half-life=˜3 minutes) in thorium-228 (228Th) decay chain Uranium: 238U (half-life=˜4.5 billion years) weakly radioactive; found in depleted uranium munitions used by the military FIGS. 11, 12, 13, and 14 illustrate examples of fairly typical background gamma radiation spectra collected at different locations on the earth's surface, outdoors as well as inside a building structure housing a scientific laboratory. Please note that certain gamma lines in these spectra come from man-made “contaminant” radioisotopes, e.g. 60Co (Cobalt-60, which has a half-life of ˜5.3 years) and 137Cs (Cesium-137 which has a prominent spectral “line” at an energy of ˜660 keV and half-life of about 33 years). The 137Cs line is particularly prominent in FIG. 12 (a soil sample taken from rural Belgium). Thanks to previous nuclear weapons testing in the atmosphere up until the test ban treaty, ongoing commercial reprocessing of spent nuclear reactor fuel in some countries and the Chernobyl nuclear reactor disaster in Russia in 1986, 137Cs temporarily enjoys a nearly ubiquitous distribution across the earth's surface. Nuclear Weapons, the Nuclear Power Industry, and Commercial Use of Gamma-Emitting Isotopes: Beginning around the end of World War II, mankind's development and deployment of nuclear weapons (fission and fission-fusion) and closely related nuclear power generation technologies ushered in a new era in which radioisotopes that had been absent from the earth's surface for billions of years were suddenly being created and disseminated in terrestrial ecosystems. Two atomic attacks on Japan, as well as subsequent nuclear weapons testing in the atmosphere from Jul. 16, 1945 to Nov. 4, 1962 (when atmospheric testing was finally banned) by a number of countries, injected a wide variety of gamma-emitting radioactive isotopes into the earth's environment. Parallel development of civilian nuclear power industries in many countries, along with intimately related fuel production/reprocessing and waste disposal activities, has resulted in further injections of radionuclides into global ecosystems. This includes thousands of low-level unintended releases, as well as the major disaster at the Chernobyl reactor complex in Russia in 1986. Also, a variety radioisotopes produced in nuclear reactors (e.g. 60Co) began to be used commercially as radiation sources in many industrial and medical applications, creating additional human exposure and used equipment disposal issues. Existing nuclear fission and fission-fusion weapons characteristically produce a short, very intense flash of gammas and hard X-ray radiation that is closely associated with the explosive event. Weapon detonation creates a radiation “point source” that produces an initial burst of prompt<10 MeV gammas and 2 keV-500 keV X-rays (both inside a pulsewidth<<<100 nanoseconds) rapidly followed by a second, more drawn-out burst of delayed gamma photons (this second flux of photon radiation lasts from 100 nanoseconds to 10 milliseconds) at various energies ranging up to a maximum of ˜10 MeV. This initial burst of electromagnetic radiation comprises roughly 5% of the total yield of a conventional nuclear explosion. Globally, civilian and government-operated nuclear reactors are primarily used for electric power generation and to a much lesser extent for commercial production of various isotopes. Not surprisingly, the “fuel” rods used various types of modern commercial power reactors contain many of the same fissile isotopes that are found in nuclear weapons. Similarly, nuclear fission reactions occurring in power reactors also produce a mixture of both prompt and delayed gamma rays. Like weapons, energies of gammas and hard X-ray photons produced in power reactors range from 100 keV to ˜10 MeV. However, by contrast, nuclear reaction rates in commercial reactors are tightly controlled, and thus produce a more-or-less continuous flux of gamma radiation, unlike the time-compressed, very intense bursts associated with nuclear weapons. Since size or weight does not particularly matter in commercial nuclear reactors, shielding against various types of radiation, including gammas, can be massive and extensive. However, delayed gamma radiation emanating from radioisotopes found in spent reactor fuel rods presents a more problematic safety issue than nuclear reactors themselves, since the rods must be physically removed from heavily shielded reactors after their use. Typically, such spent fuel rods and fuel assemblies are “temporarily” stored outside reactor buildings in secure, water-filled “cooling ponds” while awaiting transport for disposal in high-level waste facilities such as the proposed site in Yucca Mountain, Nev., or alternatively in Europe, transport to nuclear fuel reprocessing centers. FIG. 15 illustrates a delayed gamma spectrum collected from a spent nuclear reactor fuel assembly removed after many hours of operation inside a reactor core. The fuel assembly from which this particular gamma spectrum was collected came from an enriched uranium fueled, pool-type, light-water moderated Brazilian research reactor named IEA-R1. Similar to some of the other gamma spectra, FIG. 15 shows a prominent 137Cs line. FIG. 15 differs from the gamma spectra illustrated in FIGS. 11-14 in that its spectrum is much “hotter”, much more complex, and exhibits many more high-count gamma emission lines. FIG. 15 also differs from the previous Figures of gamma spectra in that: (a.) total count rates are substantially higher for more penetrating gammas with energies>1,000 keV (1.0 MeV), and (b.) the 137Cs line at 660 keV has total counts of ˜20,000 as compared to only ˜1,250 for soil found outdoors in Belgium. Since WWII, there has been increasing industrial and medical use of various gamma emitting isotopes in the form of sealed radiation sources; each isotope so used produces certain characteristic “lines” in the gamma energy spectrum. Those most commonly used in commercially available equipment are: 60Co (Cobalt-60; half-life=5.3 years), 137Cs (Cesium-137; half-life=33 years), and less frequently, 99mTc (metastable Technetium-99; a nuclear isomer; half-life=6 hours, after which it decays via gamma emission to 99Tc which has a half-life=212,000 years). Less commonly used gamma emitters include: 226Ra (Radon-226; half-life=1599 years), 192Ir (Iridium-192; half-life=74 days), and 85K (Krypton-85; half-life=10.7 years). Gamma emitting isotopes are currently used in a variety of wide-ranging commercial applications that include: irradiation of foodstuffs and postal mail to kill harmful microorganisms; medical uses such as various kinds of cancer therapies; and industrial materials characterization such as gauging of product thicknesses on high-speed production lines. Over the past decade or so, there has been acrimonious scientific debate and little-publicized research funding by several different governments (including the United States, France, China, Russia, and probably even Japan) aimed toward the possibility of developing a new and very different type of tactical nuclear weapon. In a radical departure from the past, such weapons would not be based upon nuclear fission or fission-fusion reactions; they would be based on controlled (triggered) deexcitation (decay) of certain nuclei from metastable excited isotopic states called nuclear isomers. Unlike other types of isotopes, nuclear isomers do not involve any change in the number of protons or neutrons in an atomic nucleus. Rather, they are an excited metastable or isomeric state of an atom caused by the excitation of a proton or neutron inside its nucleus. For an atom to decay from such a higher-energy isomeric state to a (more stable) lower-energy non-isomeric ground state, the excited proton or neutron in the nucleus requires a change in its spin in order to deexcite and release its excess energy. Such isomeric decays involve two types of transitions: (a.) emission of gamma photons; (b.) internal conversion in which the energy released is used to ionize the atom. By convention, isomers of a particular atom are designated by a lower-case letter “m” in several types of equivalent representations (e.g. Co-58m, mCo-58, or 58mCo). For atoms that have multiple distinct isotopic isomers, they can be labeled 2 m, 3 m, etc. Nuclear isomers are scattered throughout the entire ensemble of 2,000+ known isotopes of all the elements currently included in the periodic table. Most isomers are very unstable and decay very rapidly, radiating their excess energy within 10−12 seconds; isomers listed in online nuclear databases are restricted mainly to those which have half-lives of 10−9 seconds or more. The only known “stable” isomer is 180mTa (Tantalum-180m); it has a half-life of at least 1015 years, and may in fact be stable. When 180mTa decays, it radiates hard X-rays. The 178mHf (Hafnium-178m) isomer has a half-life of 31 years; importantly, it has the highest known excitation energy of any nuclear isomer as well as a reasonably long half-life. When a 178mHf atom decays, it releases all of its excess energy as ˜2.4 MeV of gamma radiation. If all of the atoms contained in one kilogram (˜2.2 pounds) of pure 178mHf could be triggered to decay at exactly the same time, it could release ˜900 MegaJoules of energy in an intense flash of nearly pure gamma radiation; this is equivalent to the energy released in an explosion of a quarter of a kiloton of TNT. With an isomer weapon, there would be no lingering radiation or radioactive fallout after detonation. Besides weapons-related applications, there have been recent discussions within the U.S. Department of Energy (DOE) and DARPA concerning the possibility of using nuclear isomers to develop new, ultra high-performance energy storage technologies. Two key technological problems that must be solved to harness the potential power of nuclear isomers for both weapons and energy storage applications are: (a) triggering them efficiently in a manner that permits a net energy gain from the point of triggering through decay; and (b) triggering enormous numbers of isomer atoms to decay in unison at exactly the same time. For energy storage applications there is also a third major problem: efficiently absorbing and converting gamma radiation into some other form of energy that can be more readily utilized to do work. DOE convened a scientific panel to determine whether 178mHf production was feasible; the panel answered yes, but at very high cost. To date, none of these problems have been solved. A thorough, nontechnical summary of the recent history and scientific controversy surrounding DARPA-DOE-sponsored nuclear isomer research can be found as follows: “Scary things come in small packages” Sharon Weinberger The Washington Post, Sunday, Mar. 28, 2004, Page W15 http://www.washingtonpost.com/ac2/wp-dyn?pagename=article&contentId=A22099-2004Mar24&notFound=trueThe subject of the development of isomer weapons for the U.S. military has also been discussed at length in a recent book: Imaginary Weapons: A Journey through the Pentagon's Scientific Underworld Sharon Weinberger, Editor of Defense Technology International Nation Books (an imprint of Avalon Publishing Group, New York) 2006-276 pp In that regard, for several years the U.S. Air Force quietly conducted preliminary exploratory research on concepts involving aerospace applications for nuclear isomers as follows, but USAF work in this area has been suspended pending resolution of the ongoing debate about triggering: “Analysis of the application of a triggered isomer heat exchanger as a replacement for the combustion chamber in an off the shelf turbojet” C. R. Hartsfield, Captain USAF Master's Thesis: AFIT/GAE/ENY/01M-04 March 2001 Dept. of the Air Force, Air University, Air Force Institute of Technology, Wright-Patterson AFB, Ohio “Design study of triggered isomer heat exchanger-combustion hybrid jet engine for high altitude flight” C. E. Hamilton, Captain USAF Master's Thesis: AFIT/GAE/ENY/02-6 March 2002 Dept. of the Air Force, Air University, Air Force Institute of Technology, Wright-Patterson AFB, Ohio “Isomer Energy Source in Hybrid Jet Engines for High Altitude Reconnaissance Flight” C. Hamilton, P. King, and M. Franke (USAF Institute of Technology) Journal of Aircraft (0021-8669), 41, No. 1, January-February 2004, pp. 151-155 “Isomer energy source for space propulsion systems” B. L. Johnson, Captain USAF Master's Thesis: AFIT/GAE/ENY/04-M101 March 2004 Dept. of the Air Force, Air University, Air Force Institute of Technology, Wright-Patterson AFB, Ohio “Isomer heat exchanger combustor replacement for a supersonic ramjet powered vehicle” J. C. Cox, Ensign USNR Master's Thesis: AFIT/GAE/ENY/04-J02 June 2004 Dept. of the Air Force, Air University, Air Force Institute of Technology, Wright-Patterson AFB, Ohio With the foregoing hypothetical aerospace applications, assuming that net-gain isomer triggering can be made to work, there is one additional major technological issue: low-mass materials that can provide critical, “mass-effective” shielding against gamma radiation. Today, there is still heated scientific debate as to whether energy-efficient net-gain triggering of nuclear isomers is possible. Earlier experimental work by Prof. Carl Collins (Director, Center for Quantum Electronics, University of Texas at Dallas) et al. suggested that net-gain isomer triggering might be possible using much lower-energy X-rays. However, their work has not been replicated by others and, in the opinion of some scientists, has been totally discredited. Nonetheless, a number of researchers have continued experimental and theoretical work in this poorly understood area. For example, the following recent theory paper lends support to the idea that net-gain triggering of the 178mHf isomer may in fact be possible in certain circumstances. This paper also mentions that a threshold triggering energy of 1.1 MeV for the spin-9, 75 keV isomer in 180mTa has been established. However, with an input energy of 1.1 MeV, i.e. 1,100 keV, and decay output energy of only 75 keV, there is no net energy gain from triggering 180mTa: “Nuclear structure of 178Hf related to the spin-16, 31-year isomer” Y. Sun, A. Zhou, G. Long, E. Zhao, and P. Walker Physics Letters B, 589, pp. 83-88, 2004 As of this writing, it has been unofficially confirmed in 2006 that an experiment was recently conducted at a national DOE nuclear laboratory that reportedly demonstrated statistically significant acceleration of 178mHf decay with an X-ray trigger. No further details are available, nor has anything yet been published in the open scientific literature about these new results. External Shielding Against Various Types of Incident Radiation: As stated earlier, external shielding with various materials is one way to protect living organisms and sensitive electronic equipment from exposure to various types of energetic charged particles, neutrons, and penetrating electromagnetic radiation such as gammas and X-rays. For charged particles such as protons, alphas, betas at moderate energies, comparatively little shielding is required to absorb the radiation completely. The greatest danger from the vast majority of alpha and beta particles is not created by external exposure to them (since shielding against them is almost trivial), but rather when they are somehow transported or produced inside a living organism or a nonliving physical enclosure containing sensitive electronic components. Examples of external shielding against selected types of incident radiation are as follows: Alpha particles (positively charged helium nuclei)—stopped by one thickness of ordinary writing paper; everything except the most energetic alphas are generally stopped by the human skin (its thickness varies from ˜0.5 mm or 0.02″ on the eyelids to ˜4.0 mm or 0.16″ on the palms and soles of the feet). Beta particles (negatively charged energetic electrons)—completely stopped by 1″ of wood, or 0.25″ of Plexiglas plastic; it takes a beta particle with energy>70 keV to fully penetrate human skin. Protons (positively charged elementary particles)—are stopped completely by relatively modest thicknesses of mid-Z metals; for example, only 25 microns (˜0.001″) of copper (Cu; Z=29) will completely stop all protons with energies<2.5 MeV. About 25 mm (˜1″) of high-purity germanium (Ge; Z=32) will stop protons with energies up to ˜100 MeV. Neutrons (no charge; an elementary nuclear particle that is stable only inside of an atomic nucleus—its half-life as a free particle outside of a nucleus is only around 13 minutes, after which it beta decays into a proton, electron, and a neutrino)—absorbed more effectively by hydrogen rich (H; Z=1) materials. Examples include: water, paraffin wax, polyethylene, and/or concrete (17 atom % hydrogen). Unfortunately, many types of materials can be “activated” by neutron absorption and subsequently produce prompt or delayed gammas and radioactive isotopes as a result; thus, gamma shielding may also be required. Neutrons are particularly damaging to living organisms because of their being composed of a high percentage of hydrogen (˜60%) associated with carbon-hydrogen bonds in biological molecules. Although uncharged like gamma photons, neutrons interact much more strongly with air than gamma rays; thus, air can help shield against neutrons over moderate distances, particularly if saturated with water vapor. Neutron shielding strategies typically employ either: (a) relatively large thicknesses (e.g. 12″ to 36″ or more) and massive amounts of low-cost hydrogenous materials such as concrete or water; or (b) lesser thicknesses and smaller masses of higher-cost hybrid materials containing “dopants” comprised of elements having high neutron absorption cross sections (e.g. silicone sheets containing 25% Boron [B; Z=5] by weight; concrete containing depleted uranium oxide [U; Z=92; trademarked “DUCRETE”], etc.) and reduced propensity to emit prompt or delayed gammas after neutron absorption. X-rays and gamma rays (no charge; electromagnetic photons with zero mass)—only effectively absorbed or attenuated by substantial thicknesses of denser materials such as ordinary concrete and/or lesser thicknesses of comparatively higher-Z materials such as steel (Fe: Z=26), lead (Pb: Z=82), tungsten (W; Z=74), or depleted uranium (U; Z=92) metal alloys. This will be discussed in more detail below. In order to have shielding with a high overall safety-factor, land-based commercial nuclear power reactors now typically utilize a welded steel containment vessel with walls ˜10″ thick; this is in turn surrounded by a containment building having steel-reinforced concrete walls at least 2-3 feet thick. By contrast, a proposed nuclear reactor design for long-duration manned space missions, such as to Mars or Jupiter's moons, utilized much less massive borated polyethylene radiation shields ˜three feet thick, primarily for shielding against prompt neutrons. Attenuating (Shielding Against) Gamma Radiation: Being electromagnetic radiation, gamma photons are attenuated exponentially; thus, it is not theoretically possible to design a shield that will stop 100% of all incident gamma radiation. As a result, gamma rays and hard X-rays are much more difficult to attenuate or shield against than charged particle radiation such as alphas or betas. Like neutrons, X-ray and gamma photons do not possess any charge. This absence of charge causes their level of interaction with normal matter to be reduced, which substantially increases their relative penetrating power compared to charged particles. Also, as gamma photon energies get higher, they are somewhat more difficult to attenuate; a 5 MeV gamma photon has more penetrating power than a 1 MeV gamma photon. When using readily available conventional materials, comparatively thick, heavy layers of steel, lead, tungsten alloys, depleted uranium, or conventional concrete are typically needed to effectively attenuate gamma radiation to acceptable levels. In general, as the density and/or thickness of a shielding material increases, the attenuation of incident gamma radiation by the material also increases. Generally speaking, the higher the atomic number (Z) of the shielding material, and/or the higher its density, the greater the degree of attenuation of gamma radiation. Unlike the case with alphas, betas, and to a lesser extent neutrons, air is relatively transparent to gamma radiation and provides little or no shielding over distances that can range up to many hundreds of meters from intense, high-energy gamma sources. The subject of attenuation of gamma and X-ray radiation utilizes two closely related concepts that are defined as follows: Half-Value Layer (HVL)—is the thickness of any specified material necessary to reduce the intensity of an incident beam of gamma or X-ray photons to one-half (50%) of its original value. The thickness of the half-value layer for a specific material is a function of the energy of the incident gamma radiation and the elemental composition of the shielding material. Tenth-Value Layer (TVL)—is the thickness of any specified material necessary to reduce the intensity of a beam of gamma or X-ray photons to one-tenth (10%) of its original value. The following Table 2 illustrates thicknesses of one half-value and one tenth-value layer for selected materials and two gamma sources often used in medical and industrial applications: TABLE 2Approximate Thicknesses of One (1) Half-Value andOne (1) Tenth-Value Layer for 60Co and 137Cs(measured in inches)GammaOne (1) Half-ValueOne (1) Tenth-ValuePhotonLayerLayerGammaEnergyOrdinaryOrdinaryEmitter(MeV)LeadSteelConcreteLeadSteelConcrete60Co1.17; 1.330.47″0.83″2.6″ 1.6″2.7″8.2″137Cs0.6620.28″0.63″1.9″0.83″2.1″6.2″Data Source: U.S. Dept. of Labor - OSHA Depending upon budgetary cost constraints, allowable physical volume of shielding, and total allowable mass of shielding, desired levels of gamma attenuation can be achieved by selection of specific shielding materials and thicknesses. For example, if a thickness of a given shielding material reduces the gamma flux to one-half of the incident value (i.e., a “half-value layer”), then the thickness of three such layers will reduce the dose to one-eighth (½×½×½) the initial amount; similarly, three “tenth-value layers” will reduce the dose to 1/1000 of the initial amount ( 1/10× 1/10× 1/10). Selected examples of “ 1/1,000 gamma shield” thicknesses are illustrated in Table 3 as follows: TABLE 3Approximate Thicknesses of Three (3) Tenth-Value Layersfor60Co and 137Cs Attenuation of Gamma Flux to 1/1000of the Incident Radiation (measured in inches)Gamma3× One (1) Tenth-ValuePhotonLayerGammaEnergyOrdinaryEmitter(MeV)LeadSteelConcrete60Co1.17; 1.334.8″8.1″24.6″137Cs0.6622.5″6.3″18.6″ Relatively low-cost, readily available shielding materials such as steel, brick, concrete, water, or even packed earth can function as very effective gamma attenuators. Used properly, they can be quite cost-effective and provide the same degree of gamma shielding as higher performance materials if used in appropriately greater thicknesses in applications in which the total mass of shielding and/or its thickness are not important issues. This is why land-based nuclear reactors use thick layers of steel and concrete for shielding and containment. It is also the reason why manned military aircraft that are potentially exposed to gamma ray flashes from nuclear detonations currently have no real gamma shielding for pilots and crews. Although the U.S. military would willingly pay for the high cost of gamma shielding, existing high performance shielding materials cannot provide an effective shield with a mass that is low enough for both gamma attenuation and combat aircraft performance requirements to be met. Table 4 illustrates the approximate thickness (measured in inches) of one (1) tenth-value layer and three (3) tenth-value layers for 0.5 MeV and 0.8 MeV gamma photons for selected lower-cost, readily available materials: TABLE 4Approximate Thicknesses of One (1) Tenth-Value Layerand Three (3) Tenth-Value Layers for Various Lower-CostMaterials Three (3) Tenth-Value Layers Attenuate GammaFlux to 1/1000 of the Incident Radiation (measuredin inches except where otherwise noted)One (1) Tenth-Value3× One (1) Tenth-LayerValue LayerGamma ShieldingGamma Photon EnergyMaterial0.5 MeV0.8 MeV0.5 MeV0.8 MeVLead0.55″ 1.0″ 1.7″ 3.0″Copper1.6″2.0″ 4.8″ 6.0″Iron (steel)1.9″2.3″ 5.7″ 6.9″Aluminum5.5″6.3″16.5″18.9″Concrete5.9″7.1″17.7″21.3″Packed Earth7.5″9.1″22.5″27.3″Water13.8″ 15.8″ 41.4″47.4″Air (measured951′ 1,115′  2,853′  3,345′  in feet)Data Source: Radiation Protection Manual at TRIUMF by Peter Garnett and Lutz Moritz, which utilized material from the book, Radiation Protection, Point Lepreau Generating Station by J. U. Burnham; see http://www.triumf.ca/safety/rpt/intro.html FIG. 16 shows the thickness of one (1) half-value layer (measured in cm) of shielding as a function of incident gamma photon energy from 0.1 MeV up to 10.0 MeV for a shield composed of either air, water, concrete, aluminum, iron (steel), or lead. In some applications, more costly, “high performance” shielding materials that have higher density and higher atomic numbers (e.g. lead or tungsten) may be preferable for use in gamma shields because less thickness and weight per square foot of shielding is required for them. The following elements are known to be somewhat better performing gamma attenuators than lead: tantalum (Ta; Z=73), tungsten (W; Z=74), thorium (Th; Z=90; no stable isotopes—all are mildly radioactive, 232Th occurs naturally with half-life=1.4×1010 years), and depleted Uranium (called “DU”; used in military munitions: mostly 238U, Z=92; it is mildly radioactive). Since DU and thorium are both slightly radioactive, their use may be barred in certain commercial shielding applications because of environmental and human health safety issues. Costs of less controversial higher performance gamma shielding materials can vary greatly. For example: as of this writing, the spot lead price in London is currently ˜$0.59/lb; the price of tungsten is now roughly $11.80/lb or ˜20× the price of lead; and current contract prices for tantalum are roughly $40-$50/lb or ˜68× to 85× the price of lead. At this time, lead is hard to surpass for cost-effectiveness in appropriate gamma shielding applications. Gamma shielding requirements can be more demanding for applications involving persistent non-weapon physical environments in which very large numbers of neutron captures on various elements/isotopes are occurring. Such environments include: Operating nuclear reactors (mostly thermal neutron captures), “Target” materials exposed to various types of neutron beams (slow and fast neutron captures), Containment vessels of proposed commercial versions of D-T fusion reactors (energetic neutrons produced as products of fusion reactions are captured by nearby materials), and Surface and near-surface regions of highly-loaded hydrides or deuterides in which Low Energy Nuclear Reactions (LENRs) are catalyzed by Ultra Low Momentum Neutrons (ULMNs) captured on local nuclei. LENRs can be sustained over significant periods of time under specific types of non-equilibrium conditions satisfying certain key characteristics and parameters, such as a material's ability to support surface plasmon polaritons, high proton/deuteron flux across the surface, and so forth. Shielding for such neutron-rich nuclear environments can potentially be more difficult because (depending upon the isotopic composition of the neutron absorbers) neutron capture events frequently result in the production of prompt gammas, which tend to have higher average photon energies than delayed gammas produced in common nuclear decay chains (in which excess energy, Q, can often be distributed across a greater number of reaction products over a longer period of time). As illustrated in Table 4, higher gamma photon energies confer greater penetrating power and require significantly thicker shielding, all other things being equal. An excellent database providing data on neutron capture gammas is available online as follows: “Database of Prompt Gamma Rays from Slow Neutron Capture for Elemental Analysis—Final report of a coordinated research project” R. B. Firestone et al. International Atomic Energy Agency (IAEA), Vienna, Austria (2003) Key sections/items found in the above prompt gamma ray database (which covers 395 normally abundant isotopes and nuclear isomers out of several thousand known isotopes) are as follows: Table 7.3, pp. 94-158, “Adopted Prompt and Decay Gamma Rays from Thermal Neutron Capture for All Elements”—note especially that upon examination there are only three isotopes shown with gamma decay lines having energies>10.0 MeV as follows: 3He (20.520 MeV); 14N (10.829 MeV); and 77Se (10.496 MeV—see later note: the second highest-energy gamma line listed for 77Se is 9.883 MeV) Table 7.4, pp. 159-177, “Energy-Ordered Table of Most Intense Thermal Neutron Capture Gamma Rays,”—note that in this Table, there are only three gamma lines>10.0 MeV are for: 3He (20.520 MeV); 14N (10.829 MeV); and 77Se (9.883 MeV—see note above; on 77Se, there is a discrepancy between Table 7.3 and Table 7.4) An examination of Tables 7.3 and 7.4 from the above reference yields the following: Except for the three isotopes noted above (3He, 14N, and 77Se), virtually all of the other neutron capture gammas listed in the IAEA database have photon energies that fall below 10.0 MeV. A high percentage of prompt gammas from slow neutron capture have energies that fall between 0.5 MeV and 10.0 MeV. Many of these have many multi-MeV spectral lines. Neutron capture on a proton produces a deuteron plus a 2.24 MeV gamma Neutron capture on deuterium produces a 6.250 MeV gamma Neutron capture on 3He produces a 20.520 MeV gamma There can be significant qualitative and quantitative differences between delayed gamma spectra of various isotopes and prompt (especially fission) gamma spectra. FIG. 17 illustrates an essentially “pure” prompt gamma spectrum resulting from a fissile isotope: the y-axis on the chart is gamma photon flux (cm−2·MeV−1 for one fission); the x-axis is photon energy in MeV. The data underlying this Figure was reconstructed from extensive data automatically collected during a serious criticality “gamma flash” incident triggered by a freak handling accident with a critical assembly consisting of a highly enriched uranium (HEU) core and predominantly copper reflector. The incident resulted in one fatality, occurred at the Nuclear Center, in Sarov, Russia, in 1997 and was investigated by the International Atomic Energy Agency. For the purpose of discussing FIG. 17, highly enriched uranium (HEU) means 238U that has been enriched up to >20% 235U. HEU is used in nuclear weapons and some types of military reactors, not in civilian uranium-based power reactors, which use only 3%-5% 235U. 235U is a fissile isotope, which means that it can support a runaway chain reaction. Quoting from the IAEA accident report, “ . . . a component from the upper reflector slipped from the technician's rubber gloved hand and fell into the lower part of the assembly, which . . . contained the enriched uranium core. The point of criticality was exceeded, there was a flash of light and a wave of heat, and the lower part . . . was ejected downward . . . ” In FIG. 17 it is obvious by visual inspection of the respective total areas under the curve that the total flux of gamma photons between 0.5 and 10.0 MeV is substantially larger than the total flux between 0 and 0.5 MeV. This is consistent with the inventors' qualitative observation with respect to the IAEA “Database of Prompt Gamma Rays from Slow Neutron Capture for Elemental Analysis” in the bulleted points noted above. The prompt gamma spectrum illustrated in FIG. 17 comes mostly from the fission of 235U, and to a lesser extent from prompt gammas produced by fast neutron captures on 238U, copper (63Cu and 65Cu), and whatever other elements happened to be present in the critical assembly's “predominantly copper” reflector. This spectrum is similar to what would be produced during the initial prompt gamma “flash” in the detonation of a typical uranium-based fission weapon. The present invention includes both apparatus and method aspects. One invented method shields gamma radiation by producing a region of heavy electrons and receiving incident gamma radiation in the region. The heavy electrons absorb energy from the gamma radiation and re-radiate it as photons at a lower energy and frequency. Illustratively, the gamma radiation comprises gamma photons having energies in the range of about 0.5 MeV to about 10.0 MeV. Preferably, the method includes providing surface plasmon polaritons and producing the heavy electrons in the surface plasmons polaritons. The method may also include providing multiple regions of collectively oscillating protons or deuterons with associated heavy electrons. Another aspect of the method of shielding gamma radiation includes providing nanoparticles of a target material on a metallic surface capable of supporting surface plasmons. The region of heavy electrons is associated with that metallic surface. A further aspect of the invented method of shielding gamma radiation includes inducing a breakdown in a Born-Oppenheimer approximation. A further aspect of the invented method of shielding gamma radiation includes providing low energy nuclear reactions catalyzed by ultra low momentum neutrons within the region of heavy electrons. In the invented method of shielding gamma radiation, preferably the step of providing a region of heavy electrons includes: (a) providing a metallic working surface capable of supporting surface plasmons and of forming a hydride or deuteride; (b) fully loading the metallic surface with H or D thereby to provide a surface layer of protons or deuterons capable of forming coherently oscillating patches; and (c) developing at least one patch of coherently or collectively oscillating protons or deuterons on the surface layer. Preferably this method includes breaking down the Born-Oppenheimer approximation on the upper working surface. In this method, the surface material may comprise palladium or a similar metal and/or alloy capable of forming a hydride or deuteride; and providing a plurality of target nanoparticles on said metallic working surface. The target nanoparticles may comprise a palladium-lithium alloy. In practicing the invented method of shielding gamma radiation, one may also include the step of directing laser radiation to the working surface to stimulate and transfer energy into the surface plasmons. Preferably, in the method of shielding gamma radiation, the H or D surface layer is fully loaded by one or more of an enforced chemical potential difference, an electrical current, or a pressure gradient. A further aspect of the invented method of shielding gamma radiation may include forming ultra-low momentum neutrons (“ULMNs”). Another aspect of the present invention provides a method of controlling the rate of beta decay and altering the half-lives of neutrons and/or nuclei found in a near-surface region. This is achieved by the steps of producing a region of heavy electrons and ULMNs in the neighborhood of the beta-decaying neutrons or beta-decaying nuclei, thereby altering the local density of states. In this method, the beta decay may be for either a nucleus or a neutron. Still another aspect of the present invention is directed to a method of nuclear power generation including the steps of producing ULMNs and catalyzing low energy nuclear reactions with the ULMNs. These reactions produce a net release of energy. The method shields against gamma radiation produced from neutron captures on target nuclei in a region including heavy electrons. The method of power generation may include providing a plurality of protons or deuterons on a working surface of hydride/deuteride-forming materials; breaking down the Born-Oppenheimer approximation in patches on the working surface; producing heavy electrons in the immediate vicinity of coherently oscillating patches of protons and/or deuterons; and producing the ULMNs from the heavy electrons and the protons or deuterons. Preferably this method includes producing excess heat. Preferably the method also includes forming surface plasmon polaritons. Another aspect of the present invention provides a gamma radiation shield comprising: a substrate; a metallic working surface capable of supporting surface plasmons and of forming a hydride or deuteride, located above said substrate; above the metallic surface, a surface layer of protons or deuterons comprising fully loaded H or D; at least one patch of collectively oscillating protons or deuterons associated with the surface layer; a region of surface plasmon polaritons located above the surface layer and the at least one patch; and a flux of protons or deuterons incident on the surface plasmon polaritons, surface layer, and working surface. According to a further aspect of the gamma radiation shield, the surface material comprises palladium or a similar metal and/or alloy capable of forming a hydride or deuteride. Another aspect of the gamma radiation shield includes laser radiation incident on the working surface to stimulate and transfer energy into the surface plasmon polaritons. The gamma radiation shield may further comprise a plurality of target nanoparticles on the metallic working surface. Preferably the target nanoparticles comprise a palladium-lithium alloy. In the gamma radiation shield, preferably the H or D surface layer is fully loaded by one or more of an enforced chemical potential difference, an electrical current, or a pressure gradient. In the gamma radiation shield, preferably the at least one patch produces heavy electrons; and wherein said heavy electrons absorb gamma radiation. Gamma photons result from neutron capture or from an external source. The present invention also provides a method of altering the rate of a nuclear reaction involving nuclear decay by substantially more than 5 to 10% comprising producing a region of heavy electrons and ULMNs in the neighborhood of the beta-decaying nucleus. It will be appreciated that another aspect of present invention provides an apparatus for a nuclear reaction. The apparatus comprises: a supporting material; a thermally conductive layer; an electrically conductive layer in contact with at least a portion of the thermally conductive layer; a cavity within the supporting material and thermally conductive layer; a source of hydrogen or deuterium associated with the cavity; first and second metallic hydride-forming layers within the cavity; an interface between a surface of said first hydride-forming layer, the interface being exposed to hydrogen or deuterium from the source. A first region of the cavity is located on one side of the interface and has a first pressure of hydrogen or deuterium. A second region of the cavity is located on one side of the second hydride-forming layer and has a second pressure of the hydrogen or deuterium. The first pressure is greater than the second pressure. The apparatus forms a sea of surface plasmon polaritons and patches of collectively oscillating protons or deuterons, and ultra low momentum neutrons in a range of about 500 Angstroms both above and below the interface. Preferably, in this apparatus for a nuclear reaction, a Fermi-level difference between the first and second layers is greater than or equal to about 0.5 eV. The apparatus may further comprise a laser positioned to irradiate the sea of surface plasmon polaritons and the interface. According to another aspect, the apparatus may also include an electrically conductive layer forming a portion of an inside wall of the cavity. The present invention's solution to the problem of gamma shielding is based on a novel utilization of heavy, mass-renormalized electrons. The invention's method and related apparatus can be used, for example, for nuclear power generation in conjunction with suppression of externally detectable gamma emissions through local absorption of prompt and delayed gamma photons by heavy electrons. Heavy electrons in the present invention absorb electromagnetic energy from incident gamma photons (arising from local low energy nuclear reactions or from other external sources) and reradiate it in the form of greater numbers of lower-frequency, less penetrating infrared and soft X-ray photons. In conjunction with contemporaneous generation of large fluxes ultra low momentum neutrons and triggering of low energy nuclear reactions (LENRs), one related application of the present invention involves a method for creation of thin layers of the above described heavy electrons in condensed matter systems at moderate temperatures and pressures in various preferred types of very compact, low mass, comparatively low cost apparatus. Such apparatus can be utilized to create novel, low mass, low cost, highly effective shielding against gamma radiation. An aspect of the present invention is producing heavy electrons and using them to shield against gamma radiation, converting it to lower energy, lower frequency radiation. Such lower frequency radiation may comprise, for example, infrared and soft X-ray radiation as mentioned in the prior paragraph. Heavy electrons utilized by this invention can be generated in condensed matter systems as described by Larsen and Widom in U.S. Patent Application No. 60/676,264 entitled, “APPARATUS AND METHOD FOR GENERATION OF ULTRA LOW MOMENTUM NEUTRONS” having a U.S. filing date of Apr. 29, 2005, which is hereby incorporated herein by reference in its entirety and a copy of which is physically attached hereto as Attachment 1, and/or incorporated into apparatus used to generate ultra low momentum neutrons (ULMNs) and subsequently use ULMNs to catalyze (via neutron capture on nearby materials) various types of low energy nuclear reactions (LENRs) as disclosed therein. In connection with the above mentioned prior filing, a scientific paper written by Allan Widom and Lewis Larsen entitled, “Ultra Low Momentum Neutron Catalyzed Nuclear Reactions on Metallic Hydride Surfaces” was initially published on the arXiv Internet physics preprint server on May 2, 2005 (see http://arxiv.org/abs/cond-mat/0505026). That paper was subsequently published in the European Physical Journal C—Particles and Fields, 46, 107-111 (March 2006). It is hereby incorporated by reference and is intended to form part of this disclosure. A copy of the EPJC paper is actually included within Attachment 1. The paper's abstract states: “Ultra low momentum neutron catalyzed nuclear reactions in metallic hydride system surfaces are discussed. Weak interaction catalysis initially occurs when neutrons (along with neutrinos) are produced from the protons that capture “heavy” electrons. Surface electron masses are shifted upwards by localized condensed matter electromagnetic fields. Condensed matter quantum electrodynamic processes may also shift the densities of final states, allowing an appreciable production of extremely low momentum neutrons, which are thereby efficiently absorbed by nearby nuclei. No Coulomb barriers exist for the weak interaction neutron production or other resulting catalytic processes.” While it is well known that strong force nuclear reactions can be used to generate neutrons, the present invention according to one of its aspects utilizes weak force interactions between protons (p+) and “heavy” electrons (eh−) to produce a neutron (nulm) and a neutrino (νe) as follows:eh−+p+→nulm+ νe The above-referenced paper by Widom and Larsen explains how, under the appropriate conditions, a proton is able to capture a “heavy” electron to create an ultra low momentum neutron (nulm) and a neutrino (photon). Similarly, the inventors show how a deuteron (“D”) can capture one “heavy” electron to create two ultra low momentum neutrons and a neutrino as follows:eh−+D+→2nulm+ νe Importantly, the Coulomb barrier is not a factor in either of these reactions. In fact, in this situation, the juxtaposition of unlike charges actually helps these reactions to proceed. Further aspects of the present invention are set forth in the scientific paper found in Attachment 2 (found in the Cornell University physics preprint archive at http://www.arxiv.org/pdf/cond-mat/0509269; this preprint dated Sep. 10, 2005, has also been submitted to a refereed print journal) by A. Widom and L. Larsen, the present inventors, entitled: “Absorption of Nuclear Gamma Radiation by Heavy Electrons on Metallic Hydride Surfaces.” The attached paper is incorporated by reference and is intended to form part of this disclosure; its abstract states that: “Low energy nuclear reactions in the neighborhood of metallic hydride surfaces may be induced by heavy surface electrons. The heavy electrons are absorbed by protons producing ultra low momentum neutrons and neutrinos. The required electron mass renormalization is provided by the interaction between surface electron plasma oscillations and surface proton oscillations. The resulting neutron catalyzed low energy nuclear reactions emit copious prompt gamma radiation. The heavy electrons which induce the initially produced neutrons also strongly absorb the prompt nuclear gamma radiation. Nuclear hard photon radiation away from metallic hydride surfaces is thereby strongly suppressed.” The arXiv cond-mat/0509269 preprint provides a theoretical explanation for effective suppression of gamma radiation and efficient absorption of ultra low momentum neutrons in LENR systems. It is explained why neutron absorption by nearby nuclei in LENR systems do not result in the external release of large, easily observable fluxes of hard energetic gammas and X-rays. Specifically, the present inventors show that surface electrons bathed in already soft radiation can convert the hard gamma radiation into soft radiation. The number of gammas in the energetic region from 0.5 MeV to 10.0 MeV is strongly suppressed at the condensed matter surface and the energy appears as softer (less energetic) heat radiation. The short mean free paths of both ultra low momentum neutrons and hard gamma radiation are computed in the neighborhood of condensed matter surfaces. In low energy nuclear reaction systems, the gamma absorbing layer of surface electrons already bathed in soft radiation has the ability to stop a 5 MeV gamma ray in less than two nanometers—two-billionths of a meter. With existing materials technologies, it would take ˜10 cm of lead, ˜25 cm of steel, or ˜1 meter of very heavy concrete to accomplish the same degree of shielding. Additional scientific evidence supporting the claims of the present Invention can be found the scientific paper found in Attachment 3 (found in the Cornell University physics preprint archive at http://www.arxiv.org/pdf/cond-mat/0602472; this preprint dated Feb. 20, 2006, has also been submitted to a refereed print journal) by A. Widom and L. Larsen, the present inventors, entitled: “Nuclear Abundances in Metallic Hydride Electrodes of Electrolytic Chemical Cells.” The attached paper is incorporated by reference and is intended to form part of this disclosure; its abstract states that: “Low energy nuclear transmutations have been reported in experimental chemical electrolytic cells employing metallic hydride electrodes. Assuming that the nuclear transmutations are induced by ultra low momentum neutron absorption, the expected chemical cell nuclear abundances are discussed on the basis of a neutron optical potential model. The theoretical results are in satisfactory agreement with available experimental cell chemical data. Some implications of these laboratory transmutations for r- and s-process models of the neutron induced solar system and galactic nuclear abundance are briefly explored.” The arXiv cond-mat/0602472 preprint discusses a model for the anomalous patterns of nuclear abundances experimentally observed in metallic hydride cathodes of electrolytic chemical cells. These experimental transmuted nuclear abundances have been something of a scientific enigma since they were first published by Prof. George H. Miley and other researchers such as Mizuno beginning in 1996. The data is interpreted as primarily the result of a neutron absorption spectrum. Ultra low momentum neutrons are produced (along with virtually inert neutrinos) by the weak interaction annihilation of electrons and protons when the chemical cell is driven strongly out of equilibrium. Appreciable quantities of these neutrons are produced on the surface of a metal hydride cathode in an electrolytic cell. The ultra low momentum of these neutrons implies extremely large cross-sections for absorption by various “seed” nuclei present on or near the surface of a cathode in a chemical cell, increasing their nuclear masses. The increasing masses eventually lead to instabilities relieved by beta decay processes, thereby increasing the nuclear charge. In this manner, “ . . . most of the periodic table of chemical elements may be produced, at least to some extent.” The experimentally observed pattern of distinctive peaks and valleys in the transmuted nuclear mass-spectrum reflect the neutron absorption resonance peaks as theoretically computed employing a simple and conventional neutron optical model potential well. An intriguing possibility is briefly noted in the paper. The varieties of different elements and isotopes that we find in the world around us were thought to arise exclusively from nuclear reactions in stars and supernova explosions. However, recent astrophysical calculations have indicated some weaknesses in the above picture regarding the strengths of the neutron flux created in a supernova. Our paper suggests that, “It appears entirely possible that ultra low momentum neutron absorption may have an important role to play in the nuclear abundances not only in chemical cells but also in our local solar system and galaxy.” In the context of the present Invention, the data utilized from references cited in the above preprint arXiv cond-mat/0602472 show clear evidence of abundant transmutation reactions as a result of the absorption of ultra low momentum neutrons by local nuclei located on the cathode of a chemical cell. Large fluxes of expected prompt and delayed gamma radiation should have been observed that would have been commensurate with the observed rates of neutron absorption and nuclear transmutation reactions that occurred in the chemical cells. In fact, no significant gamma or hard X-ray fluxes were observed in any of the experiments. Such observational facts support the claim that the gamma shielding mechanism encompassed in the present Invention must be operating in these systems. Additional scientific evidence supporting the claims of the present invention can be found the scientific paper found in Attachment 4 (found in the Cornell University physics preprint archive at http://www.arxiv.org/pdf/nucl-th/0608059; this preprint dated Aug. 25, 2006, has also been submitted to a refereed print journal) by A. Widom and L. Larsen, the present inventors, entitled: “Theoretical Standard Model Rates of Proton to Neutron Conversions Near Metallic Hydride Surfaces.” The attached paper is incorporated by reference and is intended to form part of this disclosure; its abstract states that: “The process of radiation induced electron capture by protons or deuterons producing new ultra low momentum neutrons and neutrinos may be theoretically described within the standard field theoretical model of electroweak interactions. For protons or deuterons in the neighborhoods of surfaces of condensed matter metallic hydride cathodes, such conversions are determined in part by the collective plasma modes of the participating charged particles, e.g. electrons and protons. The radiation energy required for such low energy nuclear reactions may be supplied by the applied voltage required to push a strong charged current across a metallic hydride surface employed as a cathode within a chemical cell. The electroweak rates of the resulting ultra low momentum neutron production are computed from these considerations.” The arXiv cond-mat/0600059 preprint shows computations demonstrating that the proton to ultra low momentum neutron conversions claimed by the present inventors can take place at substantial production rates inferred from the experimental data that are on the order of 1012 to 1014 ultra low momentum neutrons per cm2 per second. Together, the four scientific papers by the present inventors comprising Attachments 1-4 can explain all of the major features exhibited in many seemingly anomalous experiments (lumped under the unfortunate term cold fusion) that have previously been regarded by many as theoretically inexplicable. In contrast to other earlier theories involving penetration of Coulomb barriers, the present Invention's methods and apparatus for creating low energy nuclear reactions are scientifically reasonable within the context of the well-accepted standard model of electroweak interaction physics. The key process responsible for producing most of the experimentally observed anomalies explained by these publications is not any form of cold fusion, nor is it any form of fission. On the contrary, the key physical processes driving the unique behavior of these systems are primarily weak interactions. The four Attachments extend well-accepted Standard Model physics to include collective effects in condensed matter; no new microscopic physics is assumed or is necessary to explain the data. A preferred device according to various aspects of the present invention would have a substrate, such as a well-bonded metallic or non-metallic substrate. Above the substrate is a metallic surface capable of supporting surface plasmon polaritons (SPPs) and of forming a hydride or deuteride. Palladium and Nickel are examples of a suitable or preferred material. Above that metallic surface is a fully covered surface layer of protons or deuterons. These are fully loaded H or D. Preferred methods for loading H or D include an enforced chemical potential difference, and/or electrical current, and/or a pressure gradient. The production of ULMNs and heavy electrons can be further stimulated with, for example, the application of preferred frequencies of laser light to the working surface. On the working surface are nanoparticles of preferred sizes and shapes composed of preferred target materials. These are deposited on the working surface of the device. One example of a preferred target material is a palladium-lithium alloy. Also above the surface layer, shown representatively are nearby patches of collectively oscillating protons or deuterons. The diameters of such patches are generally several microns. A layer of surface plasmon polaritons is established, located in and around the surface layer and these patches. Incident on the described structures is an enforced flux of protons or deuterons, which must pass through the working surface. The Born-Oppenheimer Approximation breaks down on the upper working surface. In the present invention, preferred materials are able to support and maintain a shallow, surface-covering “electron sea” (having an associated “skin depth” both above and below the surface of the preferred material) comprised of collective electron excitations known as surface plasmon polaritons (SPPs). SPPs support two-way transfers of energy: (a) on one hand, they intrinsically prefer to radiate energy in the infrared and soft X-ray regions of the EM spectrum; (b) on the other hand, energy can be transferred to SPPs by coupling to other phenomena such as: enhanced chemical potential differences across the SPP interface; nonequilibrium ion fluxes across the SPP layer; and/or incident laser radiation of appropriate wavelengths on a suitably roughened surface. When the surface or near subsurface regions of preferred hydride/deuteride-forming materials (e.g. palladium, nickel, or some other preferred material) are deliberately loaded with hydrogen isotopes above a certain threshold level (preferably to a ratio of 0.70-0.80 or more of protons and/or deuterons to metal atoms) the following happens: 1. Numerous localized surface “patches” comprised of large numbers of coherently oscillating protons and/or deuterons appear spontaneously at the interface on the surface of the material. These “patches” are situated within the skin depth of the SPPs. 2. At the surface/interface, and especially in and around the patches of coherently oscillating hydrogenous atoms, the Born-Oppenheimer Approximation breaks down. This breakdown of screening substantially reduces the effectiveness of normal charge screening mechanisms that commonly occur in many condensed matter systems. This breakdown of charge screening, in conjunction with the loose coupling of SPPs to local collective oscillations of “patches” of ionized hydrogenous atoms, allows the formation of extremely high local electric fields within the collectively oscillating “patches.” These intense local E fields renormalize and increase the mass of local SPP electrons exposed to those fields. When a critical threshold of field strength is reached, renormalized “heavy” electrons have sufficient mass to react spontaneously with nearby protons or deuterons to form ultra low momentum neutrons (ULMNs). The critical field threshold is roughly on the order of 1011 V/m, which is about the same magnitude as the strength of the Coulomb field seen by the electron in a hydrogen atom. 3. ULMNs are captured on nearby atoms, catalyzing a variety of different types of low-energy nuclear reactions (LENRs). Unlike neutrons at thermal energies and higher, the invention's ULMNs have unusual properties that include very large wavelengths (i.e. ˜10−3 cm) and very high capture (absorption) cross-sections. As a result, mean free paths of ULMNs in the apparatus of the invention are very short: only ˜10−6 cm. It is well known that neutron captures by various elements commonly result in prompt gamma emissions. It is also well known that neutron-rich isotopes of many elements (excluding certain very high-A elements such as uranium, plutonium) are short-lived and decay mainly via weak force beta processes. Individual beta decays can be very energetic and can have positive Q-values ranging up to ˜20 MeV; many of them also emit gammas. Q-values of many beta decays compare favorably to net Q-values that are achievable with D-D/D-T fusion reactions (total 25 MeV). Various different chains of beta decays can thus be utilized for generating power. In certain aspects of the present invention, preferably chains of reactions characterized mainly by absorption of ULMNs and subsequent beta decays are employed. These are collectively referred to as low energy nuclear reactions—“LENRs”. In some cases, preferred ULMN-catalyzed chains of nuclear reactions may have relatively benign beta decays interspersed with occasional “gentle” fissions of isotopes of other elements and occasional alpha-particle decays. These may have Q-values ranging from one or two MeV up to 20 MeV, in contrast to the very energetic 200+MeV Q-value of the fission of very high-A, Uranium-235. SPPs, as discussed in the above mentioned reference, serve multiple roles in the context of the invention. Specifically, SPPs comprised of “normal-mass” electrons and numerous contiguous patches containing heavy electrons created in an apparatus according to the present invention can: Absorb energy from the external environment in specific types of coupling and modes (both “normal mass” electrons and heavy electrons; normal-mass electrons can transfer energy to heavy ones), and/or React spontaneously with nearby protons and/or deuterons to create ultra low momentum neutrons that can be captured and used to catalyze nuclear power-producing and/or transmutation LENRs (heavy electrons only), and/or Reradiate energy of absorbed gamma photons as many lower-energy infrared and soft X-ray photons. In this role, it serves as a fully integrated “shield” against prompt and delayed gammas produced by neutron-catalyzed LENRs occurring within the invention's apparatus and/or gamma radiation incident on the apparatus from external sources (heavy electrons only). Prompt gammas may be produced when ULMNs are initially captured by nuclei situated in close proximity to “patches” of coherently oscillating hydrogen isotopes in which the ULMNs are generated. Delayed gammas are produced by subsequent decay chains (preferably mostly cascades of beta decays) of new isotopes created through capture of ULMNs by nearby “target” atoms. By virtue of their additional mass, and unlike the vacuum state, heavy electrons in the invention's surface plasmon polaritons have the ability to fully absorb “hard” gamma photons and reradiate gamma photon energy in the form of an ensemble of a much larger number of lower-energy “soft” photons, mainly in the infrared and soft X-ray portions of the EM spectrum. In the Invention, connections between nuclear and chemical processes occur at moderate temperatures and pressures within the invention's condensed matter system. In the invention, SPPs (comprised of heavy electrons and electrons of ordinary mass) help mediate a dynamic, two-way energy exchange between nuclear and non-nuclear processes. In effect, the apparatus of the invention operates as an energy transducer between nuclear and chemical realms. In an apparatus embodying the present invention, the mean free path of a gamma photon with energy between ˜0.5 MeV and ˜10.0 MeV can be (and preferably is) extraordinarily short: only ˜3.4×10−8 cm, or less than a nanometer. This property has the effect of suppressing emission of externally detectable gamma radiation by the invented apparatus and shielding against incident gamma radiation emanating from external sources in almost any direction. Depending on the specifics of the preferred apparatus, selected materials, and operating conditions, what may be observed experimentally can include: No significant gamma emissions, mostly just internally absorbed infrared (IR) radiation and charged particle interactions (produced by LENRs) with lattice phonons. Together, they are detected as substantial amounts of measured “excess heat” in a calorimeter; such excess heat would typically be accompanied by various types of nuclear transmutation products; in addition, this may sometimes be accompanied by small, barely detectable fluxes of soft X-rays [Reference Example: “Large excess heat production in Ni—H systems”, Focardi et al, Il Nuovo Cimento, 111, No. 11, November 1998] Significant numbers of nuclear transmutation products in conjunction with little or no measurable excess heat, no detectable gamma emissions, and no detectable soft X-rays [Reference Example: “Elemental Analysis of Pd Complexes: Effects of D2 Gas Permeation”, Iwamura et al, Japanese Journal of Applied Physics, 41, No. 7A, pp. 4642-4650, July 2002] An important application of the present invention is, as noted above, for shielding against gamma radiation. This is achieved with heavy electrons produced in the manner described already. FIG. 18 represents an application of the present invention for gamma shielding. As seen in FIG. 18, a heavy electron produced by the present invention can receive a gamma photon and re-radiate the gamma photon's energy in the form of multiple, lower frequency, lower energy photons, e.g., infrared and soft X-ray photons. A high-level conceptual overview of aspects of the present invention is illustrated in FIG. 19. The invented methods and apparatus utilize “ordinary” surface plasmon polariton electrons 2 (referred to in FIG. 19 as “SPPs”) and heavy-mass surface plasmon polariton electrons 4, hydrogen isotopes 6, surfaces of metallic substrates 8, collective many-body effects that produce very high electric fields 3, and weak interactions 10 in a controlled manner to generate ultra low momentum neutrons (“ULMNs”) 12 (that can be used to trigger low energy nuclear transmutation reactions 14 and produce heat 16), neutrinos 18, and heavy electrons 4 that can effectively serve as a gamma shield for gammas produced in local nuclear reactions 20 as well as screen incident gamma radiation from outside sources 22. The physical system enabled by the invention collectively creates what is effectively a “transducer” mechanism 24 (represented by the bi-directional arrow in the center of FIG. 19) that permits controllable two-way transfers of energy back-and-forth between chemical 26 and nuclear 28 energy realms in a small-scale, low-energy, scalable condensed matter system at comparatively modest temperatures and pressures. The present invention requires an input of energy to create heavy surface plasmon polariton electrons 4 and ultra low momentum neutrons 12; net excess energy is released whenever the nuclear binding energy released by subsequent weak interactions 10, such as beta decays 10 or neutron creation 10, exceeds the required input energy. Utilizing the transducer mechanism 24 of the invention, energy may be input to the system via interactions with “ordinary” surface plasmon polariton electrons 2 and heavy-mass surface plasmon polariton electrons 4. According the present invention, required energy to make heavy electrons 4 and ultra low momentum neutrons 12 can be input via alternative methods that include, singly or in combination: (a) irradiation of the surface plasmon polariton electrons with “beams” of electrons 30 such as those found in an electrical current; and/or (b) creation of a flux of ionized protons or deuterons 32 across the surface via a pressure gradient or difference in chemical potential; (c) and/or irradiation of the surface with other types of energetic ions 34; and/or (d) given appropriate surface roughness to properly couple momentum, irradiation of the surface with light of the appropriate frequency from a selected laser 36. The present invention functions as gamma shield by its heavy electrons 4 absorbing gammas (20 which arise either from local low energy nuclear reactions or as 22 incident gamma radiation coming from the outside) and then re-radiating the absorbed gamma energy as a mixture of infrared photons 38 and some soft X-rays 40. A combination of infrared photons 38, soft X-rays 40, and energetic electrons and charged particles 42, interact with lattice phonons 44 to produce excess heat 16. FIG. 20 is a representative side view of an ultra low momentum neutron generator and integrated gamma shield according to aspects of the present invention. It consists of: randomly positioned surface “patches” 6 from one to ten microns in diameter comprising a monolayer of collectively oscillating protons or deuterons; a metallic substrate 46 which may or may not form bulk hydrides; collectively oscillating surface plasmon polariton electrons 2, 4 that are confined to metallic surface regions (at an interface with some sort of dielectric) within a characteristic skin depth averaging 200-300 Angstroms for typical metals such as copper and silver; an upper working region 48 which may be filled with a liquid, gas, solid-state proton conductor, or a mild vacuum; other substrate 50 which must be able to bond strongly with the metal substrate surface 8 and have good thermal conductivity but which may or may not be permeable to hydrogen or deuterium and/or form hydrides; and the working surface 8 of the metallic substrate 46 which may or may not have nanoparticles of differing compositions affixed to it. The upper working region 48 either contains a source of protons/deuterons or serves as a transport medium to convey ions, and/or electrons, and/or photons to the working surface 8 upon which the SPPs 2, 4 are found. FIG. 21 is a representative top view of an ultra low momentum neutron generator and integrated gamma shield according to aspects of the present invention. It shows randomly positioned “patches” of collectively oscillating protons or deuterons 6 located on top of the metallic substrate 46 and its working surface 8. FIG. 22 is a representative side view of an ultra low momentum neutron generator and integrated gamma shield according to aspects of the present invention. It shows the ultra low momentum neutron generator of FIG. 20 with randomly positioned nanoparticles 52 affixed to the working surface 8. It is important that the maximum dimensions of the nanoparticles are less than the skin depth of the surface plasmon polariton electrons 2, 4. FIG. 23 is a representative top view of an ultra low momentum neutron generator and integrated gamma shield according to aspects of the present invention. It shows the ultra low momentum neutron generator of FIG. 21 with randomly positioned nanoparticles 52 affixed to the working surface 8 amidst patches of collectively oscillating protons or deuterons 6. FIG. 24 is a representative schematic side view of one alternative preferred embodiment of a ultra low momentum neutron power generation system with integrated gamma shielding according to aspects of the present invention. It shows a pressurized reservoir of hydrogen or deuterium gas 54 connected via a valve 56 and related piping with an one-way check valve and inline pump 58 that injects gas under pressure (>1 atmosphere) into a sealed container with two open cavities 60, 62 separated and tightly sealed from each other by a one or two layer ultra low momentum neutron generator. The side walls 64 of the cavities 60, 62 are thermally conductive, relatively inert, and serve mainly to provide support for the ultra low momentum neutron generator. The top and bottom walls 68, 70 of the two cavities 60, 62 must constructed of materials that are thermally conductive. Optionally, in other alternative embodiments in which a laser 36 and electrical connector 66 is not optionally installed on the top wall 68, then the top 68 and bottom 70 walls can be made electrically conductive and a desired electrical potential gradient can be imposed across the ultra low momentum neutron generator. If an additional chemical potential in the ultra low momentum neutron generator is desirable, the ultra low momentum neutron generator can optionally be constructed with two layers 46, 50, both of which must be able to form bulk metallic hydrides, but their materials are selected to maximize the difference in their respective work functions at the interface between them. Each layer 46, 50 of the ultra low momentum neutron generator must preferably be made thicker than the skin depth of surface plasmon polaritons, which is about 20-50 nanometers in typical metals. If a semiconductor laser 36 is optionally installed, it should be selected have the highest possible efficiency and its emission wavelengths chosen to closely match the resonant absorption peaks of the SPPs found in the particular embodiment. The pressure gradient (from 1 up to 10 atmospheres) across the ultra low momentum neutron generator insures that a sufficient flux of protons or deuterons is passing through the ultra low momentum neutron generator and integrated shield's working surface 8. Finally, the outermost walls of the container 72, completing enclosing the ultra low momentum neutron generator unit (except for openings necessary for piping, sensors, and electrical connections), can be either solid-state thermoelectric/thermionic modules, or alternatively a material/subsystem that has an extremely high thermal conductivity such as copper, aluminum, Dylyn diamond coating, PocoFoam, or specially engineered heat pipes. In the case of the alternative embodiment having a ultra low momentum neutron generator integrated with thermoelectric/thermionic devices, high quality DC power is generated directly from the ultra low momentum neutron generator's excess heat; it serves as a fully integrated power generation system. In the other case where the container is surrounded by some type of thermal transfer components/materials/subsystems, the ultra low momentum neutron generator functions as an LENR heat source that can be integrated as the “hot side” with a variety of different energy conversion technologies such as small steam engines (which can either run an electrical generator or rotate a driveshaft) and Stirling engines. In the case of a metallic substrate 46 that forms a bulk hydride, the first step in the operation of the Invention is to deliberately “load” 90-99% pure hydrogen or deuterium into a selected hydride-forming metallic substrate 46 such as palladium, nickel, or titanium. Examples of alternative preferred methods for such loading (some can be combined) include a: 1. Pressure gradient; 2. Enforced difference in chemical potential; and/or 3. Imposition of electrochemical potential across the working surface. When a metallic hydride substrate 46 is “fully loaded” (that is, the ratio of H or D to metal lattice atoms in the metallic hydride substrate reaches a preferred value of 0.80 or larger), protons or deuterons begin to “leak out” and naturally form densely covered areas in the form of “patches” 6 or “puddles” of positive charge on the working surface 8 of the metallic hydride substrate 46. The appearance of these surface patches of protons or deuterons can be seen clearly in thermal neutron scattering data. These surface patches 6 of protons or deuterons have dimensions that are preferably from one to ten microns in diameter, and are scattered randomly across the working surface 8. Importantly, when these surface patches 6 form, the protons or deuterons that comprise them spontaneously begin to oscillate together, collectively, in unison. The Born-Oppenheimer approximation will automatically break down in local regions of the working surface 8 that are in close proximity to surface patches 6 of collectively oscillating protons or deuterons. At this point, the collective motions of the electrons comprising the surface plasmon polaritons 2, 4 become loosely coupled to the collective oscillations of local surface “patches” 6 of protons or deuterons. Energy can now be transferred back-and-forth 24 between the surface patches 6 of protons or deuterons and the entire “sea” of SPPs 2, 4 covering the working surface 8. Electromagnetic coupling between SPP electrons 2, 4 and collectively oscillating patches of protons or deuterons 6 dramatically increases strength of electric fields 3 in the vicinity of the patches 6. As the local electric field strength of a patch 3 increases, per the theory of Quantum Electrodynamics, the masses of local SPP electrons 4 exposed to the very high fields 3 (preferably >1011 V/m) are renormalized upward (their real mass is increased). Such field strengths 3 are essentially equivalent to those normally experienced by inner-shell electrons in typical atoms. Thus, in the invention heavy electrons, e*− 4 are created in the immediate vicinity of the patches 6 in and around the working surface 8. SPP electrons 2, 4 in and around the patches can be heavy 4, those located away from the patches are not 2. Beyond the initial loading phase to form a fully-loaded hydride substrate 46, electric fields 3 in the vicinity of the H or D patches 6 must be further increased by injecting additional energy into the “sea” of surface plasmon polaritons 2, 4. Ultimately, this has the effect of further increasing the rate of ultra low momentum neutron (ULMN) production. This can be accomplished by using one or more the following preferred methods (some can be combined): 1. Creating a nonequilibrium flux of protons or deuterons as ions 32 across the working surface interface 8 defined by the surface of the metallic hydride substrate (this is a rigid requirement in the case of a metallic substrate 46 that forms bulk hydrides); and/or, 2. Optionally, irradiating the metallic substrate's working surface 8 with laser 36 light of the appropriate wavelength that is matched to the photon absorption resonance peaks of the SPPs 2, 4; this also has related surface roughness requirement to insure momentum coupling with the laser photons 36; and/or, 3. Optionally, irradiating the metallic substrate's 46 working surface 8 with an appropriately intense beam of either energetic electrons 30 or other preferred types of energetic positive ions 34 besides protons or deuterons 32. When the renormalized masses of local SPP heavy electrons 4 reach critical threshold values, they will react spontaneously with collectively oscillating protons or deuterons in adjacent patches 6 in a weak interaction 10, thus producing ultra low momentum neutrons 12, and neutrinos 18. As stated earlier, the two types of weak interaction nuclear reactions 10 between protons or deuterons 6 and heavy electrons 4 that produce ultra low momentum neutrons 12 and neutrinos 18 are as follows:p++e*−=nulm+neutrinod++e*−=2nulm+neutrino It must be emphasized that the strength of electric fields 3 just above the patches 6 is crucial to the production of ultra low momentum neutrons 12. If the local electric fields 3 are not high enough (e.g., <<1011 V/m) critical field strength thresholds will not be reached and the SPP electrons 2, 4 will be short of the minimum mass necessary to react spontaneously with protons or deuterons 6 to form ultra low momentum neutrons 12. It is well known in nanotechnology and the semiconductor industry that micron- and nano-scale surface features/topology and the size/geometry/placement of nanoparticles on surfaces 52 can have dramatic effects on local electromagnetic fields 3. The size regime for such effects starts at tens of microns and extends down to the nanoscale at roughly 5 nanometers. For example, it is known in nanotechnology that the relative size, composition, geometry, and relative placement (positioned to touch each other in a straight line versus a more close-packed arrangement) of nanoparticles on surfaces 52 can cause the local electric fields 3 to vary by 105. That factor is easily the difference between reaching the necessary thresholds to create ultra low momentum neutrons 12 or not. The implication of these facts is that to successfully produce substantial percentages of good working ultra low momentum neutron and integrated gamma shield generator devices and operate them for significant periods of time, techniques must be used that are capable of nanoscale control of initial fabrication steps and materials/designs/methods must be selected that can maintain key surface properties during extended device operation. In that regard, ultra low momentum neutron generators with an upper working region 48 that is filled with hydrogen or deuterium gas are more tractable from a surface stability standpoint, as compared to electrolytic ultra low momentum neutron generators with integrated gamma shields using an aqueous electrolyte in which the nanoscale surface features of the cathodes typically change dramatically over time. FIGS. 22 and 23 illustrate a ultra low momentum neutron generator and integrated gamma shield in which nanoparticles 52 are fabricated and affixed to its working surface 8. FIG. 22 is a representative side view, not drawn to scale; FIG. 23 is a representative top view, also not drawn to scale. According to this particular embodiment, an ultra low momentum neutron generator and integrated gamma shield would be constructed with a metallic substrate 46 that forms hydrides or deuterides, such as palladium, titanium, or nickel, or alloys thereof. Above that substrate is a working surface 8 capable of supporting surface plasmon polaritons 2, 4 and the attachment of selected nanoparticles 52. The thickness of the substrate 46 and the diameter of the surface nanoparticles 52 should be fabricated so that they do not exceed the skin depth of the SPPs 2, 4. The substrate 46 is fully loaded with H or D and the working surface 8 has an adequate coverage of patches 6 of protons or deuterons. In this embodiment the surface nanoparticles 52 serve as preferred target materials for ultra low momentum neutron 12 absorption during operation of the generator and gamma shield. One example of a preferred nanoparticle target material for ultra low momentum neutron heat generation applications are a variety of palladium-lithium alloys. Palladium-lithium alloys represent an example of a preferable nanoparticle target material 52 because: (a.) certain lithium isotopes have intrinsically high cross-sections for neutron absorption; (b.) nanoparticles composed of palladium-lithium alloys adhere well to palladium substrates; (c.) palladium-lithium alloys readily form hydrides, store large amounts of hydrogen or deuterium, and load easily; and finally (d.) there is a reasonably small, neutron-catalyzed LENR reaction network starting with Lithium-6 that produces substantial amounts of energy and forms a natural nuclear reaction cycle. Specifically, this reaction network operates as follows (the graphic is excerpted from the referenced Widom-Larsen paper in Attachment 1 that published in The European Physical Journal C—Particles and Fields):   3 6 ⁢ Li + n →   3 7 ⁢ Li ,   3 7 ⁢ Li + n →   3 8 ⁢ Li ,   3 8 ⁢ Li →   4 8 ⁢ Be + e - + v _ e ,   4 8 ⁢ Be →   2 4 ⁢ He +   2 4 ⁢ He . ⁢ ⁢ The ⁢ ⁢ chain ⁢ ⁢ ( 30 ) ⁢ ⁢ yields ⁢ ⁢ a ⁢ ⁢ quite ⁢ ⁢ large ⁢ ⁢ heat ⁢ ⁢ Q ⁢ ⁢ for ⁢ ⁢ the ⁢ ⁢ net ⁢ ⁢ nuclear ⁢ ⁢ reaction ( 30 ) Q ⁢ {   3 6 ⁢ Li + 2 ⁢ ⁢ n → 2 ⁢   2 4 ⁢ He + e - + v _ e } ≈ 26.9 ⁢ ⁢ MeV . ⁢ Having ⁢ ⁢ produced ⁢ ⁢ ⁢   4 ⁢ He ⁢ ⁢ products , ⁢ futher ⁢ ⁢ ⁢ neutrons ⁢ ⁢ may ⁢ ⁢ be ⁢ ⁢ employed ⁢ ⁢ to ⁢ ⁢ build ⁢ ⁢ heavy ⁢ ⁢ helium ⁢ ⁢ “ halo ⁢ ⁢ nuclei ” ⁢ ⁢ yielding ⁢ ( 31 )   2 4 ⁢ He + n →   2 5 ⁢ He ,   2 5 ⁢ He + n →   2 6 ⁢ He ,   2 6 ⁢ He →   3 6 ⁢ Li + e - + v _ e . ⁢ ⁢ The ⁢ ⁢ chain ⁢ ⁢ ( 32 ) ⁢ ⁢ yields ⁢ ⁢ a ⁢ ⁢ moderate ⁢ ⁢ heat ⁢ ⁢ for ⁢ ⁢ the ⁢ ⁢ net ⁢ ⁢   3 6 ⁢ Li ⁢ ⁢ producing ⁢ ⁢ reaction ( 32 ) Q ⁢ {   2 4 ⁢ He + 2 ⁢ ⁢ n →   3 6 ⁢ Li + e - + v _ e } ≈ 2.95 ⁢ ⁢ MeV . ⁢ The ⁢ ⁢ reactions ⁢ ⁢ ( 30 ) ⁢ ⁢ and ⁢ ⁢ ( 32 ) ⁢ ⁢ taken ⁢ ⁢ together ⁢ ⁢ form ⁢ ⁢ a ⁢ ⁢ ⁢ nuclear ⁢ ⁢ reaction ⁢ ⁢ cycle . ⁢ Other ⁢ ⁢ possibilities ⁢ ⁢ include ⁢ ⁢ the ⁢ ⁢ direct ⁢  ⁢ lithium ⁢ ⁢ reaction ( 33 ) The net amount of energy (Q) released in the above example of an LENR network 14 compares favorably with that of strong interaction fusion reactions, yet it does not result in the production of energetic neutrons, hard radiation, or long-lived radioactive isotopes. Thus, substantial amounts of heat energy 16 can be released safely by guiding the course of complex LENR nucleosynthetic and decay processes 14; at the same time, emission of hard gamma radiation 20 is suppressed by the Invention's shielding mechanism. Other local “target” nanoparticles 52 on working surfaces 8 may have an isotopic composition that is deliberately chosen to minimize the production of excess heat. Gadolinium would be one example of such a material; it can absorb many neutrons 12 before creating unstable isotopes. An alternative strategy to accomplish the same goal would be to control the local electromagnetic fields 3 across the working surface 8 in such a way that they are “clamped” at values just below the critical threshold for ultra low momentum neutron production. In that alternative embodiment there is a requirement for energy input into the system, the gamma shield is present, but no weak interaction nuclear reactions are occurring. In both such alternative preferred embodiments, the device will function mainly as a gamma shield which can be used to strongly attenuate incident gamma radiation coming from outside sources 22 in the range of ˜0.5 MeV to ˜10.0 MeV. Further Commercial Utility of the Invention There are additional important commercial uses for preferred embodiments of the invention that provide low cost, low mass, highly effective gamma shielding. Important applications enabled by the present invention include: Compact, long-lived portable power generation devices that can sustain in situ operation of ultra low momentum neutron-catalyzed networks of LENRs without any need for significant quantities of additional shielding because the system's heavy electrons function as an integrated gamma shield. Such devices can be designed to exploit differences between the aggregate nuclear binding energies of preferred initial seed materials and the final products (isotopes) of the nuclear reaction networks to create an overall net release of energy, primarily in the form of excess heat. This heat would be generated primarily by preferred weak interactions such as beta decays. When integrated with a variety of preferred types of energy conversion technologies, nuclear heat source devices enabled by the invention could be valuable in a variety of civilian and military applications; and Compact commercial systems for transmutation of various types of preferred seed materials/isotopes to produce significant recoverable quantities of specific, commercially useful isotopes. Such systems would generally require little or no additional shielding because the system's heavy electrons function as an integrated gamma shield. Low mass, highly effective shielding against incident gamma radiation for manned aerospace systems used in military or space environments; gamma shielding for radiation-sensitive electronic components in same. Compact, low mass, low cost gamma shielding for sealed containers used in the transportation of high-level radioactive waste. Compact, low mass, low cost gamma shielding for use in surrounding sealed enclosures for gamma-emitting isotopes employed in various medical and industrial applications. In the event that nuclear isomer triggering problems are eventually solved, the invention can provide shielding against the effects of isomer weapons; in the case of isomer storage technologies, it enables effective conversion of gammas into more usable forms of energy. In addition to their utility as an effective gamma shield, the heavy electrons and ultra low momentum neutrons of the invention can also be used to control the transition rates of weak nuclear interactions, in particular beta decay. The number of beta decay events can be increased or decreased depending on the number of surface heavy electron states created in the neighborhood of a beta decaying nucleus. A nuclear beta decay event may be written symbolically as:ZAX→Z+1AX+e−+ νe i.e. a nucleus with Z protons and (A−Z) neutrons transmutes into a new nucleus with (Z+1) protons and (A−Z−1) neutrons emitting and electron e− and an anti-neutrino. The decay rate depends strongly on the energy of the electron plus the energy of the neutrino which together determine the nuclear heat of reaction. The larger the heat of reaction the faster the beta decay rate. Any increase in the electron mass due to condensed matter renormalization, lowers the heat of reaction and thereby lowers the rate of beta decay. For example, the most simple beta decay is that of a neutronn→p++e−+ νe. Here, p+ represents a proton. The neutron will decay if isolated in the vacuum. The neutron will not decay if it is located inside of a nucleus which is stable to beta decay because the heat of reaction would be negative. A neutron within a nucleus will decay if the heat of beta decay reaction is positive. The more positive the heat of reaction, the faster will be the beta decay rate. The decay of a single neutron will be slowed down if the final electron state has a higher mass because the resulting heat of reaction will be smaller. On may thereby control the rate of beta decay reactions of nuclei on the surface of metallic hydrides by controlling the surface density of heavy electron states. Since the heavy mass states are central for neutron catalyzed nuclear transmutations, the control of the density of heavy electrons states also controls the rates of nuclear transmutation catalysis. Thus it will be appreciated that in addition to a number of other uses the present invention can provide a low mass, low cost, high attenuation gamma photon shield that can be used in a variety of different commercial applications.
058898344
summary
The invention relates to a blade collimator for radiation therapy of the class described in the introductory clause of claim 1. Blade collimators are accessories of linear accelerators that are used especially to irradiate tumors. They were developed to limit the area of radiation, to protect vital tissues from radiation, and thus to replace the traditional shielding blocks, which must be made to order for each tumor and are expensive to manufacture. Although these shielding blocks, because they are cast separately for each tumor, naturally permit very good adjustment to the shapes of tumors, it was desirable to create and employ relatively adjustable, reusable devices for limiting the area of radiation, using blade collimator technology, suitable for a range of tumor shapes. Basically, blade collimators have two sets of separately movable blades facing each other; their front faces are pushed together in such a way that the blades circumscribe an open area between the front faces that coincides fairly well with the contour of the tumor to be treated. The blades can be moved manually, electrically, or mechanically by means of spring mechanisms. Two basic classes of blade collimators are known to prior art. In the first class, relatively wide blades, often made of tungsten, are used to treat larger tumors. The width of blades for such collimators ranges from 6 mm to 1 cm, which permits irradiation of large areas of great width up to 60 cm. The front faces of the blades have a rectangular shape. This causes a problem in the use of collimators with wide blades: when the front faces are moved toward the contour of the tumor, it proves impossible to achieve a satisfactory match with the shape of the tumor. If the front faces of the blades are moved up to their first point of contact with the contour of the tumor, then the staircase pattern thus formed leaves open relatively large areas through which radiation can strike and damage vital tissue. This becomes an especially serious problem when treating the brain. If the blades are moved up in such a way that no vital tissue is left exposed to the radiation, this causes the opposite problem: the "stair landings" that are formed by the front faces of the blades cover parts of the tumor, which then cannot be treated. Moreover, the scattering of radiation reaches a high level with such collimators; the dose gradient becomes small. Overall, it may be concluded that the collimators with wide blades described above can indeed be used to irradiate large areas, but cannot be adequately adjusted to match the shape of the object to be irradiated. In order to solve this problem, a second class of blade collimators that employ very narrow blades was developed. Such blades, which are as narrow as 1 mm, in principle permit good adjustment of the localized radiation area to the shape of the tumor. Yet there are substantial disadvantages associated with devices of this type, particularly as regards the size of the area that can be irradiated. The number of blades that can be used is limited. This is because each blade must be able to be independently shifted by mechanical means, for which purpose each blade is generally equipped with an activating and shifting mechanism. These shifting mechanisms--which can be electric motors, for example--must all be mounted on the collimator or its support. This necessarily places an upper limit on the number of blades because too many of them would make the collimator, together with its support and activating mechanisms, too large and too heavy. A limited number of blades of lesser width restrict such a collimator to the irradiation of very small areas. Therefore, despite the relatively good adjustment, such a collimator is limited to a rather small area of application (e.g. the irradiation of small tumors). A contour collimator for radiation therapy is known from European patent number specification 0 245 768, which describes an effective adjustment device for the blades. Another contour collimator is known from German patent specification number 42 03 610; it employs a spring mechanism and a readjustment device for the blades. These two collimators of prior art also operate with blades of uniform width; that is, they are also beset by the problems associated with narrow or wide blades that are described above. The goal of the present invention is to provide a blade collimator for radiation therapy that solves the aforementioned problems. In particular, a blade collimator will be suggested that makes possible both good adjustment to the contour of the object to be irradiated and irradiation of relatively large areas. This goal is attained with a blade collimator designed according to the characterization part of claim 1. The subsidiary claims describe preferred embodiments of the blade collimator according to the invention. The main advantage of using blades of various widths within a blade field of the collimator is that it becomes possible to use narrow blades at those places where a precise adjustment to the contour to be irradiated is necessary, while wider blades can be employed at those places where they provide adequate adjustment--for example, those tumor contours that are relatively straight, against which the wide blades can be laid lengthwise with a good fit. By using both narrow and wide blades, it becomes possible to irradiate relatively large areas, while precise adjustment can be made to the difficult sites with the narrow blades, all while using the usual number of blades. This ensures that the diseased tissue is completely irradiated, while vital tissue is shielded from radiation and so remains undamaged. Thus the invention incorporates the advantages of the blade collimators known to prior art; it has the good adjustability of the narrow blades and the adequate irradiation field size of the wide blades, while compensating for the disadvantages described above. A blade collimator according to the invention can be designed in several advantageous ways. In one embodiment, several adjacent blades are grouped in sets of uniform width. This configuration is especially well suited to objects requiring irradiation having a contour that is highly irregular in at least one area, but that is regular in other places, so that sets of the narrower blades can cover the irregular site, while sets of the larger blades cover the regularly shaped contours. In another advantageous embodiment, the width of the blades in the collimator increases from inside to outside. The shape of many tumors is characterized by irregular contours near the center. A collimator whose blade width increases from inside to outside can be especially well adjusted to such tumors, while the tumors may occupy larger areas. With this design in particular, the width arrangement of the blades can be symmetrical to an axis of symmetry lying in the direction of travel. In this way, the drive with its activating elements can be executed regularly and therefore inexpensively in terms of design, while a wide range of application is maintained for using the collimator. The possible means for adjusting the blade positions for the collimator according to the invention range from shifting by hand, through adjustment to a preformed contour model, to very expensively operated adjustment and activating mechanisms. In one embodiment of the invention, devices are mounted on the blade support for moving the blades separately or in sets; these devices are driven by electrical and/or mechanical devices, especially by springs, connecting rods or electric motors. This makes it possible to couple the shifting devices and their activating mechanisms to a control unit, which controls the activation and path adjustment of the blades by means of stored patient data, especially data consisting of x-rays, computer tomograms or nuclear spin resonance tomograms. Such a control unit, which might operate by means of a computer, works together with the blade collimator according to the invention to facilitate precise adjustment to the irradiation contour, especially when radiation comes from various directions. Patient data or contours of the type described above are three-dimensional, so that the computer can adjust the blade collimator to the calculated contour with a good fit from any direction of exposure. In another embodiment of the invention, the sets of blades are arranged with their support or such that they rotate relative to the support. The rotation of the entire array (i.e. the sets of blades with the support or the sets of blades on the support) makes possible a further improvement in the adjustability of the exposure boundary to the contour to be irradiated. Through such rotation, one can ensure that the narrower blades come to rest on irregular points of the contour, while regular sites are covered by wide blades. Connected with a sensor, which via the control unit monitors both the contour of the object to be irradiated and the rotational angle of the blade arrangement, such adjustments can be carried out under computer control. While the preceding discussion has assumed that the blades are permanently mounted on their support, another design according to the invention is conceivable in which the blades come as modules so that sets of them are interchangeable. With the use of an activating and shifting mechanism suited to all blade modules, a still wider range of adjustment to the size and/or contour of the object to be irradiated can be ensured through the selection of sets of blades of appropriate width. Possible end-on radiation through the sets of blades can be prevented by giving them a special shape. In particular, blades designed according to the invention can be equipped with interlocking teeth on their side faces. Another possibility is to make use of overfocusing; in other words, to design the blades such that they are leak-proof and have a prismatic shape relative to an imaginary radiation source, whereby the imaginary radiation source lies higher than the actual radiation source used in the treatment. This yields better radiation absorption in the actual irradiation process. In another preferred embodiment of the blade collimator according to the invention, an additional blade is inserted between two blades; the former is positioned by means of mechanical devices such that its front face according to the direction of travel of the blades always occupies an essentially intermediate position between the front faces of the two adjacent blades. In this configuration, the number of activating and control mechanisms, as well as the weight, can be reduced, because only every other blade requires a drive. Yet this arrangement retains the good adjustment to the contours without the otherwise usual formation of steps. Preferably, the sets of blades of a blade collimator according to the invention consist of 20 to 32 blades, with a preferred embodiment equipped with 26 blades. One advantageous configuration for 26 blades would be to arrange the blades symmetrically from the outside to the inside so that three blades 4 mm in width, three blades 3 mm in width and seven 2 mm in width are provided for each side. A configuration such as this is low in overall weight, easily controllable, and can block out relatively large irradiation contours with a very good fit. Very narrow sets of blades, which in the case of the configuration according to the invention come into play when very narrow blades of uniform width are used, can entail a problem with secondary position measurement. Such secondary position measurements are especially needed when motor-driven sets of blades are used. The drive motors have an encoder which can report back the primary blade position; in troublesome cases, the motors can execute turns, even when the corresponding blade stops for any reason, as when it locks up. After such "no-load racing," the encoder reports a position that does not match the real position of the blade. In order to adjust for this mistake, blade collimators have a secondary position measurement device that ascertains the real position of the blade via rods connected to the blades; the ends of these rods communicate with individual movable contacts. These rods, as well as the movable contacts, occupy adjacent positions in a relatively wide layout that is necessitated by design considerations. Normally, in collimators with wide blades, the latter could be connected in a simple manner (e.g. directly) to the straight rods of the position measurement device. With narrow blades, which entail blade areas that are much narrower than the overall array of rods belonging to the secondary position measurement device, this is not possible. In terms of the invention, this problem is solved by a blade collimator characterized by individual blades whose upper edges, towards the back, are equipped with oblong connecting cords whose other ends engage the rods of a secondary position measurement device; seen from the direction of travel of the blades, the connecting cords spread out upwards in roughly a fan shape up to the rods' contact points, which are more widely separated than the blades. In this design, the connecting cords are made of flat strips, especially metal strips, which bend in their course from the edges of the blades to the contact points on the rods of the position measurement device and whose end segments are preferably straight. Secondary position measurement can be carried out at any time with the solutions described above, even with very narrow blade fields. Another problem with narrow blade collimators of this type, in which the blades have tapped holes in the direction of travel where threaded rods turn during the adjustment operation, is that narrow blades can accommodate only small tapped holes. However, the small-diameter threaded rods that are inserted into these holes are unstable, especially if one recalls that in the vertical position, the weight of a blade made of tungsten rests on the threaded rod and that frictional forces also come to bear during movement. Although the usual blades are offset for radiation shielding and conveyance by each other, this does not permit the tapping of larger-diameter holes because the individual sections of the blades all have the same limited thickness. The blade collimator according to the invention is designed to solve this problem, and for this purpose is characterized by blades which, seen from the direction of travel, exhibit from top to bottom a cross-sectional shape with widened sections on both sides of the bisecting line of the individual blades, and matching narrowed sections. In each case, the adjacent, identically shaped blades exhibit their widened sections and narrowed sections at corresponding longitudinally displaced sites, so that the side faces of the blades nestle against each other in essentially flat contact. Preferably, holes are tapped as counterparts to a drive-threaded rod in each of the widened cross-sectional areas of the blades. This offset configuration of the blades makes it possible to bring the individual blades into proper position relative to one another, to provide radiation shielding perpendicular to the direction of travel, as well as the tapping of larger-diameter holes and thus an increase in stability. Furthermore, with this symmetric design, sets of blades can be deployed on both sides of the collimator; the blades of opposing, identically shaped sets can slide by each another with ease as needed. The arrangement and housing of the separate drive motors presents another problem in the blade collimators described above, with their narrow blade configuration. Electric motors with diameters of less than approximately 16 mm are not commercially available. Although the motors are arranged in a height-staggered configuration, it is not possible to reach each blade in a small blade area in a straight line via drive shafts and drive threaded rods that are attached to the motors. The only traditional solution is to utilize flexible threaded rods, which must be made of plastic. Unfortunately, they are unstable, and generate abrasion that can impair or stop the movement of the blades. Use of grease can clog up the entire apparatus with prolonged use. This problem too can be solved by a blade collimator according to the invention in which the blades are moved by electric motors and each electric motor has a drive shaft and a drive threaded rod; the problem is solved by staggering the motors one behind the other in the direction of travel of the blades. Such a longitudinally staggered configuration of motors permits every blade to be driven with a threaded rod running in a straight line. More than sufficient room remains between motors arranged in the same longitudinal position to permit the drive shaft or the threaded rod of a motor situated behind it to pass through.
053645685
claims
1. A method of encapsulating a cation, comprising (i) contacting, in an aqueous solution, an anion of formula (I): EQU [DA.sub.5 M.sub.30-x O.sub.110-x (M'L).sub.x ].sup.m- (I) 2. The method of claim 1, wherein D is Na, x is 0, A is P, and m is 14. 3. In a method of reprocessing spent nuclear reactor fuel, comprising separating a cation from an aqueous solution comprising said cation, the improvement being that said separating comprises contacting, in an aqueous solution, an anion of formula (I): EQU [DA.sub.5 M.sub.30-x O.sub.110-x (M'L).sub.x ].sup.m- (I) 4. The method of claim 3, wherein D is Na, x is 0, A is P, and m is 14. 5. The method of claim 3, wherein said separating further comprises precipitating said anion of formula (II) in the form of an insoluble salt. 6. A composition of matter of the formula (II): EQU [ZA.sub.5 M.sub.30-x O.sub.110-x (M'L).sub.x ].sup.(m+1-n)- (II) 7. The composition of matter of claim 6, wherein x is 0 or 1, M' is V, L is O.sup.2-, and m is 14 or 15. 8. The composition of matter of claim 6, wherein x is 0 and m is 14. 9. The composition of matter of claim 6, wherein x is 1, m' is V, L is O, and m is 15. 10. The composition of matter of claim 6, which is in the form of a water-soluble salt. 11. The composition of matter of claim 6, which is in the form of a water-insoluble salt. 12. The composition of matter of claim 6, which is in the form of a potassium ion salt. 13. The composition of matter of claim 6, wherein x is 0, A is P, and m is 14. 14. A composition of matter of formula (Ia): EQU [DA'.sub.5 M.sub.30-x O.sub.110-x (M'L).sub.x ].sup.m- (I) (a) every occurrence of A' is not P when x is 0; and (b) every occurrence of A' is not P, M' is not V, and L is not O, when x is 1. 15. The composition of matter of claim 14, which is in the form of a water-soluble salt. 16. The composition of matter of claim 14, which is in the form of a sodium ion salt. 17. The method of claim 1, wherein said separating comprises extracting said anion of formula (II) into an organic solvent by means of a phase transfer agent. 18. The method of claim 1, wherein said separating comprises precipitating said anion of formula (II). 19. The method of claim 18, wherein said anion of formula (II) is precipitated as a potassium salt, a cesium salt, or a NR.sub.4.sup.+ salt, wherein R is methyl, ethyl, or n-propyl. 20. The method of claim 1, wherein said anion of formula (I) is selected from the group consisting of [NaP.sub.5 W.sub.29 VO.sub.110 ].sup.16- and [NaP.sub.5 W.sub.30 O.sub.110 ].sup.14-. 21. The method of claim 3, wherein said anion of formula (I) is selected from the group consisting of [NaP.sub.5 W.sub.29 VO.sub.110 ].sup.16- and [NaP.sub.5 W.sub.30 O.sub.110 ].sup.14-.
claims
1. A target supply device, comprising:a target supply device body including a nozzle having a through-hole through which a target material is discharged; anda vibration device comprising a piezoelectric member, an elastic member, a regulating member, and a holding unit,the piezoelectric member being connected to the target supply device body and configured to vibrate in accordance with an externally supplied electric signal,the elastic member being connected to the piezoelectric member and configured to apply a pressure to the piezoelectric member so that the piezoelectric member pushes the target supply device body,the regulating member being connected to the elastic member,the holding unit being connected to the target supply device body and configured to hold the regulating member, andthe vibration device being configured to control the pressure to be applied by the elastic member to the piezoelectric member by changing position of the regulating member held by the holding unit. 2. The target supply device according to claim 1, whereinthe elastic member is aligned to the direction in which the piezoelectric member vibrates. 3. The target supply device according to claim 1, whereinthe vibration device further comprises an intermediate member provided between the target supply device body and the piezoelectric member, the intermediate member being configured to connect the target supply device body and the piezoelectric member, andthe intermediate member being configured such that an area of contact between the target supply device body and the intermediate member is smaller than an area of a section of the piezoelectric member along a plane parallel to the area of contact. 4. The target supply device according to claim 1, whereinthe vibration device further comprises an intermediate member, a pump, and a cooling device,the intermediate member has a water flow channel formed therein, the intermediate member being provided between the target supply device body and the piezoelectric member, and configured to connect the target supply device body and the piezoelectric member,the pump is connected to the water flow channel and configured to circulate water through the water flow channel, andthe cooling device is connected to the water flow channel and, configured to cool the water in the water flow channel. 5. A target supply device, comprising:a target supply device body including a nozzle having a through-hole through which a target material is discharged; anda vibration device comprising an elastic member, a piezoelectric member, a regulating member, and a holding unit,the elastic member being connected to the target supply device body,the piezoelectric member being connected to the elastic member and configured to vibrate in accordance with an externally supplied electric signal,the regulating member being connected to the piezoelectric member and configured to receive a pressure from the piezoelectric member pushed by the elastic member,the holding unit being connected to the target supply device body and configured to hold the regulating member, andthe vibration device being configured to control the pressure to the regulating member from the piezoelectric member pushed by the elastic member by changing position of the regulating member held by the holding unit. 6. The target supply device according to claim 5, whereinthe vibration device further comprises an intermediate member, a pump, and a cooling device,the intermediate member having a water flow channel formed therein, the intermediate member being provided between the target supply device body and the elastic member, and configured to connect the target supply device body and the elastic member,the pump being connected to the water flow channel and configured to circulate water through the water flow channel, andthe cooling device being connected to the water flow channel and configured to cool the water in the water flow channel. 7. A target supply device, comprising:a target supply device body comprising a reservoir, a heater, a heater power source, a temperature sensor, a temperature controller, and a pressure adjuster,the reservoir including a nozzle having a through-hole through which a target material is discharged,the heater being arranged to the reservoir,the heater power source being connected to the heater,the temperature sensor being arranged to the reservoir,the temperature controller being connected to the heater power source and the temperature sensor, andthe pressure adjuster being connected to an inert gas cylinder and connected to a pipe to supply inert gas from the inert gas cylinder into the reservoir;a vibration device comprising an intermediate member, a piezoelectric member, a power supply, an elastic member, a regulating member, and a holding unit,the intermediate member being connected to the target supply device body,the piezoelectric member being connected to the intermediate member and configured to vibrate in accordance with an externally supplied electric signal,the power supply being configured to apply a voltage to the piezoelectric member,the elastic member being connected to the piezoelectric member and configured to apply a pressure to the piezoelectric member so that the piezoelectric member pushes the intermediate member,the regulating member being connected to the elastic member,the holding unit being connected to the target supply device body and configured to hold the regulating member, andthe vibration device being configured to control the pressure to be applied by the elastic member to the piezoelectric member by changing position of the regulating member held by the holding unit; anda target controller being connected to the temperature controller, the pressure adjuster and the power supply, and configured to control the temperature controller, the pressure adjuster and the power supply so that the target supply device supplies the target material. 8. The target supply device according to claim 7, wherein the intermediate member is configured such that an area of contact between the target supply device body and the intermediate member is smaller than an area of a section of the piezoelectric member along a plane parallel to the area of contact. 9. The target supply device according to claim 7, whereinthe vibration device further comprises a pump and a cooling device,the intermediate member has a water flow channel formed therein,the pump is connected to the water flow channel and configured to circulate water through the water flow channel, andthe cooling device is connected to the water flow channel and configured to cool the water in the water flow channel. 10. The target supply device according to claim 7, wherein the elastic member comprises a plurality of disc springs.
summary
summary
summary
060758384
summary
FIELD OF THE INVENTION This invention relates to a plasma X-ray source of the Z-pinch type and, more particularly, to an X-ray source that utilizes a gas mixture including a primary X-radiating gas and a low atomic number diluent gas for improved axial radiation intensity and reduced cost. BACKGROUND OF THE INVENTION A Z-pinch plasma X-ray source that utilizes the collapse of a precisely controlled, low density plasma shell to produce intense pulses of soft X-rays is disclosed in U.S. Pat. No. 5,504,795 issued Apr. 2, 1996 to McGeoch. The X-ray source includes a chamber defining a pinch region having a central axis, an RF electrode disposed around the pinch region for pre-ionizing the gas in the pinch region to form a plasma shell that is symmetrical around the central axis in response to application of RF energy to the RF electrode, and a pinch anode and a cathode disposed at opposite ends of the pinch region. An X-radiating gas is introduced into the chamber at a typical pressure level between 0.1 torr and 10 torr. The pinch anode and the pinch cathode produce a current through the plasma shell in an axial direction and produce an azimuthal magnetic field in the pinch region in response to application of a high energy electrical pulse to the pinch anode and the pinch cathode. The azimuthal magnetic field causes the plasma shell to collapse to the central axis and to generate X-rays. X-ray measurements using different gases and gas mixtures in the disclosed x-ray source have shown that there is often more radiation intensity in directions close to the pinch axis than in the more radial directions. In the rapidly recombining plasma that exists within a few tens of nanoseconds after the pinch has reached peak density and temperature, the radiation field of emitted X-rays is converging on the Planck equilibrium distribution for a plasma at the recombination temperature. However, in such high aspect ratio plasmas, (aspect ratios, defined as length divided by diameter, of between 50 and 100 are typical in this device), it often happens that the radiation field cannot reach equilibrium in non-axial directions due to the limited optical depth of the plasma in these directions. As a consequence, it appears that the equilibrium intensity in the axial direction is able to overshoot the Planck value. This Planckian overshoot factor has been measured to exceed 6 for radiation at the wavelength of 100 angstroms in the case of the recombination of lithium-like oxygen (O VI). A method for exciting the 134 angstrom xenon band of interest for lithography, using laser excitation of xenon clusters in a high pressure expansion, is disclosed in U.S. Pat. No. 5,577,092 issued Nov. 19, 1996 to Kubiak et al. The disclosed method uses a continuous flow of xenon, accompanied by other gases, through a nozzle, and results in substantial xenon usage. An XUV radiation source, based on the electron beam excitation of a xenon gas jet, that is stated to be useful in lithography applications is disclosed in U.S. Pat. No. 5,637,962 issued Jun. 10, 1997 to Prono et al. It is desirable to provide plasma X-ray sources and methods of operating such sources which produce increased radiation intensity and reduced operating costs in comparison with prior art X-ray sources. SUMMARY OF THE INVENTION According to a first aspect of the invention, a plasma X-ray source is provided. The plasma X-ray source comprises a chamber defining a pinch region having a central axis, a gas supply for introducing a gas mixture into the pinch region, a device disposed in proximity to the pinch region for preionizing the gas mixture in the pinch region, and a pinch anode and a pinch cathode disposed at opposite ends of the pinch region. The gas mixture comprises a primary X-radiating gas and a low atomic number diluent gas. The pinch anode and the pinch cathode produce a current through the plasma shell in an axial direction and produce an azimuthal magnetic field in the pinch region in response to application of a high energy electrical pulse to the pinch anode and the pinch cathode. The azimuthal magnetic field causes the plasma shell to collapse to the central axis and to generate X-rays. The diluent gas may be selected from the group consisting of helium, hydrogen, deuterium, nitrogen and combinations thereof. The primary X-radiating gas may be selected from the group consisting of xenon, argon, krypton, neon and oxygen, but is not limited to this group. The gas mixture preferably has a total pressure in the pinch region in a range of about 0.1 torr to 1.0 torr. In one embodiment, the primary X-radiating gas is xenon for generation of 134 angstrom xenon band radiation and the diluent gas is helium. Radiation intensity enhancements of between 20% and 40% relative to the use of undiluted xenon have been achieved in this embodiment. The preionizing device may comprise an RF electrode for preionizing the gas mixture in the pinch region in response to application of RF energy to the RF electrode. The chamber may define a substantially cylindrical pinch region. The preionizing device preferably produces an axially uniform discharge in the pinch region.
047556862
summary
BACKGROUND OF THE INVENTION Field of the Invention The present invention relates to an electron beam irradiation apparatus for treating linear material such as wires e.g. electrical wire, cords and tubes, with electron beams and, particularly to a technique for increasing the work efficiency of an apparatus having a large capacity. Recently, with the advent of an electron beam accelerator having a high output, for an electron beam radiation apparatus a demand for efficiently treating linear material having various sizes by means of a single accelerator is increasing. Hitherto, a method has been adopted to provide a plurality of pulley units each having pulleys having a specified size suited to the object to be treated and to replace the pulley units according to the change in size of the object to be treated. This method not only requires a long time delay for replacing the pulley units, but also requires a large investment to provide a lot of pulleys. To solve this problem, apparatuses as shown in FIGS. 5 and 7 in which the irradiation region is divided into two parts is often being used recently. In FIGS. 5 and 6, a first conventional apparatus of the type described above is shown. It comprises pulley units 7,7' placed in juxtaposition and having a pair of pulleys 5,5 and a pair of pulleys 6,6 which are different in diameter according to different wire sizes of the objects A, B. Each of the pulleys units is placed in each of the divided portions of an irradiation zone 4 (divided into two parts in FIG. 5) irradiated by electron beam 3 which is accelerated and emitted through a window 2 by an electron beam accelerator 1. On the other hand, FIGS. 7 and 8 show another conventional apparatus which is provided with devices 8 such as a beam shutter for interrupting electron beams in order to interrupt the irradiated electrom beam for each divided portion. In these figures, 9 indicates a frame for the pulley units and 10, 10' indicate the drives for the pulley units 7,7'. The apparatus shown in FIGS. 5 and 6 has a disadvantage in that when there is no longer an object in one divided zone, the whole apparatus has to be stopped, sacrificing the work efficiency. In order to avoid this, it was necessary to control the production resulting in complicating the material flow to balance the work load in each of the divided zones. With the apparatus shown in FIGS. 7 and 8, when there is no object in one of the divided zones, the whole apparatus may not be stopped by interrupting the electron beams irradiated thereto. But, this cannot avoid the decrease in the work efficiency of the entire apparatus. SUMMARY OF THE INVENTION An object of the present invention is to provide an electron beam irradiation apparatus for treating a linear material which obviates the above-mentioned shortcomings. In accordance with the present invention, there is provided an electron beam irradiation apparatus for irradiating electron beams to treat linear material such as an electric wire with the electron beams, the apparatus comprising a plurality of pulley units each having a pair of pulleys disposed in parallel with each other for feeding the linear material wound therearound, a plurality of drive means each provided for each of the pulley units to drive the pulleys, the pulley units being juxtaposed so that their pulleys will be disposed end to end in the direction of the axis of the pulleys, and being mounted so as to be movable in the direction of the axis of the pulleys over a sufficient distance into and out of an electron beam irradiation zone, at least one of the pulley units being positioned in the electron beam irradiation zone. Other objects and features of the present invention will become apparent from the following description taken with reference to the accompanying drawings, in which:
046577246
abstract
For use in a pulsed control system in a logging tool cooperative with a neutron generator, a pulsed ion source power supply is set forth in the preferred and illustrated embodiment. A string of field effect transistors (FET) connected between high voltage supply and ground is connected for switching the output voltage to form pulses of a desired amplitude and frequency. A timing circuit forms off and on control signals applied to pulse forming circuits. Pulse forming circuits form signals applied to gates of the FETs for timed control thereof, controlling the formation of a high voltage pulsed output signal applied to the neutron generator.
058621954
abstract
A conveyance system provides for storing, monitoring, and retrieving (multi-purpose) canisters "MPC" for intermediate storage of spent fuel rods from nuclear power plants. The system includes a shielded transport system, an access corridor shielded for radiation, a lifting transport conveyance, an elevation chase, a dry-pool, seismic bracing to support the canisters in storage, associated shielding to confine radiation to the dry-pool, and air manifold system for cooling, and facilities to add alternative liquid radiation shielding around the canisters in the dry pool.. A RR-locomotive moves a RR-car having a radiation shielded transport container to communicate with a dry-pool access corridor. A bridge crane carries an "MPC" through the corridor to a vertical chase which accesses to the dry-pool. The bridge crane places the "MPC" at a cooling vent manifold. A seismic brace engages the "MPC" secure to the dry-pool wall. A configuration of radiation shielding confines emissions. An air manifold system enables convection air to cool the canisters. The system is operated from a remote control facility. Cask conditions are monitored, retrieved, collected, and reviewed an off-site date base. The dry-pool may be filled with water to provide additional radiation shielding.
043361030
summary
The invention relates to a method for repairing partly burnt-off fuel elements or assemblies in fuel-element pits of pressurized-water reactors and a device for removing fuel rods in the performance of the method. Irradiated fuel elements which contain defective fuel rods and have not yet attained the planned burn-off thereof can be refitted for further insertion into the reactor by replacing the defective fuel rods. This objective is highly desirable for economic reasons alone. The realization thereof presents difficulties, however, since the fuel elements are highly radioactive objects which can be manipulated by remote control only behind thick shielding walls. Furthermore, the length of the individual fuel rods (about 4 m) presents difficulties particularly during the insertion thereof into a vacated fuel-rod position of a fuel element, since there is danger of bending or buckling due to the small diameter of the fuel rods, which is in the order of magnitude of 1 cm. There is no danger of such an occurrence in the fabrication of new fuel elements, since the fuel rods can be pulled into the skeleton of the fuel element. In addition, consideration should be given, with respect to this problem, to the fact that each of the fuel elements is formed of a very large number of fuel rods, usually more than 200 thereof, so that it is necessary to remove only those fuel rods from the fuel-element assembly which have been recognized with certainty as being defective. The problem therefore arose of finding a method of repairing a partly burnt-off fuel element which requires a minimum of time and requires devices that can be manipulated from outside the reactor pit, the water content of which serves as sheilding. It is accordingly an object of the invention to provide such a method which meets the foregoing requirements, as well as a device for removing and replacing fuel rods which aids in the performance of the method. With the foregoing and other objects in view, there is provided, in accordance with the invention, a method of repairing partly burnt-off fuel elements in a fuel-element pit of a pressurized-water reactor which comprises placing a fuel element previously detected by conventional testing methods as being defective into a holding cage in substantially upright position with the aid of remotely controlled tools, and tipping it over through 180.degree.; removing the then upwardly disposed fuel-element foot from the fuel element so as to expose the ends of the fuel rods received in the fuel element; inspecting the fuel rods, the ends of which are then freely accessible for defects; removing with a fuel-rod exchanging tool those fuel rods at least which are determined to be defective and depositing them in a storage container; and raising replacement rods with the fuel-rod exchanging tool and inserting them into the fuel element without bending stress in exchange for the removed fuel rods. To better understand this method, it should be recalled that a pressurized-water fuel element is formed, generally of a fuel-element skeleton which is constructed of a head and base or foot member and connecting control rod guide tubes and which, in addition, holds spacer grids for mutually spacing the fuel rods from one another in respective nominal positions thereof. The individual fuel rods, which are pulled or withdrawn through the mesh of the spacer grids, are neither fastened to the head plate nor to the base or foot plate and can therefore expand freely in axial direction. The control rod guide tubes are releasably connected to the lower base or foot plate by means of threaded bolts or pins, so that it is relatively easy to remove this base or foot plate, as compared to the removal of the head member, by means of remotely controlled tools. All the fuel rods are also, thereby, freely accessible and can be pulled out of the fuel element one after the other. Because of the relatively great length thereof, however, it is not readily possible to push the fuel rods into the fuel element, as mentioned hereinbefore. In accordance with another mode of the method of the invention, the fuel rods are inspected for penetration of water into the same. In accordance with a further mode of the method of the invention, the removed fuel rods are replaced by new fuel rods. In accordance with an added mode of the method of the invention, the method includes passing the fuel rods, during the removal thereof from the fuel element, through a tube traversed by a flow of water, and feeding the flow of water through a gas separator to a purification system. In accordance with an additional mode of the method of the invention, the method includes inspecting the fuel rods during removal thereof from the fuel element by detecting the physical location of defects therein. In accordance with yet another mode of the method of the invention, the method includes inspecting the replacement rods during the insertion thereof into the fuel element for detecting the physical location of defects therein. In accordance with the device of the invention for removing fuel rods in the performance of the method of the invention, there are provided a pulling linkage, lockable gripper means carried by the pulling linkage and being grippingly engageable with a fuel rod for pulling the fuel rod into a guide tube, a fixed support tube located at an end of the guide tube, guide means for sealingly guiding the pulling linkage through the guide tube and into the support tube; and means connectible to the interior for sucking water out of the interior of the guide tube. In accordance with another feature of the invention the pulling linkage has an end remote from the gripper means, and a rack-and-pinion drive is provided for moving said pulling linkage, as well as locking means for locking and unlocking the gripper means, and actuating and indicating means for the locking means located at said remote end of said pulling linkage. In accordance with a further feature of the device of the invention, the pulling linkage is a pulling tube, and the locking means comprise a locking rod disposed in the pulling tube. In accordance with an additional feature of the device of the invention, the fuel-element pit is filled with water, and float means are provided for weight-relieving the device. In accordance with a concomitant feature of the device of the invention, the fuel-element pit is filled with water and the device is insertable into the water in the fuel-element pit, and float means are provided for supporting and weight-relieving the device upon insertion thereof into the water in the fuel-element pit. Other features which are considered as characteristic for the invention are set forth in the appended claims. Although the invention is illustrated and described herein as embodied in a method of repairing partly burnt-off fuel elements in the fuel-element pit of pressurized water reactors and device therefor, it is nevertheless not intended to be limited to the details shown, since various modifications and structural changes may be made therein without departing from the spirit of the invention and within the scope and range of equivalents of the claims.
055090435
claims
1. An X-ray analysis apparatus adapted to receive an object for analysis, comprising an X-ray source, a system of wavelength-dispersive crystals having operative reflective end faces forming a 4-crystal monochromator, in which the reflective crystal end faces forming the 4-crystal monochromator do not extend parallel to diffractive crystal lattice planes of the crystals, a carrier for receiving the object, and an X-ray detection system, wherein the operative reflective crystal end faces forming the 4-crystal monochromator enclose a selected angle relative to (220) crystal lattice planes in the crystals, which angle between the operative reflective crystal end faces and the crystal lattice planes amount to approximately from 15.degree. to 23.degree.. 2. An X-ray analysis apparatus as claimed in claim 1, wherein the 4-crystal monochromator is made of germanium monocrystals. 3. An X-ray analysis apparatus as claimed in claim 1, wherein the reflective crystal end faces form part of a monochromator means which is constructed to position different monochromators alternately in a beam path of an analyzing X-ray beam. 4. An X-ray analysis apparatus as claimed in claim 3, wherein the monochromator means comprises a monochromator which is oriented in the (440) crystal lattice plane position and a monochromator which is orient in the (220) crystal lattice plane position, at least crystal end faces of the (220) oriented monochromator being asymmetrical. 5. A crystal analyzer comprising an X-ray analysis apparatus as defined in claim 1, wherein said object is a crystal. 6. A crystal X-ray monochromator comprising a system of wavelength-dispersive crystals having end faces forming a 4-crystal monochromator, including a wave-length-dispersive crystal having operative reflective crystal end faces which do not extend parallel to diffractive crystal lattice planes in the crystal, wherein the operative crystal end faces of the monochromator enclosed a selected angle relative to (220) crystal lattice planes in the crystals, which angle between the operative crystal end faces and the said crystal lattice planes amounts to approximately from 15.degree. to 23.degree..
claims
1. A material, comprising:a first metal halide that is operative to function as a scintillator, where the first metal halide excludes cesium iodide and cesium bromide; anda layer comprising a second metal halide that is disposed on a surface of the first metal halide and where the halogen atom of the layer has a lower atomic number than a halogen of the scintillator; andfurther comprising a surface layer disposed in a surface of the second metal halide; where the surface layer comprises a third metal halide that has a lower water solubility than the second metal halide. 2. The material of claim 1, wherein the first metal halide has a composition that is described by formula (1):M1X1a:Yb  (1)where M1 is a metal that is lithium, sodium, potassium, rubidium, cesium, thallium, copper, silver, lead, bismuth, indium, tin, antimony, tantalum, tungsten, strontium, barium, boron, magnesium, calcium, cerium, yttrium, scandium, gadolinium, lanthanum, lutetium, praseodymium, terbium, ytterbium, samarium, europium, holmium, dysprosium, erbium, thulium, or neodymium, X1 is a halogen, where the halogen is chlorine, bromine, iodine, astanine, or a combination thereof and were Y is a codopant and comprises and comprises one or more of thallium, copper, silver, lead, bismuth, indium, tin, antimony, tantalum, tungsten, strontium, barium, boron, magnesium, gadolinium, calcium, potassium, cerium, yttrium, scandium, lanthanum, lutetium, praseodymium, terbium, ytterbium, samarium, europium, holmium, dysprosium, erbium, thulium or neodymium, where M1 and Y are different elements, where a has a value of 1 to 4 and where b has a value of 0 to about 1 and where the second metal halide has a composition that is described by formula (5)M1X2a:Yb  (5)where ML, Y, a and bare detailed above in the Formula (1), where X2 in the Formula (5) is a halogen that is fluorine, chlorine, bromine, iodine, or a combination thereof and that has at least one halogen atom having a lower atomic weight than X1 in the Formula (1). 3. The material of claim 2, where b has a value of about 0.001 to about 0.5 and where “a” has a value of 1, 2 or 3. 4. The material of claim 2, where here when X2 and X1 both involve a combination of halogen atoms, the combined atomic weight of X2 is less than X1 or alternatively, when X2 involves a combination of halogen atoms, but X1 contains only a single halogen atom, then X2 contains at least one halogen atom that has a lower atomic weight than the single halogen atom contained in X1. 5. The material of claim 1, where the first metal halide has a composition that is described by Formula (2), Formula (3) or Formula (4):M1lM2mX1a:Yb  (2)M1lM2mM3nX1a:Yb  (3) andM1lM2mM3nM4oX1a: Yb  (4),where in the Formulas (2), (3) and (4), where applicable, M1 is lithium, sodium, potassium, rubidium, gadolinium, cesium, thallium, copper, silver, lead, bismuth, indium, tin, antimony, tantalum, tungsten, strontium, barium, boron, magnesium, calcium, cerium, yttrium, scandium, lanthanum, lutetium, praseodymium, terbium, ytterbium, samarium, europium, holmium, dysprosium, erbium, thulium, or neodymium, M2 is selected from the group consisting of boron, aluminum, gallium, indium, sodium, potassium, calcium, gadolinium, rubidium, cesium, thallium, cerium, yttrium, scandium, lanthanum, lutetium, praseodymium, terbium, ytterbium, samarium, europium, holmium, dysprosium, erbium, thulium, or neodymium, M3 and M1 are different from one another and are one of strontium, calcium, barium, gadolinum, yttrium, scandium, lanthanum, lutetium, praseodymium, terbium, ytterbium, samarium, europium, holmium, dysprosium, erbium, thulium, or neodymium, X1 is a halogen selected from chlorine, bromine, iodine, astinine, or a combination thereof; Y is a codopant and comprises and comprises one or more of thallium, copper, silver, lead, bismuth, indium, tin, antimony, tantalum, tungsten, strontium, barium, boron, magnesium, gadolinium, calcium, potassium, cerium, yttrium, scandium, lanthanum, lutetium, praseodymium, terbium, ytterbium, samarium, europium, holmium, dysprosium, erbium, thulium or neodymium, where 1 is 0 to 3, m is 0 to 3, n is 0 to 3, and o is 0 to 3, and where the sum of 1+m in Formula (2) is not equal to 0, where the sum of 1+m, m+n, 1+n and 1+m+n is not equal to 0 in Formula (3) and where the sum of l+m, m+n, 1+n, 1+o, m+o, n+o and 1+m+n+o is not equal to 0 in the Formula (4); where in the Formulas (2), (3) and (4), “a” is 1 to 8, and “b” is 0 to about 1; where in the Formula (2), M1, M2 and Y are different from each other and only one of M1 or M2 is a rare earth metal; where in the Formula (3), ML, M2, M3 and Y are different from each other and at least one of ML, M2 and M3 is a rare earth metal and where embodiment in the Formula (3), M, M3 and Y are different from each other and may or may not be rare earth metals and where in the Formula (4), ML, M2, M3 and M1 and Y are each different from each other and at least one of M, M2, M3 and M4 and Y are rare earth metals. 6. The material of claim 5, where the second metal halide has a composition that is described by formula (5)M1X2a:Yb  (5)where M1 is a metal that is lithium, sodium, potassium, rubidium, cesium, thallium, copper, silver, lead, bismuth, indium, tin, antimony, tantalum, tungsten, strontium, barium, boron, magnesium, calcium, cerium, yttrium, scandium, gadolinium, lanthanum, lutetium, praseodymium, terbium, ytterbium, samarium, europium, holmium, dysprosium, erbium, thulium, or neodymium, X1 is a halogen, where the halogen is chlorine, bromine, iodine, astanine, or a combination thereof and were Y is a codopant and comprises and comprises one or more of thallium, copper, silver, lead, bismuth, indium, tin, antimony, tantalum, tungsten, strontium, barium, boron, magnesium, gadolinium, calcium, potassium, cerium, yttrium, scandium, lanthanum, lutetium, praseodymium, terbium, ytterbium, samarium, europium, holmium, dysprosium, erbium, thulium or neodymium, where M1 and Y are different elements, where a has a value of 1 to 4 and where b has a value 0 to about 1 and where X2 in the Formula (5) is a halogen that is fluorine, chlorine, bromine, iodine, or a combination thereof and that has at least one halogen atom having a lower atomic weight than X1 in the Formulas (2), (3) and (4). 7. The material of claim 5, where the second metal halide has a composition that is described by formulas (6) through (8)M1lM2mX2a:Yb  (6)M1lM2mM3nX2a:Yb  (7) andM1lM2mM3nM4oX2a: Yb  (8),where M1, M2, M3, M4, X, Y, l, m, n, o, a and b from the Formulas (6), (7) and (8) are defined above in Formulas (2) (3) and (4) and where X2 from the Formulas (6), (7) and (8) has a lower atomic weight than X1 in Formulas (2), (3) and (4). 8. The material of claim 6, where when X2 and X1 both involve a combination of halogen atoms, the combined atomic weight of X2 is less than X1 or alternatively, when X2 involves a combination of halogen atoms, but X1 contains only a single halogen atom, then X2 contains at least one halogen atom that has a lower atomic weight than the single halogen atom contained in X1. 9. The material of claim 6, where b has a value of about 0.001 to about 0.5 and where “a” has a value of 2 to 3. 10. The material of claim 1, where the first metal halide comprises NaI:Tl, KI:Tl, CsI:Tl, LaCl3:Ce, LaBr3:Ce, LuCl3:Ce, LuBr3:Ce, SrI2:Eu, or a combination thereof. 11. The material of claim 1, where the second metal halide comprises NaF:Tl, CsF:Tl, BaF2, CaF2(Eu), LaF3:Ce, LaBr3:Ce, LuCl3:Ce, LuBr3:Ce, SrF2:Eu, LaFBr2, LaF2Br, or a combination thereof. 12. An article comprising the material of claim 1. 13. The article of claim 12, where the article is a positron emission tomography device, a computed tomography device, or single photon emission computed tomography device. 14. A method comprising:disposing on a surface of a first metal halide a layer comprising a second metal halide; where the first metal halide is a scintillator, where the first metal halide excludes cesium iodide and cesium bromide; and where the second metal halide has a lower water solubility than the first metal halide and where a halogen atom of the surface layer has a lower atomic number than a halogen atom of the scintillator;where the method further comprises disposing a third metal layer on a surface of the second metal halide, the third metal halide that has a lower water solubility than the second metal halide. 15. The method of claim 14, wherein the first metal halide has a composition that is described by formula (1):M1X1a:Yb  (1)where M1 is a metal that is lithium, sodium, potassium, rubidium, cesium, thallium, copper, silver, lead, bismuth, indium, tin, antimony, tantalum, tungsten, strontium, barium, boron, magnesium, calcium, cerium, yttrium, scandium, gadolinium, lanthanum, lutetium, praseodymium, terbium, ytterbium, samarium, europium, holmium, dysprosium, erbium, thulium, or neodymium, X1 is a halogen, where the halogen is chlorine, bromine, iodine, astanine, or a combination thereof and were Y is a codopant and comprises and comprises one or more of thallium, copper, silver, lead, bismuth, indium, tin, antimony, tantalum, tungsten, strontium, barium, boron, magnesium, gadolinium, calcium, potassium, cerium, yttrium, scandium, lanthanum, lutetium, praseodymium, terbium, ytterbium, samarium, europium, holmium, dysprosium, erbium, thulium or neodymium, where M1 and Y are different elements, where a has a value of 1 to 4 and where b has a value of 0 to about 1 and where the second metal halide has a composition that is described by formula (5)M1X2a:Yb  (5)where ML, Y, a and bare detailed above in the Formula (1), where X2 in the Formula (5) is a halogen that is fluorine, chlorine, bromine, iodine, or a combination thereof and that has at least one halogen atom having a lower atomic weight than X1 in the Formula (1). 16. The method of claim 15, where b has a value of about 0.001 to about 0.5 and where “a” has a value of 1, 2 or 3. 17. The method of claim 15, where when X2 and X1 both involve a combination of halogen atoms, the combined atomic weight of X2 is less than X1 or alternatively, when X2 involves a combination of halogen atoms, but X1 contains only a single halogen atom, then X2 contains at least one halogen atom that has a lower atomic weight than the single halogen atom contained in X1. 18. The method of claim 14, where disposing on the first metal halide is produced by chlorinating or fluorinating the surface of a first metal halide.
description
This application is the U.S. National Stage of International Application No. PCT/KR2016/005535, filed on May 25, 2016, which claims the priority filing date of Korean Application No. 10 2016 0058244, filed on May 12, 2016. The present invention relates to a nuclear power plant data-based design basis management system and a method therefor and, more particularly, to a system and method that can provide efficiency for configuration management in a nuclear power plant because a design basis document necessary for configuration management in a nuclear power plant can be managed in a systematic and integrated manner. Nuclear power plants (hereinafter, “nuclear plants”) demand a very high level of safety. To this end, there is a need to apply the concept of configuration management. Configuration management in a nuclear plant involves a system that always maintains consistency in nuclear plant design basis, facility configuration information, and physical configuration, which requires meeting contractors' and regulators' requirements and verifying and checking all configuration information if necessary. A configuration management process is an integrated management system that evaluates proposed changes to the configuration of a nuclear plant, determines the impact of such changes and implements the best way to implement the changes, and fulfills the procedures of approval, implementation, and documentation of changes to the configuration of the nuclear plant. A configuration management computer system for meeting these requirements should identify a configuration management target, define a product's architecture, track the impact of changes, and clearly express the association between information. This allows for maintaining/managing consistency among design requirements for systems, structures, and components in a nuclear plant, facility configuration information (for example, a variety of design documents, drawings, and data), and physical plant configurations. For a nuclear plant's configuration management, a design basis document is quite important. The design basis document may refer to a document that identifies the attributes of systems, structures, components, and topical areas and systematically integrates related documents and design basis information. A design basis document is used to verify that the corresponding design meets higher-tier requirements by documenting and integrating design requirements, performance requirements, and specific parameters, all of which define design basis, can rule out factors degrading the safety of the nuclear plant by ensuring that facility replacements and performance changes occurring in the operation of the nuclear plant are carried out in compliance with the design basis, allows for integrated management of dispersed design basis when making changes to the design basis to improve the nuclear plant's performance, even with the complex association between the design basis, and serves as information that can be widely used as reference for operation, maintenance, and repair. Despite the importance of design basis documents, the traditional configuration management using design basis documents has not been very satisfactory due to the difficulties in putting together a huge amount of information. Moreover, there is currently no association between design basis documents even if design basis documents are created and used, but the categories of design basis included in a design basis document and a huge amount of information on design basis included in each category are simply managed in printouts. This makes it very difficult to review design basis documents when designing a nuclear plant or making design changes or when operating, maintaining, and repairing it. Also, it is practically very difficult to efficiently find associated design basis, since design basis to be included in a design basis document are fragmentarily described and dispersed in massive amounts of documents. Accordingly, a technical problem to be solved by the present invention is to computerize design basis to be included in a design basis document, to effectively define an associative relationship between design basis, and to provide an effective system and method for a nuclear plant's operating entity to intuitively and efficiently detect and recognize design basis associated with a particular facility, using the associative relationship, when making design changes or in the operation or maintenance and repair of the nuclear plant. An exemplary embodiment of the present invention provides a nuclear power plant data-based design basis management system, the system comprising: a database that stores information on a plurality of design basis for defining a design basis document for configuration management in a nuclear power plant, information on categories corresponding to the design basis, among a plurality of categories, and an associative relationship between the plurality of design basis; a control module that, when a particular design basis corresponding to a particular one of the plurality of categories is selected by a user terminal, extracts provided information of the selected particular design basis from the database, the provided information of the particular design basis comprising information on the particular design basis and information on associated design basis having an associative relationship with the particular design basis and falling into other categories; and an interface module for providing the information extracted by the control module to the user terminal via a predetermined user interface, wherein the plurality of categories comprise design requirements (REQ), at least one design basis specification (DBS) for satisfying the design requirements, at least one structure, system, and component specification (SSCS) for satisfying the design basis specifications, supporting operation information (SOI), design parameters (DP), and supporting design information (SDI) for satisfying the structure, system, and component specifications, and physical structure, system, and component specification data (PSSCD) complying with the supporting operation information (SOI), design parameters (DP), and supporting design information (SDI). The associative relationship is classified as a higher-tier, lower-tier, or same-tier category relationship between the design basis, wherein, when the particular design basis is selected, the control module provides the user terminal with associated design basis corresponding to higher-tier, lower-tier, and same-tier categories of the particular design basis in a distinguishable way. The design requirements (REQ) are defined as a top-tier category, the design basis specifications (DBS) are defined as a lower-tier category of the design requirements (REQ), the structure, system, and component specifications (SSCS) are defined as a lower-tier category of the design basis specifications, the supporting operation information (SOI), design parameters (DP), and supporting design information (SDI) are defined as lower-tier categories of the structure, system, and component specifications, the physical structure, system, and component specification data (PSSCD) is defined as a lower-tier category of the supporting operation information (SOI), design parameters (DP), and supporting design information (SDI), and the supporting operation information (SOI), design parameters (DP), and supporting design information (SDI) are defined as same-tier categories. The database stores information on a reference document for each of the design basis, and, when the particular design basis is selected, the control module provides information on the reference document through the interface module if there is a reference document for the particular design basis. The database stores tag information for a facility corresponding to the physical structure, system, and component specification data (PSSCD). The database further comprises physical plant configuration information for a facility corresponding to the physical structure, system, and component specification data (PSSCD), and, when the physical structure, system, and component specification data is selected, the control module provides the physical plant configuration information. The control module creates traceable associative relationship information hierarchically representing information on a plurality of design basis having an associative relationship, from particular physical structure, system, and component specification data to the design requirements category, which is a top-tier category corresponding to the particular physical structure, system, and component specification data, and provides the created traceable associative relationship information to the user terminal. When a certain design basis included in the traceable associative relationship information is selected, the user provides provided information of the selected design basis to the user terminal. Another exemplary embodiment of the present invention provides a nuclear power plant data-based design basis management method, the method comprising: storing, by a nuclear power plant data-based design basis management system, information on a plurality of categories for defining a design basis document for configuration management in a nuclear power plant, information on at least one design basis included in each of the categories, and an associative relationship between the plurality of design basis; when a particular design basis corresponding to a particular one of the plurality of categories is selected by a user terminal, extracting, by the nuclear power plant data-based design basis management system, provided information of the selected particular design basis from the database, the provided information of the particular design basis comprising information on the particular design basis and information on associated design basis having an associative relationship with the particular design basis and falling into other categories; and providing, by the nuclear power plant data-based design basis management system, the extracted information to the user terminal via a predetermined user interface. In the step of providing, by the nuclear power plant data-based design basis management system, the extracted information to the user terminal via a predetermined user interface, the nuclear power plant data-based design basis management system provides the user terminal with associated design basis corresponding to higher-tier, lower-tier, and same-tier categories of the particular design basis in a distinguishable way. In the step of, when a particular design basis corresponding to a particular one of the plurality of categories is selected by the user terminal, extracting, by the nuclear power plant data-based design basis management system, provided information of the particular design basis from the database, if the particular design basis is the physical structure, system, and component specification data (PSSCD), the nuclear power plant data-based design basis management system extracts physical plant configuration information for a facility corresponding to the physical structure, system, and component specification data and includes the same in the provided information of the particular design basis. The nuclear power plant data-based design basis management method further comprises: creating, by the nuclear power plant data-based design basis management system, traceable associative relationship information hierarchically representing information on a plurality of design basis having an associative relationship, from particular physical structure, system, and component specification data to the design requirements category, which is a top-tier category corresponding to the particular physical structure, system, and component specification data; and providing the created traceable associative relationship information to the user terminal. The nuclear power plant data-based design basis management method may be implemented by a computer program in combination with hardware. A technical spirit of the present invention offers the advantage of computerizing design basis to be included in a design basis document, effectively defining an associative relationship between design basis, and making effective use of the design basis document, using the associative relationship, in design, operation, or maintenance and repair. Furthermore, the user may intuitively find an association between associated design basis and a hierarchical relationship between them since they can distinguish associated design basis corresponding to higher-tier, same-tier, and lower-tier categories from each other when selecting a particular design basis, and this may be advantageous when detecting related reference information. Furthermore, the user can check design basis associated with a particular facility at a time, from bottom-to-tier categories representing the particular facility, thereby allowing for integrated detection and management when a user action is needed on the particular facility. To fully understand the present invention, operational advantages of the present invention, and objects achieved by implementing the present invention, exemplary embodiments of the present invention will be described with reference to the illustrated accompanying drawings and what is shown in the accompanying drawings. In the present specification, when one component “transmits” data to another component, it means that the component may transmit the data directly to the other component, or may transmit the data to the other component through at least one other component. In contrast, when one component “directly transmits” data to another component, it means that the data is transmitted to the other component without passing through another component. It will be understood that although the terms “first”, “second”, etc. may be used herein to describe various components, these components should not be limited by these terms. These components are only used to distinguish one component from another. The terminology used herein is for the purpose of describing particular aspects only and is not intended to limit the scope of the presently disclosed embodiment. As used herein, the singular forms “a”, “an”, and “the” are intended to include the plural forms as well, unless the context clearly indicates otherwise. It will be understood that terms such as “comprise”, “include”, and “have”, when used herein, specify the presence of stated features, integers, steps, operations, elements, components, or combinations thereof, but do not preclude the presence or addition of one or more other features, integers, steps, operations, elements, components, or combinations thereof. Hereinafter, the present invention will be described in detail, mainly with respect to the exemplary embodiments of the present invention, with reference to the accompanying drawings. In the drawings, like reference numerals denote like elements. FIG. 1 shows a schematic configuration of a nuclear power plant data-based design basis management system according to an embodiment of the present invention. Referring to FIG. 1, a nuclear power plant data-based design basis management system 100 (hereinafter, “management system”) according to an embodiment of the present invention may realize the technical idea of the present invention while communicating with a user terminal 200. The management system 100 may realize the technical idea of the present invention by organically combining software for realizing the technical idea of the present invention and hardware for running the software. The management system 100 may be implemented as a separate physical device from the user terminal 200 to realize the technical idea of the present invention while they are communicating with each other. In some examples of implementation, the management system 100 may be included in the user terminal 200. That is, the management system 100 may be implemented by installing software for implementing the management system 100 on the user terminal 200. The management system 100 may easily detect information on design basis corresponding to a particular facility in accordance with the technical idea of the present invention and provide it to the user terminal 200. The design basis may refer to information that defines requirements for the design and construction of a nuclear plant or the operation and maintenance and repair of it. Configuration management in the nuclear plant may be carried out through the management of design basis, and the management system 100 according to the technical idea of the present invention has the advantage of efficiently carrying out the configuration management. For efficient configuration management, it may be necessary to quickly detect a design basis a particular facility at the nuclear plant should fulfill and to intuitively find an associative relationship between design basis associated with the particular facility and having an associative relationship with each other and their hierarchical relationship. That is, there may be a plurality of design basis a particular facility should fulfill, and the plurality of design basis may be defined to have a hierarchical relationship. To this end, design basis may be divided into a plurality of categories. For instance, design basis may be divided into the following categories: design requirements (REQ), design basis specifications (DBS), structure, system, and component specifications (SSCS), supporting operation information (SOI), design parameters (DP), supporting design information (SDI), and physical structure, system, and component specification data (PSSCD). Moreover, the categories may have an associative relationship. This may mean that specific design basis corresponding to each category may have an associative relationship with design basis corresponding to other categories. Such an associative relationship may be information that defines the categories in a hierarchical structure, and the design basis may fall into one of these categories so as to have such an associative relationship. For instance, the design requirements (REQ) may be basis that define top-tier requirements a particular system at the nuclear plant should meet. The design requirements (REQ) include comprehensive requirements that should be met for the construction and operation of the nuclear plant, and may include laws, regulatory requirements, other laws and regulations and technical standards, contract requirements, etc. For example, approval and permit requirements (construction and operation permit requirements), regulatory requirements such as administrative orders by regulators, non-regulatory requirements such as contract requirements or utility requirements, laws and regulations or standards, etc. may be included in the design requirements (REQ). The design basis specifications (DBS) translate the requirements defined in the design requirements) into high-tier statements, which may include specific design basis specifications that describe how a particular nuclear plant design is defined, in order to meet general and comprehensive design requirements (REQ). Examples of the design basis specifications (DBS) may include general design criteria, safety audit guidelines, accident analysis reports, technical guidelines, etc. The structure, system, and component specifications (SSCS) may include the functions and standards of particular systems, structures, and components derived from design basis specifications (DBS) associated with the general attributes of nuclear plants. That is, the structure, system, and component specifications (SSCS) may define how particular systems, structures, and components fulfill the design basis specifications (DBS). Generally, statements in a system design basis document may be included in the structure, system, and component specifications (SSCS), and approval and permission documents or other related documents may be included as reference documents. Also, test requirements for various components, as well as design requirements, may be included. The supporting operation information (SOI) may include what is needed for operation and maintenance to fulfill structure, system, and component specifications (SSCS) or higher-tier design basis (for example, design basis specifications (DBS), design requirements (REQ), etc.), even if it is not necessary for design. Also, it may include information needed for operation, maintenance, repair, and inspection procedure documents. For example, system operation procedure documents, regular inspection procedure documents, emergency operation procedure documents, technical guidelines, etc. may be included in the supporting operation information (SOI). The design parameters (DP) may include specific input and output values for systems and/or components. That is, they may include specific values or ranges of values for systems and/or components to fulfill higher-tier basis (for example, design requirements (REQ), design basis specifications (DBS), and structure, system, and component specifications (SSCS)). For example, they may include design limits, operating limits, alarm and trip settings, and normal operating ranges. The supporting design information (SDI) may include various controlling parameters for providing design information as physical information to fulfill the structure, system, and component specifications (SSCS). That is, it may include technical information used to design actual systems, structures, or components that fulfill the structure, system, and component specifications (SSCS). For example, it may include documents needed to fulfill the structure, system, and component specifications (SSCS) such as drawings, calculations, calculation results, interface requirements, and analysis reports. The physical structure, system, and component specification data (PSSCD) includes detailed information on various structures, systems, and components needed for performing the functions of the structures, systems, and components (SSCS). The physical structure, system, and component specification data (PSSCD) may correspond to physical structures, systems, and components, and the physical structure, system, and component specification data (PSSCD), in itself, may refer to a single design basis or design information corresponding to physical devices of the relevant structure, system, or component. In this way, design basis for configuration management may be divided into a plurality of categories, and a plurality of design basis may correspond to each category. Also, the categories (or specific design basis corresponding to each category) may be defined to have a hierarchical relationship as described above. The categories and hierarchical relationship of design basis will be explained with reference to FIG. 2. FIG. 2 is a view for explaining a hierarchical relationship in a design basis document according to an embodiment of the present invention. Referring to FIG. 2, as described above, a design basis document may include a plurality of design basis, and these design basis may be divided into categories as described above. Each design basis may fall into one of the categories: design requirements (REQ), design basis specifications (DBS), and structure, system, and component specifications (SSCS), supporting operation information (SOI), design parameters (DP), supporting design information (SDI), and physical structure, system, and component specification data (PSSCD). Moreover, as shown in FIG. 2, the categories may have a hierarchical relationship. If the categories have a hierarchical relationship as shown in FIG. 2, it may mean that the design basis corresponding to each category may have the same hierarchical relationship structure as the categories do. In the hierarchical relationship structure, as described above, a second design basis created or defined to meet a first design basis corresponding to a first category and a second category corresponding to the second design basis may be defined as a lower-tier category of the first design basis and a lower-tier category of the first category, respectively. In this case, as shown in FIG. 2, design requirements (REQ) (or the first design basis corresponding to the design requirements (REQ)) containing information on the most general and comprehensive design basis may be a top-tier category, and design basis specifications (DBS) (or the second design basis corresponding to the design basis specifications (DBS) defining the requirements for fulfilling the design requirements (REQ) (or the first design basis) may be a lower-tier category of the design requirements (REQ) (or the first design basis). Also, structure, system, and component specifications (SSCS) (or a third design basis corresponding to the structure, system, and component specifications (SSCS)), which are the requirements for fulfilling the design basis specifications (DBS) (or the second design basis) may be a lower-tier category of the design basis specifications (DBS) (or the second design basis). Also, supporting operation information (SOI) (or a fourth design basis corresponding to the supporting operation information (SOI)) for fulfilling the structure, system, and component specifications (SSCS) (or the third design basis), design parameters (DP) (or a fifth design basis corresponding to the design parameters (DP)), and supporting design information (SDI) (or a sixth design basis corresponding to the supporting design information (SDI)) may be a lower-tier category of the structure, system, and component specifications (SSCS) (or the third design basis). Moreover, the physical structure, system, and component specification data (PSSCD) (or a seventh design basis corresponding to the physical structure, system, and component specification data (PSSCD)) may be a lower-tier category of the supporting operation information (SOI) (or the fourth design basis), the design parameters (DP) (or the fifth design basis), and the supporting design information (SDI) (or the sixth design basis). Meanwhile, since the supporting operation information (SOI) (or the fourth design basis) and the supporting design information (SDI) (or the sixth design basis) are information to be used or referenced to define the design parameters (DP) (or the fifth design basis), the supporting operation information (SOI) (or the fourth design basis), the design parameters (DP) (or the fifth design basis), and the supporting design information (SDI) (or the sixth design basis) may be defined as a same-tier category. After all, design basis for configuration management in a nuclear plant may be divided into certain categories, and these categories may be defined to have a hierarchical relationship structure as shown in FIG. 2. Accordingly, the present invention allows for integrated storage and management of design basis having a hierarchical relationship structure and enables a user (e.g., a nuclear plant's operating entity) to detect design basis associated with a particular physical facility (structure/system/component) and fast and intuitively find an associative relationship between the detected design basis. To this end, as shown in FIG. 1, the management system 100 according to the technical idea of the present invention may include a control module 110, a database 120, and an interface module 130. As used herein, the term “module” refers to a functional and/or structural combination of hardware for implementing the technical idea of the present invention and software for running the hardware. For example, each of these components may indicate a logical unit of codes and hardware resources executing the codes. It is apparent to a person skilled in the art to which the present invention relates that the term “module” is not limited to physically-connected codes, one type of hardware, or a specific number of hardware components. Therefore, each of the components refers to a combination of hardware and software executing functions defined herein, but does not indicate a specific physical configuration. Moreover, the management system 100 is not necessarily a single physical device. For example, the management system 100 according to the technical spirit of the present invention may be implemented by installing some of the components (e.g., the control module 110, the database 120, and the interface module 130) for implementing the management system 100 on one physical device and installing the rest on another physical device and organically combining the physical devices. In addition, it is apparent to a person skilled in the art to which the present invention relates that each of the components for implementing the management system 100 may be implemented separately on different physical devices if necessary. The control module 110 may control the functions and/or resources of the components (e.g., the database 120, interface module 130, etc.) included in the management system 100. The database 120 may store a plurality of design basis described above. The design basis may be defined and created by the nuclear plant's operating entity, and therefore the database 120 may be created by the operating entity (or an entity commissioned by the operating entity). The design basis stored in the database 120 may be given unique identification information for identifying the design basis. This identification information too may be given by the operating entity and stored in the database 120. Identification information of a design basis may be defined to include category identification information (e.g., REQ, DBS, SSCS, SOI, DP, SDI, and PSSCD) for identifying categories corresponding to the relevant design basis, a system to which the relevant design basis is applied, and a serial number of the relevant design basis. For instance, identification information (e.g., REQ-AF-001 to REQ-AF-010) of a plurality of design basis illustrated in FIG. 3 may be information indicating that the relevant basis item corresponds to the design requirements (REQ) category and the system of the relevant design basis corresponds to AF (Auxiliary Feedwater system). Moreover, it can be seen that the identification information DBS-AF-001 stated in the left upper corner of FIG. 5 is information indicating that the design basis corresponding to the identification information is a design basis corresponding to the design basis specifications (DBS) category and the first one of the design basis corresponding to the AF system. In this way, the plurality of design basis may be stored in the database 120, along with information on the design basis (i.e., information on the requirements defined in the relevant design basis) and identification information for identifying the design basis. In addition, information on a reference document for each of the design basis may be stored in the database 120 so as to correspond to the relevant design basis. The reference document may refer to a document that helps to understand and analyze the content of each design basis or a document on which each design basis is based. It is apparent that, when necessary, the nuclear plant's operating entity can define various reference documents for each design basis as corresponding to the relevant design basis and store them in the database 120. Furthermore, information on an associative relationship between design basis may be stored in the database 120 so as to correspond to each of the design basis. This associative relationship may be identification information for identifying other design basis of other categories having an associative relationship with a particular design basis. In an example, the information on an associative relationship may include identification information of other design basis having an associative relationship and may also include information indicating whether other design basis fall into a higher-tier category, lower-tier category, or same-tier category of the particular design basis—that is, information indicating a hierarchical relationship. In some examples of implementation, it is apparent that, since identification information of each design basis includes information for identifying the category of the relevant design basis, a hierarchical relationship between other design basis and the particular design basis can be easily detected using this information, as long as information indicating a hierarchical relationship structure of categories is stored in the database 120 as shown in FIG. 2. Thus, the information on an associative relationship may include no information for indicating a hierarchical relationship with other design basis. The control module 110 may provide the user terminal 200 with provided information on a particular design basis. To this end, the control module 110 may extract provided information corresponding to the particular design basis from the database 120. The extracted provided information on the particular design basis may be provided to the user terminal 200 via a predetermined interface shown in FIGS. 3 to 10. The predetermined interface may be defined by the interface module 130 and provided to the user terminal 200. Hereinafter, in this specification, if the control module 110 provides predetermined information to the user terminal 200, it may mean that the interface module 130 provides the predetermined information to the user terminal 200 via a predetermined provided interface. Provided information on the particular design basis is provided to the user terminal 200 when the particular design basis is automatically selected by the user terminal 200 or by the management system 100 during a process, and may include information on the particular design basis and information on other design basis of other categories having an associative relationship with the particular design basis—that is, associated design basis. That is, when a particular design basis is selected, the control module 110 may extract information on associated design basis corresponding to the particular design basis from the database 120. Also, by providing the extracted information on associated design basis to the user terminal 200, the user may check information on the particular design basis and information on the associated design basis having an associative relationship with the particular design basis. The information on the associated design basis extracted by the control module 110 may be identification information of the associated design basis, and when the provided identification information of the associated design basis is selected by the user terminal 200, it may be provided to the user terminal 200 like the provided information of the associated design basis. After all, according to the technical spirit of the present invention, when a particular design basis is selected, the user may easily check information on the particular design basis and information on associated design basis corresponding to the particular design basis. The control module 110 may extract information on all directly associated design basis having a directly associative relationship with the particular design basis from the database 120 and included in the provided information. In some examples of implementation, only some of the directly associated design basis may be included in the provided information. In another embodiment, the control module 110 may extract information on a directly associated design basis having a directly associative relationship with the particular design basis and information on an indirectly associated design basis having an indirectly associative relationship with the particular design basis (that is, a design basis having an associative relationship with the particular design basis through other design basis) and further included it in the provided information. Moreover, if the particular design basis is physical structure, system, and component specification data (PSSCD), the control module 110 extracts information on all associated design basis (that is, directly associated design basis and indirectly associated design basis) having an associative relationship with the particular design basis and provided it to the user terminal 200 in a predetermined manner, and hierarchically represent the categories of the associated design basis, traceable associative relationship information may be created as described later with reference to FIGS. 13 and 14. In this case, if action is needed on a particular facility, such as replacing, changing, or repairing the particular facility, in accordance with the physical structure, system, and component specification data (PSSCD), the user may check design basis having an associative relationship with the particular facility in an integrated and intuitive way, thereby enabling fast and accurate configuration management. Obviously, a design basis serving as a criterion for creating traceable associative relationship information is not necessarily physical structure, system, and component specification data (PSSCD), and all design basis having an associative relationship with a selected design basis may be extracted from the database 120 and provided hierarchically to the user terminal 200, regardless of the category of the selected design basis. Meanwhile, the control module 110 may simply list information on associated design basis included in provided information and provide it to the user terminal 200, or provide the user terminal 200 with higher-tier category associated design basis, lower-tier category associated design basis, and same-tier category associated design basis in a distinguishable way so that the user can easily find a hierarchical relationship between a particular design basis and the associated design basis. For example, using predetermined interfaces (e.g., 31 to 33) shown in FIG. 5, the control module 110 may provide the user terminal 200 with higher-tier category associated design basis (e.g., REQ-AF-001 and REQ-AF-050) and lower-tier category associated design basis (e.g., SSCS-AF-008, SSCS-AF-009, etc.) of a particular design basis (e.g., DBS-AF-001 of FIG. 5) in a visually distinguishable way. In an example of FIG. 5, it can be seen that the interface 32 corresponding to a same-tier category includes no design basis since the same-tier category does not exist in the categories of the design basis specifications (DBS) as explained with reference to FIG. 2. Accordingly, FIG. 5 illustrates an example in which only directly associated design basis are included in the provided information and provided to the user terminal 200. The control module 110 may provide the user terminal 200 with higher-tier category associated design basis, lower-tier category associated design basis, and same-tier category associated design basis in various distinguishable ways, but the scope of the present invention is not limited to the embodiment illustrated in FIG. 5. The higher-tier category associated design basis, lower-tier category associated design basis, and same-tier category associated design basis may be presented in any distinguishable way as long as the user can easily recognize them. Moreover, it is obvious that the control module 110 may store information indicating information (e.g., the hierarchical relationship structure shown in FIG. 2) for defining such a hierarchical relationship structure) in the database 120. In addition, the database 120 may store information on a reference document for each design basis as described above. Accordingly, when a particular design basis is selected, the control module 110 may extract information on a reference document for the particular design basis as provided information of the particular design basis from the database 120 and provided it to the user terminal 200. It is obvious that, if there exists no reference document for the particular design basis (that is, no reference document is stored in the database 120), information on a reference document may not be provided to the user terminal 200. Hereinafter, concrete embodiments of the above-described nuclear plant design basis management method performed by the management system 100 will be described concretely with reference to screen displays or information provided to the user terminal 200. FIGS. 3 to 14 illustrate examples of information provided to the user through a nuclear power plant data-based design basis management system according to an embodiment of the present invention. Referring to FIG. 3, the management system 100 may provide a user interface 10 shown in FIG. 3 to the user terminal 200. The user interface 10 may include a search interface 11 for detecting a plurality of design basis (also referred to as design requirements in the drawings) in a directory structure and a display interface 12 that, when a particular directory (e.g., design requirements (REQ) directory 11-1 included in the AF system, which is a mechanical system) is selected through the search interface 11, provides a plurality of design basis corresponding to the selected particular directory 11-1 to the user terminal 200. Moreover, as can be seen in the search interface 11, the management system 100 may provide a bottom-tier directory of the directory structure for each category of design basis as described above. Each directory may store design basis for a category corresponding to the relevant directory. The user terminal 200 may select a particular design basis (e.g., REQ-AF-004, 12-1) among the design basis displayed on the display interface 12 (for example, by placing the mouse cursor over it). Then, information on the content of the design basis (e.g., REQ-AF-004, 12-1) may be displayed via a predetermined UI 12-1-1. Meanwhile, when the user terminal 200 requests more concrete information on a predetermined design basis (e.g., REQ-AF-001) among the design basis displayed as shown in FIG. 3 (for example, by clicking), then the management system 100 may provide the user terminal 200 with provided information 20 of the selected design basis (e.g., REQ-AF-001) as shown in FIG. 4. Once the design basis (e.g., REQ-AF-001) is specified, the control module 110 included in the management system 100 may extract information (e.g., an item path, identification information, title, and requirement text as shown in FIG. 4) on the design basis from the database 120 and provide this information to the user terminal 200 as the provided information 20. Moreover, as described above, the control module 110 may extract information on associated design basis of the design basis (e.g., REQ-AF-001) and provide the information on associated design basis to the user terminal 200 as the provided information. In this case, as shown in FIG. 4, the control module 110 may divide the associated design basis into a higher-tier category 21, a lower-tier category 23, and a same-tier category 22 and provide them to the user terminal 200. It can be seen that, since the design basis (e.g., REQ-AF-001) is a design basis corresponding to a top-tier category, i.e., the design requirements REQ, there only exist lower-tier category associated design basis as shown in FIG. 4. In addition, if a reference document (e.g., 25) corresponding to the design basis (e.g., REQ-AF-001) is stored in the database 120, the control module 110 may provide the user terminal 200 with information (identification information, description, type, etc.) on the reference document, as shown in FIG. 4. The user may select a provided associated design basis (e.g., DBS-AF-001, 24). In response to it, the management system 100 may extract provided information of the selected associated design basis (e.g., 24) and provide it to the user terminal 200. Accordingly, the user can sequentially and easily check provided information on associated design basis while checking provided information on a particular design basis. An example of this is shown in FIG. 5. If the user selects an associated design basis (e.g., DBS-AF-001, 24), the associated design basis (e.g., DBS-AF-001, 24) may be a design basis serving as a new criterion for extracting provided information. As shown in FIG. 5, once the design basis (e.g., DBS-AF-001, 24) is specified, the management system 100 may specify provided information 30 of the design basis (e.g., DBS-AF-001, 24) and provide the specified provided information to the user terminal 200. As described above, the provided information may include information on the design basis (e.g., DBS-AF-001, 24) and information on associated design basis 31, 32, and 33 and/or a reference document 35. Since the design basis (e.g., DBS-AF-001, 24) is a design basis corresponding to the design basis specification (DBS) category, there may be a higher-tier design basis 31 and a lower-tier design basis 33, as shown in FIG. 5, and information on them may be provided to the user terminal 200. When a particular associated design basis (e.g., SSCS-AF-008, 34) is selected from among the provided associated design basis, provided information on the selected design basis (e.g., SSCS-AF-008, 34) may be provided. An example of this is shown in FIG. 6. As shown in FIG. 6, provided information 40 on a new design basis (e.g., SSCS-AF-008, 34) may be provided to the user terminal 200. In FIG. 6, as explained with reference to FIGS. 4 and 5, information on the design basis (e.g., SSCS-AF-008, 34) and information on associated design basis and/or a reference document may be provided to the user terminal 200. Moreover, in some embodiments, a UI 41 for providing information on physical structure, system, and component specification data (PSSCD) showing an associative relationship may be included in the provided information 40. In FIG. 6, physical structure, system, and component specification data (PSSCD) showing a directly associative relationship may not exist in the design basis (e.g., SSCS-AF-008, 34). Likewise, as described above, when a particular design basis (SDI-AF-005) is selected from among associated design basis provided through the provided information 40, provided information of the selected design basis (SDI-AF-005) may be provided to the user terminal 200. FIG. 7 illustrates provided information 50 of a design basis (e.g., SDI-AF-001) by way of example. As described above, the content of the design basis (e.g., SDI-AF-001), information on associated design basis, and a reference document may be included in the provided information of the design basis (e.g., SDI-AF-001). Moreover, since the design basis (e.g., SDI-AF-001) is a design basis corresponding to the supporting design information (SDI) category, a document (e.g., calculation results, drawings, etc., 52) corresponding to the design basis (e.g., SDI-AF-001) may exist as described above, and information on this document may be included in the provided information 50. The user may select a certain design basis (e.g., DP-AF-001) corresponding to a same-tier category from among the associated design basis. Then, provided information 60 of the selected design basis (e.g., DP-AF-001) may be provided to the user terminal 200 as shown in FIG. 8. It can be seen that, since the design basis (e.g., DP-AF-001) is a design basis corresponding to the design parameters (DP) category, information on the management parameters corresponding to the design basis (e.g., DP-AF-001) is provided as provided information to the user terminal 200 as shown in FIG. 8. Obviously, information on the associated design basis and/or reference document may be provided. When the user selects a particular design basis (e.g., SOI-AF-001) corresponding to a same-tier category from among the provided associated design basis, provided information 61 shown in FIG. 9 may be provided to the user terminal 200. Since the design basis (e.g., SOI-AF-001) is a design basis corresponding to the supporting operation information (SOI) category, an operation stage document (e.g., procedures, manuals, etc., 61-2) may be provided to the user terminal 200 as provided information as shown in FIG. 9. Moreover, when a certain associated design basis (e.g., 3-542-M-PP01A) included in the provided information 61 is selected, provided information 70 of the selected design basis (e.g., 3-542-M-PP01A) may be provided to the user terminal 200 as shown in FIG. 10. Since the design basis (e.g., 3-542-M-PP01A) corresponds to the physical structure, system, and component specification data (PSSCD), the design basis (e.g., 3-542-M-PP01A) may be identified by identification information (e.g., tag information, 71) representing design information for a particular facility. The database 120 may store tag information for each particular facility. It is obvious that, as described above, a design basis corresponding to the physical structure, system, and component specification data (PSSCD) category may mean design information corresponding to a particular facility. As shown in FIG. 10, design information corresponding to a particular facility may include basic information, location information, additional information, and attribute information 73 of the relevant facility. Moreover, for a design basis (or facility) corresponding to the physical structure, system, and component specification data (PSSCD) category, no higher-tier category associated design base, same-tier category associated design basis, or lower-tier category associated design basis are represented as described above, but instead information on associated design basis having a directly or indirectly associative relationship with the facility may be provided. That is, it is not represented as a higher-tier category, lower-tier category, or same-tier category associated design basis as shown in FIGS. 4 to 9, but may be collectively represented as design basis 72 associated with a particular facility. Meanwhile, according to the technical spirit of the present invention, the database 120 may store physical plant configuration information corresponding to the physical structure, system, and component specification data (PSSCD). The physical plant configuration information may refer to information on, for example, the functional location of a facility to subject to maintenance and repair, a facility number, a product serial number, facility specification information, and maintenance and repair records. The physical plant configuration information may refer to information on a physical facility designed in accordance with tag information. Also, the functional location may refer to information on a location where maintenance and repair should be done or may be defined as a term used to refer to a system area where the particular facility should be installed. After all, the particular facility is specified as part of the entire equipment only when the particular facility is installed at the relevant functional location. After allocating tag information for the particular facility, the user may enter physical plant configuration information (e.g., information on the functional location, etc.) corresponding to the particular facility, apart from the tag information, through the user terminal 200. It is obvious that the entered physical plant configuration information may be stored to be included in or correspond to the physical structure, system, and component specification data (PSSCD) corresponding to the particular facility to be stored in the database 120. An example of this is shown in FIG. 11. As shown in FIG. 11, the user may receive the provided information 70 of the physical structure, system, and component specification data (PSSCD) corresponding to the particular facility (e.g., 3-542-M-PP01A) from the management system 100 as shown in FIG. 10. Also, the user may allocate identification information (e.g., 2523-542-M-PP01A, 72) to the physical plant configuration information (e.g., functional location, etc.) corresponding to the particular facility (3-542-M-PP01A) through a predetermined UI 70-1. Also, the user may create and manage the physical plant configuration information (e.g., functional location, etc.) to which identification information (e.g., 2523-542-M-PP01A) is allocated. An example of this is shown in FIG. 12. As shown in FIG. 12, the control module 110 of the management system 100 may provide an interface for entering, storing, and/or managing information on the physical plant configuration information (e.g., functional location, etc.) of a particular facility (e.g., 3-542-M-PP01A) through the interface module 130. Through the physical plant configuration information (e.g., functional location, etc.) provided via this interface, the user may detect information associated with the physical facility installed at the functional location, as well as design information on the particular facility. Meanwhile, as described above, the control module 110 of the management system 100 may create traceable associative relationship information hierarchically representing information on a plurality of design basis having an associative relationship, from particular physical structure, system, and component specification data to the design requirements category, which is a top-tier category corresponding to the particular physical structure, system, and component specification data. Also, the created traceable associative relationship information may be provided to the user terminal. Through this information, the user may check design basis associated with the particular facility in an integrated and hierarchical manner. Also, through this information, the user may take all design basis to be checked or reviewed into consideration if action is needed on the particular facility. The traceable associative relationship information may be created in the form of a diagram or provided in table form. An example of this is shown in FIGS. 13 and 14. FIG. 13 shows an example in which traceable associative relationship information is created in the form of a diagram. As shown in FIG. 13, the traceable associative relationship information includes physical structure, system, and component specification data (PSSCD) corresponding to a particular facility and higher-tier design basis of the physical structure, system, and component specification data (PSSCD)—that is, design basis (e.g., design basis corresponding to supporting operation information (SOI), design parameters (DP), and supporting design information (SDI)) having a directly associative relationship. Also, design basis (e.g., design basis corresponding to the structure, system, and component specifications (SSCS), design basis corresponding to the design basis specifications (DBS), and design basis corresponding to the design requirements (REQ)) having an indirectly associative relationship with the physical structure, system, and component specification data (PSSCD) may be included in a diagram. An associative relationship between the design basis included in the diagram are indicated by arrows in FIG. 13. In this way, the control module 110 may create traceable associative relationship information and provided it to the user terminal 200, and the user may check all design basis associated with a particular facility at a time through this information. Also, since the design basis can be represented in a hierarchical structure as shown in the drawing, the user may intuitively find a hierarchical relationship structure between the design basis. Also, when a certain design basis (e.g., 80-1) included in the traceable associative relationship information is selected, the user may inquire for provided information on the selected design basis first before anything else, rather than sequentially checking design basis as explained with reference to FIGS. 3 to 12. FIG. 14 shows another embodiment of the traceable associative relationship information, that is, an example in which traceable associative relationship information 81 is created and provided in table form. As shown in FIG. 14, when the user selects a design basis (or tag information, 81-1) corresponding to physical structure, system, and component specification data (PSSCD) corresponding to a particular facility, information on design basis (e.g., supporting operation information (SOI), design parameters (DP), and supporting design information (SDI)) having a directly associative relationship with the selected facility may be detected and provided to the user terminal 200. When a particular design basis (e.g., 81-2) is selected from among the detected design basis, design basis of a higher-tier category (e.g., the structure, system, and component specifications (SSCS)) having a directly associative relationship with the selected design basis (e.g., 81-2) may be detected and provided to the user terminal 200 as shown in FIG. 14. Likewise, when a particular design basis (e.g., 81-3) is selected from among the provided design basis, design basis of a higher-tier category (e.g., the design basis specifications (DBS)) having a directly associative relationship with the selected design basis (e.g., 81-3) may be detected and provided to the user terminal 200 as shown in FIG. 14. Also, when a particular design basis (e.g., 81-4) is selected from among the provided design basis, design basis (e.g., 81-5) of a higher-tier category (e.g., the design requirements (REQ)) having a directly associative relationship with the selected design basis (e.g., 81-4) may be detected and provided to the user terminal 200 as shown in FIG. 14. After all, traceable associative relationship information created in table form makes it easy for the user to sequentially detect and inquire for design basis associated with a particular facility, and the traceable associative relationship information may be sequentially created as the user chooses. Also, through this traceable associative relationship information created in table, the user may immediately check provided information of a certain design basis (e.g., 81-6) by selecting this design basis from among the currently provided design basis. The nuclear power plant data-based design basis management method according to the exemplary embodiments may be implemented as computer-readable codes in a computer readable medium. The computer-readable recording medium includes all types of recording media in which data readable by a computer system is stored. Examples of the computer-readable recording medium include a magnetic medium, such as a hard disk, a floppy disk and a magnetic tape, an optical medium, such as a CD-ROM and a DVD, a magneto-optical medium, such as a floptical disk, and a hardware memory, such as a ROM, a RAM and a flash memory, specifically configured to store and execute program instructions. Furthermore, the aforementioned medium may be a transmission medium, such as an optical or metal line and a waveguide, including carriers for transmitting a signal to designate a program command, a data structure, or the like. In addition, the computer-readable recording medium is distributed in a computer system connected via a network so that computer-readable codes can be stored and executed in a distributed manner. Examples of the program instructions include high-tier language codes that can be executed by a computer using an interpreter or the like, as well as machine codes such as those generated by a compiler. The hardware devices may be configured to operate as one or more software modules in order to perform operations of the present invention, and vice versa. While exemplary embodiments of the present invention have been described in connection with the exemplary embodiments illustrated in the drawings, they are merely illustrative embodiments, and the invention is not limited to these exemplary embodiments. It is to be understood that various equivalent modifications and variations of the exemplary embodiments can be made by a person having an ordinary skill in the art without departing from the spirit and scope of the present invention. Therefore, the true technical scope of the present invention should be defined by the technical spirit of the appended claims.
abstract
A method and apparatus for providing a Boiling Water Reactor (BWR) jet pump inlet-mixer integral slip joint clamp to constrain the inlet mixer and diffuser to mitigate inlet mixer flow induced vibration of a BWR jet pump assembly. The slip joint clamp includes horizontally projecting flanges with vertical sidewalls that protrude toward a lowest distal end of the inlet mixer. Fasteners penetrating the flanges provide a biasing load on the diffusers by being tightened to press against an upper crown on the diffuser. One or more flanges may be used. Laterally disposed gaps between the flanges may provide a clearance for guide ears of the diffuser to fit between the flanges.
summary
abstract
A control rod for a pressurized-water nuclear reactor contains an absorber rod which is arranged in a casing tube. At least in a lower section, the absorber rod is provided with at least one recess which takes up at most a portion of the circumferential surface of this section. This reduces problems associated with an expansion in the volume of the absorber rod.
claims
1. A material aging apparatus comprising:a pulse laser;a beam expansion assembly; anda platform configured to carry an object, the pulse laser providing a first beam transmitted to the beam expansion assembly, and wherein the beam expansion assembly expands the first beam to a second beam and projects the second beam onto the object, and the object is light-aged by the second beam without melting, burning, or damaging. 2. The material aging apparatus as recited in claim 1, wherein the platform is a moving platform, and the object moves along the moving platform so that the second beam is projected onto the object via at least one path. 3. The material aging apparatus as recited in claim 2, wherein the object is separated into a plurality of aging areas, the second beam is projected onto the aging areas via a plurality of paths, and different aging areas comprise different paths with different density. 4. The material aging apparatus as recited in claim 1, wherein power of the second beam is adjustable. 5. The material aging apparatus as recited in claim 1, wherein wavelength of the second beam is adjustable. 6. The material aging apparatus as recited in claim 1, wherein an adjustable range of the wavelength of the first beam is between 280 nm to 400 nm. 7. The material aging apparatus as recited in claim 1, wherein the object is a solar cell, and the average power density of the second beam is between 10 kw/m2 to 0.1 kw/m2. 8. The material aging apparatus as recited in claim 1, wherein the object is a polymer material, and the average power density of the second beam is between 5 kw/m2 to 0.1 kw/m2. 9. The material aging apparatus as recited in claim 1, wherein the pulse duration of the pulse laser is less than 1 μs, and the pulse repetition rate of the pulse laser is greater than or equal to 10 Hz. 10. The material aging apparatus as recited in claim 1, wherein an area of the second beam projected onto the object is larger than 1 cm2. 11. The material aging apparatus as recited in claim 1 further comprising:a temperature control module connected to the platform, so as to adjust temperature of the platform at a place carrying the object. 12. The material aging apparatus as recited in claim 11, wherein an adjustable range of the temperature of the platform is between 10° C. to 60° C.
description
A first method uses two X-ray bulbs 14, 15, as shown in FIG. 1, for generation of two X-ray beams 8, 9. These two sources of the beams are spaced from each other by a distance L which is an interpupillary distance of a human inspector. Cathode rays come out of cathodes 7, 4 of respective X-ray bulbs 14, 15, hit on anodes 5, 2 and generates the left and the right X-ray beams 8, 9, which pass a shutter 10, penetrate an object 11 such as a blood vessel with a contrast medium injected therein according to the present embodiment, then reach and make a fluorescent screen 12 glow. The shutter 10 opens and closes at a predetermined time interval, thereby alternately allowing the left and right X-ray beams to pass through, so as to project images alternately for the left and right eyes on the fluorescent screen 12. By using a stereoscopic viewer 13, the inspector can see a three-dimensional view of the object 11 such as the blood vessel, an organ and so on from outside of the body. This makes it possible to perform a treatment, a surgical operation and so on to an intertwined vessel for example, from outside of the body and under visual observation. If applied to a nondestructive inspection, it becomes possible to give stereoscopic evaluation to an internal object from outside. It should be noted here that components indicated by numeral codes 6, 3 are power sources for the anodes 5, 2 and cathodes 7, 4 respectively. A second method uses one X-ray bulb 21. A cathode ray comes out of a cathode 25 to an anode 23, thereby generating an X-ray beam 27, which is then reflected by a rotating X-ray reflection mirror 29 made of a heavy metal alloy. By rotating a mirror-surface controlling shaft 28, the X-ray beam 27 is reflected in a left direction and a right direction as illustrated in FIG. 2 and FIG. 3 which respectively show an arrangement for formation of a left-eye view and an arrangement for formation of a right-eye view. This constitution, together with a set of reflector plates 31, provides two X-ray beams having an interpupillary distance of a human inspector and alternating at a predetermined time interval. The above splitting of the X-ray beam for the left eye and the right eye also covers the function performed by the shutter 10 in FIG. 1. By the irradiation of these two X-ray beams to an object 22, images for each of the eyes are projected, independently a long time, on a fluorescent screen 24. By continuously viewing these images through a stereoscopic viewer 13, the object 22 can be observed three-dimensionally. It should be noted here that the reflector plates 31 are movable, and so is the screen 32 as to allow focusing. According to the second method, brightness of the obtained image may not be optimum, yet there is an economic and technical advantage that the 3-D image can be obtained with only one X-ray bulb. A third method uses one X-ray bulb 41 as shown in FIG. 4. The X-ray bulb 41 generates two continuous X-ray beams 45, 44 coming out of sources spaced at an interpupillary distance. This method uses a beveled, rotating, tungsten anode which is known publicly. In a known application, a cathode ray is generated in one direction, and an X-ray beam is produced in another direction from the anode plate. According to the present invention however, the cathode rays come in two directions, thereby generating corresponding X-ray beams in corresponding two directions from sources spaced at an interpupillary distance, and these two X-ray beams are utilized in the same way as in the first and the second methods for the three-dimensional fluoroscopy. This method is described in more detail. Specifically, the X-ray bulb 41 has a center portion provided with a beveled, rotating anode 50, and a left and a right end portions provided with cathodes 43, 42 respectively. The cathodes 43, 42 are heated to generate cathode rays hitting on the anode 50 at different angles, generating the X-ray beams 45, 44 coming at corresponding angles. The X-ray beams pass respective shutters 52, 56 and filters 53, 54, and then penetrate an object 47 placed at a predetermined distance for a predetermined exposure time, projecting X-ray images on a fluorescent screen 48 in alternation for the left eye and the right eye. By viewing these images through a stereoscopic viewer 13 independently but continuously with the left eye and the right eye, a three-dimensional fluoroscopic view can be observed. If this method is used in imaging a human body by using a contrast medium of various kinds, the obtained view can be utilized for a medical purpose. Further, the method can also be applied to various kinds of nondestructive inspection. As has been described above, the present invention provides a three-dimensional fluoroscopy, wherein two X-ray beams are irradiated to an object from sources spaced from each other by an interpupillary distance of a human inspector, for projection of alternating X-ray images for the left eye and the right eye on a fluorescent screen for a predetermined exposure time and at a predetermined interval, and the images for the left eye and the images for the right eye are projected on a stereoscopic viewer continuously but independently from each other, whereby providing a three-dimensional fluoroscopic view. Therefore, it has become possible to perform continuous stereoscopic observation of a human blood vessel by using a contrast medium, 3-D visualization in nondestructive inspection of materials, baggage check and so on. Further, the present invention provides a three-dimensional fluoroscopy, wherein one X-ray beam is reflected in a left direction and a right direction in alternation for a predetermined exposure time and at a predetermined interval by a rotating mirror, the reflected X-ray is further reflected by a pair of mirrors spaced from each other by an interpupillary distance of a human inspector, whereby irradiating two X-ray beams to an object in alternation. Therefore, it has become possible to perform continuous stereoscopic observation of a human blood vessel by using a contrast medium, 3-D visualization in nondestructive inspection of materials, baggage check and so on. Further, the present invention provides a three-dimensional fluoroscopy, wherein one X-ray bulb has a beveled, rotating anode having a diameter generally equal to an interpupillary distance of a human, and two cathode rays are hit on the anode for generation of two X-ray beams from sources spaced at the interpupillary distance, whereby irradiating the two X-rays to an object in alternation for a predetermined exposure time and at a predetermined interval.
claims
1. A radiation image capturing apparatus comprising:a radiation source for applying a radiation to a breast of a subject;a radiation detector for detecting the radiation which has passed through said breast, a side surface of said radiation detector being positioned so as to face a chest wall of the subject; anda grid disposed between said breast and said radiation detector for absorbing scattered rays of said radiation, said grid comprising radiation-permeable members extending substantially parallel to said side surface of said radiation detector and radiation-impermeable members extending substantially parallel to said radiation-permeable members, said radiation-permeable members and said radiation-impermeable members being disposed alternately in a direction away from said side surface of said radiation detector. 2. A radiation image capturing apparatus according to claim 1, wherein said radiation-impermeable members are inclined to a plane of said grid at respective angles that are progressively smaller away from the chest wall of said subject, so that said grid serves as a convergent grid whose focal point is located at said radiation source. 3. A radiation image capturing apparatus according to claim 1, further comprising a grid controller for controlling said grid to reciprocate in directions perpendicular to the direction in which said radiation-permeable members and said radiation-impermeable members extend. 4. A radiation image capturing apparatus according to claim 3, further comprising a radiation source controller for controlling said radiation source to inhibit said radiation from being applied to said breast when said grid is reversed in reciprocating movement thereof. 5. A radiation image capturing apparatus according to claim 3, further comprising a shutter for blocking said radiation emitted from said radiation source, said shutter being disposed between said radiation source and said grid, and a shutter controller for controlling said shutter to block said radiation emitted from said radiation source when said grid is reversed in reciprocating movement thereof. 6. A radiation image capturing apparatus according to claim 1, wherein said radiation source is positioned directly above said side surface of said radiation detector, and a radiation direction of said radiation source is directed to said radiation detector. 7. A radiation image capturing apparatus according to claim 1, wherein said radiation source is movable with respect to the subject in a direction in parallel with said side surface. 8. A radiation image capturing apparatus according to claim 1, wherein the radiation is emitted from said radiation source at least at two different angles, and wherein said radiation image capturing apparatus further comprises an image processor for producing a sectional radiation image based on the radiation detected by said radiation detector. 9. A radiation image capturing apparatus comprising:a radiation source for applying a radiation to a subject, said radiation source being movable with respect to said subject;a radiation detector for detecting the radiation which has passed through said subject; anda grid for absorbing scattered rays of said radiation, said grid comprising radiation-permeable members extending substantially parallel to a direction in which said radiation source is movable and radiation-impermeable members extending substantially parallel to said radiation-permeable members, said radiation-permeable members and said radiation-impermeable members being disposed alternately in a direction substantially perpendicularly to said direction in which said radiation source is movable. 10. A radiation image capturing apparatus according to claim 9, wherein said radiation-impermeable members are inclined to a plane of said grid at respective angles that progressively vary along a direction in which said radiation-impermeable members are arrayed, so that said grid serves as a convergent grid whose focal point is located at said radiation source. 11. A radiation image capturing apparatus according to claim 9, further comprising a grid controller for controlling said grid to reciprocate in directions perpendicular to the direction in which said radiation-permeable members and said radiation-impermeable members extend. 12. A radiation image capturing apparatus according to claim 11, further comprising a radiation source controller for controlling said radiation source to inhibit said radiation from being applied to said subject when said grid is reversed in reciprocating movement thereof. 13. A radiation image capturing apparatus according to claim 11, further comprising a shutter for blocking said radiation emitted from said radiation source, said shutter being disposed between said radiation source and said grid, and a shutter controller for controlling said shutter to block said radiation emitted from said radiation source when said grid is reversed in reciprocating movement thereof.
053405064
abstract
A method for immobilizing waste chloride salts containing radionuclides such as cesium and strontium and hazardous materials such as barium. A sodalite intermediate is prepared by mixing appropriate amounts of silica, alumina and sodium hydroxide with respect to sodalite and heating the mixture to form the sodalite intermediate and water. Heating is continued to drive off the water to form a water-free intermediate. The water-free intermediate is mixed with either waste salt or waste salt which has been contacted with zeolite to concentrate the radionuclides and hazardous material. The waste salt-intermediate mixture is then compacted and heated under conditions of heat and pressure to form sodalite with the waste salt, radionuclides and hazardous material trapped within the sodalite cage structure. This provides a final product having excellent leach resistant capabilities.
description
This invention relates generally to nuclear reactors and more particularly to structured risk-informed deterministic safety analyses for nuclear reactors. A typical boiling water reactor (BWR) includes a pressure vessel containing a nuclear fuel core immersed in circulating coolant water which removes heat from the nuclear fuel. The water is boiled to generate steam for driving a steam turbine-generator for generating electric power. The steam is then condensed and the water is returned to the pressure vessel in a closed loop system. Piping circuits carry steam to the turbines and carry recirculated water or feed-water back to the pressure vessel that contains the nuclear fuel. The BWR includes several conventional closed-loop control systems that control various individual operations of the BWR in response to demands. For example a control rod drive control system (CRDCS) controls the position of the control rods within the reactor core and thereby controls the rod density within the core which determines the reactivity therein, and which in turn determines the output power of the reactor core. A recirculation flow control system (RFCS) controls core flow rate, which changes the steam/water relationship in the core and can be used to change the output power of the reactor core. These two control systems work in conjunction with each other to control, at any given point in time, the output power of the reactor core. A turbine control system (TCS) controls steam flow from the BWR to the turbine based on pressure regulation or load demand. The operation of these systems, as well as other BWR control systems, is controlled utilizing various monitoring parameters of the BWR. Some monitoring parameters include core flow and flow rate affected by the RFCS, reactor system pressure, which is the pressure of the steam discharged from the pressure vessel to the turbine that can be measured at the reactor dome or at the inlet to the turbine, neutron flux or core power, feed water temperature and flow rate, steam flow rate provided to the turbine and various status indications of the BWR systems. Many monitoring parameters are measured directly, while others, such as core thermal power, are calculated using measured parameters. Outputs from the sensors and calculated parameters are input to an emergency protection system to assure safe shutdown of the plant, isolating the reactor from the outside environment if necessary, and preventing the reactor core from overheating during any emergency event. To operate, nuclear reactor power plants are required to be licensed by the nuclear regulatory body of the country where the nuclear power plant is located. Various postulated transient and accident events are analyzed as part of the nuclear power plant licensing process. Currently, a set of postulated transient and bounding accident events is defined and analyzed using a deterministic safety analysis approach. In the deterministic safety analysis approach, specific analyses are performed using prescribed conservative analytical models and assumptions and the results compared against defined acceptance criteria. Events outside of this set are analyzed using only a probabilistic risk assessment (PRA). In one aspect, a risk-informed method for safety analyses of nuclear power generating systems is provided. The method includes ordering events by an initiating event frequency, defining an initiating event frequency threshold value, defining acceptance criteria having an adjusted amount of conservatism, where the amount of conservatism is a function of the initiating event frequency, and analyzing an event by a deterministic safety analysis methodology when the event has an event initiating frequency at or above the threshold value, or analyzing an event by a probabilistic risk assessment methodology when the event has an event initiating frequency below the threshold value. In another aspect, a system for performing risk-informed safety analyses of nuclear power generating systems is provided. The includes a computer configured to order events by an initiating event frequency, define an initiating event frequency threshold value, define acceptance criteria having an adjusted amount of conservatism, wherein the amount of conservatism is a function of the initiating event frequency, and analyze an event by a deterministic safety analysis methodology when the event has an event initiating frequency at or above the threshold value, or analyze an event by a probabilistic risk assessment methodology when the event has an event initiating frequency below the threshold value. In another aspect, a computer program embodied on a computer readable medium for performing risk-informed safety analyses of nuclear power generating systems is provided. The program including a code segment that orders events by an initiating event frequency, defines an initiating event frequency threshold value, defines acceptance criteria having an adjusted amount of conservatism, wherein the amount of conservatism is a function of the initiating event frequency, and analyzes an event by a deterministic safety analysis methodology when the event has an event initiating frequency at or above the threshold value, or analyzes an event by a probabilistic risk assessment methodology when the event has an event initiating frequency below the threshold value. A structured risk-informed method for safety analyses of nuclear power generating systems is described below in more detail. This method risk-informs deterministic nuclear safety analyses. An initiating event frequency is used as the basis for determining if the event is to be analyzed using a deterministic safety analysis methodology or using a probabilistic risk assessment methodology such as that described in Nuclear Regulatory Commission Regulatory Guide 1.174, An Approach for Using Probabilistic Risk Assessment In Risk-informed Decisions On Plant-Specific Changes to the Licensing Basis. The initiating event frequency is then used to further refine the acceptance criteria and methodology used in the deterministic safety analyses. This method can be used for any power generating system deterministic analysis area, for example, transient events and loss-of-coolant accidents. An initiating event is a spontaneous event that causes a reactor abnormality, for example, a broken pipe can result in a loss of coolant in the reactor. The structured risk-informed method for safety analyses of nuclear power generating systems described below in more detail, in an exemplary embodiment, is web enabled and is run on a business entity's intranet. In a further exemplary embodiment, the method is fully accessed by individuals having authorized access outside the firewall of the business entity through the Internet. In another exemplary embodiment, the method is run in a Windows NT environment or simply on a stand alone computer system having a CPU, memory, and user interfaces. In yet another exemplary embodiment, the method is practiced by simply utilizing spreadsheet software. FIG. 1 is a schematic diagram of the basic components of a power generating system 8. The system includes a boiling water nuclear reactor 10 which contains a reactor core 12. Water 14 is boiled using the thermal power of reactor core 12, passing through a water-steam phase 16 to become steam 18. Steam 18 flows through piping in a steam flow path 20 to a turbine flow control valve 22 which controls the amount of steam 18 entering steam turbine 24. Steam 18 is used to drive turbine 24 which in turn drives electric generator 26 creating electric power. Steam 18 flows to a condenser 28 where it is converted back to water 14. Water 14 is pumped by feedwater pump 30 through piping in a feedwater path 32 back to reactor 10. FIG. 2 is a flow chart of a structured risk-informed method 40 for safety analyses of nuclear power generating systems 8 in accordance with an embodiment of the present invention. In an exemplary embodiment, risk-informed method 40 includes ordering 42 events by an initiating event frequency, defining 44 an initiating event frequency threshold value, defining 46 acceptance criteria having an adjusted amount of conservatism, where the amount of conservatism is a function of the initiating event frequency, and analyzing 48 an event by a deterministic safety analysis methodology when the event has an event initiating frequency at or above the threshold value. Method 40 also includes determining 50 an amount of conservatism used in the deterministic safety analysis methodology, identifying 52 additional system failures that are not a direct consequence of the initiating event, defining 54 a total threshold frequency for the combination of the initiating event frequency and the additional failure frequency, and adding 56 additional system failures to the safety analysis, one at a time, until a total frequency of an event plus additional failures is less than the total threshold frequency when the initiating event frequency is above the total threshold frequency. Postulated transient and accident events are categorized in order to determine which events will to be analyzed using a deterministic safety analysis methodology or using PRA methodology. The events are ordered by initiating event frequency from high to low. The event spectrum can be made up from discrete events (for example, generator load rejection, turbine trip) or a continuous spectrum (e.g., assumed pipe break area for a loss-of-coolant accident). FIG. 3 shows an example of initiating events ordered by an initiating event frequency. Next a threshold value, FT, for the initiating event frequency is defined. Events with initiating frequencies above this threshold value will be analyzed using deterministic safety analysis methodologies. Events with initiating frequencies below this threshold value will be analyzed with only PRA methodologies. Any suitable value can be assigned to FT, for example, in one embodiment, FT is about 10−5 to about 10−7 events per year. In another embodiment, FT is about 5×10−6 to 5×10−7, and in another embodiment, FT, is about 10−6 events per year. FIG. 4 is a graph showing events ordered by an event initiating frequency and an initiating event frequency threshold value. The frequency of core damage is used as the figure of merit in PRA methodologies. The acceptance criteria for deterministic safety analyses are typically prescribed by regulations or industry standards and include a conservative margin to core damage. In an exemplary embodiment, using a risk-informed approach, it is possible to determine the appropriate amount of conservatism to be included in the deterministic analysis acceptance criteria. The amount of conservatism required can be defined as a function of the initiating event frequency. As the likelihood of the event increases, the amount of conservatism (or margin to core damage) included in the acceptance criteria is also increased. The mathematical relationship between the initiating event frequency and the amount of conservatism in the acceptance criteria is developed for each application based on a consideration of the relevant phenomena and the controlling parameters. FIG. 5 shows an example using a step change function to define three levels of acceptance criteria. In the example, a first set of acceptance criteria 58 is applied to events having initiating frequencies between FT and F1. This set of acceptance criteria has the least amount of conservatism. A second set of acceptance criteria 60 is applied to events having initiating frequencies between F1 and F2. Since there is a greater likelihood that events in this group will occur than events in the first group, there is more conservatism included in acceptance criteria 60 for this group than in acceptance criteria 58 of the first group. Similarly, a third set of acceptance criteria 62 is applied to the events having initiating frequencies greater than F2. In the exemplary embodiment, the conservatism used in the analysis methodology (models, inputs, and assumptions) is risk-informed using the same approach as used for defining the acceptance criteria. PRA analyses use realistic methodologies with no added conservatism. The conservatism included in the methodologies used for deterministic safety analyses is typically prescribed by regulations or industry standards. Using the risk-informed approach of the exemplary embodiment, it is possible to determine the appropriate amount of conservatism to include in the deterministic analysis methodologies. The amount of conservatism required is defined as a function of the initiating event frequency. As the likelihood of the event increases, the amount of conservatism included in the analysis methodology is also increased. The mathematical relationship between the initiating event frequency and the amount of conservatism in the analysis methodology is developed for each application based on a consideration of the available methodologies, relevant phenomena, and the controlling parameters. FIG. 6 shows an example using a step change function to define three levels of methodologies. In the example, a first methodology 64 is applied to events having initiating frequencies between FT and F1. Methodology 64 has the least amount of conservatism. A second methodology 66 is applied to events having initiating frequencies between F1 and F2. Since there is a greater likelihood that events in this group will occur than events in the first group, there is more conservatism included in methodology 66 applied to this group of events than methodology 64 applied to the first group. In a similar fashion, the most conservative methodology 68 is applied to the events having initiating frequencies greater than F2. In the example shown, the initiating event frequency breakpoints, F1 and F2, are not required to be the same as the breakpoints used to define acceptance criteria regions 58, 60, and 62 described above. Though the process for risk-informed the acceptance criteria is the same as the process for risk-informed the analysis methodology, the two processes do not have to be applied together. One methodology may be used across the event spectrum with the results assessed against a varying set of acceptance criteria. Similarly, different methodologies may be used across the event spectrum with the results compared against one constant set of acceptance criteria. Currently, many deterministic safety analyses must also postulate additional system failures that are not a direct consequence of the initiating event itself. Examples of these postulated failures are loss of offsite power to the plant or the failure of a mitigating system to start when demanded. The requirement for postulating the additional failures was included as a means of ensuring that the remaining mitigating systems retained sufficient capacity to mitigate the consequences of the event but this requirement does not have an explicit risk basis. In the exemplary embodiment, the approach for postulating additional failures is risk-informed in a manner similar to that used for risk-informed the other aspects of the deterministic analyses. A total threshold frequency, FF, is defined for the combination of the initiating event frequency and the failure frequency. If the initiating event frequency is less than FF, no additional failures are postulated. If the initiating event frequency alone is above FF, additional failures are postulated, one at a time, until the total frequency (event plus failures) is below FF. This approach is shown in FIG. 7 which is a graph of events ordered by an initiating event frequency and showing the additional failures. There may be situations where deterministic analyses must be performed for events having initiating frequencies below the threshold value FT. Examples of these situations are analyses required by regulation and demonstrations of defense in depth. In these situations, the PRA acceptance criteria and methods can be used, the acceptance criteria and methodology applied to events just above the threshold value as described above can be used, or a combination of these approaches can be used. The above described method 40 of risk-informed deterministic safety analyses is applicable to many areas in the nuclear power plant design. Method 40 can be used, for example, to determine the minimum emergency core cooling system performance characteristics required to mitigate the consequences of loss-of-coolant accidents while still maintaining an appropriate degree of safety margin. These performance characteristics can then be used as the basis for developing cost effective maintenance and testing requirements. Method 40 can also be used for addressing material degradation issues for plant life extension and license renewal. Method 40 can be used to determine transient and accident structural load definitions. The resulting risk-informed load definitions are then used to develop the appropriate structural repairs for reactor internals affected by material degradation. The risk-informed load definitions also are used as the basis for expanding the reactor operating range for plants where the range is restricted by overly conservative accident load definitions. While the invention has been described in terms of various specific embodiments, those skilled in the art will recognize that the invention can be practiced with modification within the spirit and scope of the claims.
summary
claims
1. A method for characterizing spectrometric properties of bent crystal comprising:a) positioning a bent crystal that is suitable to image selected emission spectra and reflect characteristic x-ray emission spectra of a target substance disposed on a Rowland circle in the path of x-ray emissions from the target substance wherein a reflective surface of the bent crystal is disposed at approximately the Bragg angle to the path;b) exciting the target substance sufficient to generate x-ray emissions to impinge upon the bent crystal; thereuponc) capturing and recording intensity of a first preselected known narrow spectrum of the x-ray emissions as diverted by the bent crystal at an off-angle to direct reflections of x-ray emission;d) incrementally rotating the bent crystal about its reflective center of rotation;e) repeating steps b), c) and d) to build a rocking curve of x-ray reflections off-angle to the direct reflection angle;f) using the first preselected known narrow spectrum to make a rocking curve at angles far from normal incidence that characterizes the crystal at a second preselected known narrow spectrum of a lower energy level, and characterized by a larger Bragg angle, for use as an imaging optic at the second preselected known narrow spectrum at angles close to normal incidence. 2. The method according to claim 1 wherein the exciting step is produced by laser irradiation of a supersonic argon gas jet and the x-ray emissions are from plasma produced by the laser irradiation. 3. The method according to claim 1 wherein the bent crystal is disposed on a rotatable mount. 4. The method according to claim 1 wherein the selected bent crystal is quartz. 5. The method according to claim 1 wherein the bent crystal is spherically bent. 6. The method according to claim 5 wherein the selected crystal is bent at a radius of curvature equal to twice the radius of the Rowland circle in the Johann configuration. 7. The method of claim 1 wherein the first preselected known narrow spectrum is of the He-alpha emission characteristic. 8. The method of claim 7 wherein the second preselected known narrow spectrum is of the K-alpha emission characteristic. 9. A method for characterizing spectrometric properties of bent crystal comprising:a) positioning a spherically bent crystal that is suitable to image K-alpha and reflect He-alpha characteristic x-ray emission spectra of a target substance disposed on a rotatable mount on a Rowland circle in the path of x-ray emissions from the target substance wherein a reflective surface of the spherically bent crystal is disposed at approximately the Bragg angle to the path;b) exciting the target substance sufficient to generate x-ray emissions to impinge upon the spherically bent crystal; thereuponc) capturing and recording intensity of He-alpha x-ray emissions as diverted by the spherically bent crystal at an off-angle to direct x-ray emission reflections;d) incrementally rotating the spherically bent crystal about its reflective center of rotation;e) repeating steps b), c) and d) to build a rocking curve of x-ray reflections off-angle to the direct x-ray emission reflections;f) using the He-alpha x-ray emission spectrum to make a rocking curve at angles far from normal incidence that characterizes the crystal at the K-alpha x-ray emission spectrum of a lower energy level, and characterized by a larger Bragg angle, for use as an imaging optic at the K-alpha x-ray emission spectrum at angles close to normal incidence wherein the Bragg angles are between approximately 80 degrees and 89 degrees. 10. The method according to claim 9 wherein the bent crystal is quartz. 11. The method according to claim 9 wherein the exciting step is produced by laser irradiation of a supersonic argon gas jet and the x-ray emissions are from plasma produced by the laser irradiation. 12. The method according to claim 9 wherein the spherically bent crystal is bent at a radius of curvature equal to twice the radius of the Rowland circle.
abstract
The present disclosure relates to the field of reactor engineering technologies, and particularly to a spherical element detecting and positioning device. The spherical element detecting and positioning device includes a pressure-bearing casing, an internal member and an execution part; the pressure-bearing casing includes a tank body, one sphere inlet adapter pipe and two sphere outlet adapter pipe respectively arranged on the tank body; the internal member is arranged in the rotor counter-bored hole and includes a lining ring and a limit ring; and the execution part includes a turntable and two support lugs. The spherical element detecting and positioning device provided by the present disclosure can achieve triple functions of performing automatic material separation, precise positioning and directional conveyance of spherical elements, has compact structure and simple control, and can meet the operation reliability and maintainability requirements for long-term and intermittent operation under the strong radioactive environment.
abstract
An electron-optical arrangement provides a primary beam path for a beam of primary electrons and a secondary beam path for secondary electrons. The electron-optical arrangement includes a magnet arrangement having first, second and third magnetic field regions. The first magnetic field region is traversed by the primary beam path and the secondary beam path. The second magnetic field region is arranged in the primary beam path upstream of the first magnetic field region and is not traversed by the secondary beam path. The first and second magnetic field regions deflect the primary beam path in substantially opposite directions. The third magnetic field region is arranged in the secondary beam path downstream of the first magnetic field region and is not traversed by the first beam path. The first and third magnetic field regions deflect the secondary beam path in a substantially same direction.
claims
1. A method of determining whether explosives or controlled substances are present in an object comprising confounders, comprising:transporting the object through a cavity in a shielded apparatus, said cavity comprising one or more turns which preclude a straight line trajectory through the cavity;generating neutron particles from a plurality of neutron sources by pulsing the neutron sources simultaneously, each source having an intensity of about 107 neutrons/second or less;irradiating the object with the neutron particles to cause the object to generate gamma rays;detecting the gamma rays with a plurality of gamma ray detectors;analyzing the gamma counts associated with the object;determining that an explosive or controlled substance is present in the object when the relative atomic percentages of elements comprising the object are substantially similar to the relative atomic percentages of elements associated with known explosives and controlled substances;determining that an explosive or controlled substance is not present in the object when the relative atomic percentages of elements comprising the object are not substantially similar to the relative atomic percentages of elements associated with known explosives and controlled substances; andpulsing the neutron sources sequentially if it is determined that an explosive or controlled substance is present in the object. 2. A method of determining whether explosives or controlled substances are present in an object comprising confounders, the method comprising:irradiating the object with neutron particles from a plurality of neutron sources by pulsing the neutron sources simultaneously;detecting gamma rays emitted by substances contained within the object in response to the irradiation;determining gamma counts with a plurality of gamma ray detectors;isolating common eigen value signatures of the substances contained within the object;analyzing the common eigen value signatures using a plurality of analytical tools to produce a plurality of analyses;subjecting the plurality of analyses to a hierarchy of classifiers to determine if at least one of an explosive or controlled substance is present in the object; andpulsing the neutron sources sequentially if it is determined that an explosive or controlled substance is present in the object to more accurately identify the location of the explosive or controlled substance in the object. 3. The method of claim 1, wherein the cavity is surrounded by water. 4. The method of claim 1, wherein the neutron particles comprise 14.7 MeV neutrons. 5. The method of claim 1, wherein the object is irradiated a first time and at least a second time. 6. The method of claim 5, wherein the neutron particles are generated by pulsing the neutron sources simultaneously at the first time. 7. The method of claim 6, wherein the neutron particles are generated by pulsing the neutron sources sequentially at the at least a second time. 8. The method of claim 1, wherein the plurality of neutron sources comprises at least 10 neutron sources. 9. The method of claim 1, wherein the plurality of gamma ray detectors comprises at least 100 gamma ray detectors. 10. The method of claim 1, wherein the neutron sources are arranged in an array and wherein the array is arranged such that an equal number of neutron sources are disposed on at least two sides of the array. 11. The method claim 10, wherein each neutron source irradiates a predetermined area of the object. 12. The method claim 1, wherein the neutron sources are arranged in an array, and wherein the array further comprises the plurality of gamma ray detectors. 13. The method of claim 12, wherein the array is arranged such that an equal number of gamma ray detectors are disposed on at least two sides of the array. 14. The method of claim 1, wherein the cavity further comprises a transport mechanism for moving the object through the cavity. 15. The method claim 14, wherein the neutron sources are arranged in an array, and wherein the array is disposed inside the cavity and the object passes through the array as the transport mechanism moves the object through the cavity. 16. The method of claim 1, wherein the elements comprising the explosives and controlled substances are from the group consisting of carbon, oxygen, and nitrogen. 17. The method of claim 1, wherein the explosives and controlled substances are from the group including TNT, PETN, RDX, HMX, Ammonium Nitrate, Plutonium, Uranium, and drugs. 18. The method of claim 1, wherein the confounders include materials from a group consisting of nylon and food. 19. The method of claim 1, wherein the shielded apparatus has dimensions of no more than six meters in length, three meters in width, and three meters in height. 20. A method of determining whether explosives or controlled substances are present in an object comprising confounders, the method comprising:irradiating the object with neutron particles a first time from a plurality of neutron sources by pulsing the neutron sources simultaneously wherein the object generates gamma rays;detecting the gamma rays emitted by substances contained within the object in response to the first irradiation;determining gamma counts with a plurality of gamma ray detectors;isolating common eigen value signatures of the substances contained within the object to generate signature data;forming a correlation function of the signature data;decomposing wavelets of the correlation function of the signature data;comparing the incoming decomposed signature data with a library of signatures to determine if at least one of an explosive or controlled substance is present in the object; andirradiating the object with neutron particles a second time by pulsing the neutron sources sequentially if it is determined that an explosive or controlled substance is present in the object to more accurately identify the location of the explosive or controlled substance in the object. 21. The method of claim 20, further comprising:detecting the gamma rays emitted by substances contained within the object in response to the second irradiation; andidentifying the location of the explosive or controlled substance within the object based on the detected gamma rays emitted by substances contained within the object in response to the second irradiation.
055240429
abstract
An exit window for an X-ray lithography beamline having a shape and thickness such that the exit window can withstand a pressure differential of at least 14.7 psi and allows an X-ray beam as passed through the window to have X-rays above and below a desired energy band substantially attenuated. The exit window includes a thin material having a window section disposed within an opening of a frame and a peripheral section which is integral with the window section and extends within the frame. The window section has a shape that is substantially concave along its width, substantially linear along its length and tapers to a flat surface near the periphery of the opening. A method of scanning the X-ray beam through a stationary exit window and onto an exposure field on a wafer is also disclosed.
053735412
description
DESCRIPTION OF PREFERRED EMBODIMENTS The drawing FIGURE shows a cross-section of a fuel rod with heavy fuel pellets 3 in a cladding having an inner layer 2 and an outer layer 1. Some test results showing the advantages of cladding compositions in accordance with the invention will now be given. Compositions Containing Tin, with a Low Tin Content and a High Oxygen Content Tests were first performed to determine the consequences of reducing the tin content as compared with those in a conventional Zircaloy 4 having an oxygen content of 0.12%, and in alloys for making the outer layer having a low tin content for reducing corrosion in a high temperature aqueous medium. The greatest differences relate to resistance to thermal creep under conditions representative of those found in a reactor at 400.degree. C. over a period of 240 hours and under a stress of 130 MPa. Diameter deformation was then as follows: alloy A (Zircaloy 4 having 1.5% tin and 0.12% oxygen): 1.3% PA1 alloy B (Zircaloy 4 having 1.3% tin and 0.12% oxygen): 1.5% PA1 alloy C (alloy having only 0.5% tin and 0.12% oxygen): 3.6% PA1 alloy D (alloy having 0.5% tin and 0.19 to 0.2% oxygen): 1.7% to 1.8%. PA1 alloy E: 1% niobium and 0.08% to 0.10% oxygen PA1 alloy F: 1% niobium and 0.125% oxygen. PA1 recrystallized alloy A: 0.5% to 0.6% PA1 recrystallized alloy B: 1% PA1 recrystallized alloy E: 0.60% PA1 recrystallized alloy F: 0.25% to 0.30%. PA1 under thermal creep testing at 400.degree. C., under 130 MPa for a period of 240 hours, typical diametral deformation was as follows: PA1 (a) oxygen doping of the D-type alloy constituting the outer layer of the B/D duplex tubes makes it possible PA1 (b) the high oxygen content in alloy F of the A/F duplex These results were obtained with an alloy in stress-relieved condition. It can be seen that the substantial increase in oxygen content makes it possible, with an alloy having a very low tin content, to obtain resistance to thermal creep and thus resistance under radiation almost equivalent to that of a Zircaloy 4. Creep values thus obtained are compatible with the design requirements of a fuel rod. In addition, creep tests under conditions representative of LOCA conditions show, in particular for certain temperatures (of higher end range .alpha., ranges .alpha.+.beta. and .beta.) that the high temperature creep behavior of alloy D doped with O.sub.2 is comparable to or even better than that of Zircaloy 4 alloys A and B in terms of fracture time and of ductility. Tests for measuring the yield strength under tractive force and in bursting, mostly at ambient temperature, have also shown a clear deterioration relative to standard Zircaloy 4 when the tin content is reduced to 0.5% and in the absence of an increase in the oxygen content. These tests have shown that substantially the same resiliency limit is obtained as with Zircaloy 4 containing 1.5% tin when the relaxed alloy contains 0.19% to 0.20% oxygen. These favorable results are obtained with an alloy that is stress-relieved: If on the other hand the alloy is recrystallized, then the deterioration in the ability to withstand thermal creep caused a decrease in the tin content remains, even with a high oxygen content. However, it may be preferred, in certain cases, when it is essential to obtain long term stability in a reactor and to provide the inner layer with particularly high resistance to corrosion, to recrystalize the entire cladding by a final thermal treatment. Then that treatment may be carried out at 780.degree. C..+-.25.degree. C. Composition Further Comprising Silicon An amount of silicon of up to 200 ppm may be added to improve resistance to generalized corrosion, while it has no substantial effect on the nodular corrosion (which is present in BWRs rather than PWRs). Compositions Containing Niobium, at Low Niobium Content and with Different Oxygen Contents For an outer layer containing tin and not having an appreciable niobium content, an oxygen content that is much higher than that of usual Zircaloy 2, 3 and 4 type alloys makes it possible to obtain mechanical characteristics that are close to those of Zircaloy 4, in particular when the alloy is in a relaxed state. When the outer layer has niobium as its only metal additive (apart from inevitable impurities), then the alloy in relaxed state, even when it has a high oxygen content, presents very poor resistance to thermal creep. This drawback is avoided by using an alloy that is doped with oxygen, and by simultaneously subjecting the cladding to final heat treatment for recrystallization purposes. In addition, for this ZrNb alloy, recrystallizing and adding oxygen also make it possible to considerably improve resistance to corrosion under stress in the presence of iodine, to improve the resistance limit to fatigue, to reinforce its conventional mechanical characteristics, and to return to a resistance to LOCA (loss of primary coolant accident) that is as good as that of Zircaloy 4 in the recrystallized state. Comparison Between Zircaloy 4 Alloys and Alloys Containing Niobium The same comparison as above was performed between (a) alloys A and B and (b), the following niobium alloys E and F: The alloys containing only 1% niobium, even when heavily doped with oxygen, have mechanical characteristics when stress-releaved they are too unfavorable, in particular with respect to creep, for it to be possible to envisage using them. In contrast, tests performed on alloys in the recrystallized metallurgical state have shown advantage of oxygen-doped alloy F. The following results are obtained for thermal creep at 400.degree. C. over a period of 240 h under 130 MPa: In addition, measuring yield strength has shown that the degradation in mechanical characteristics on passing from alloy A or B to alloy E is almost totally compensated with alloy F. The results of a comparison between solid cladding made of alloy A or B, i.e., Zircaloy 4, and duplex cladding having an inner layer occupying 80% of its thickness and an outer layer occupying 20% of its thickness, the inner layer being made of alloy A or B and the outer layer of alloy C, D or F, will now be given. The results obtained were as follows, for the recrystallized state: solid alloy A: 0.30-0.40% PA2 solid alloy B: 1% PA2 Duplex B/C: PA2 Duplex B/D: 1.1%-1.25% PA2 Duplex A/F: 0.75%-0.85%. PA2 During bursting tests at 400.degree. C., the R.sub.P0.2 elastic limits are as follows: PA2 to improve resistance to hot creep and to improve mechanical characteristics as compared with the B/C duplex that has little oxygen; and PA2 to bring these properties up to the same level as those obtained using solid alloy B. PA2 gives rise to increased resistance to creep and improved mechanical characteristics; and PA2 makes it possible to obtain properties that are close to or better than those of solid alloy B. solid alloy A: 215 MPa PA3 solid alloy B: 182 MPa PA3 Duplex B/C: 176 MPa PA3 Duplex B/D: 194 MPa PA3 Duplex A/F: 187 MPa. An analysis of these results shows that: In addition, selecting the recrystallized state in combination with oxygen doping for the B/D duplex and the A/F duplex also makes it possible to obtain improved corrosion resistance under stress and in the presence of iodine, to improve as regards growth under irradiation and to obtain a phase texture of the crystal lattice that is more radial. As a general rule, an amount of dopeing oxygen improves mechanical resistance and particularly yield strength. Recrystallization of the inner layer, when caused by the final thermal treatment, increases resistance to corrosion under stress by iodine from the fuel. Recrystallization of the entire cladding, when caused by the final treatment, increases overall resistance to hot creep of the cladding.
053612922
abstract
A series of segments of a parent aspheric mirror having one foci at at a si-point source of radiation and the other foci at the radius of a ring field have all but one or all of their beams translated and rotated by sets of mirrors such that all of the beams pass through the real entrance pupil of a ring field camera about one of the beams and fall onto the ring field radius as a coincident image as an arc of the ring field.
043953808
description
FIG. 1 illustrates diagramatically a type of nuclear reactor installation for generating electric power which includes a containment building 1 above a reactor 2 illustrated by a rectangle in FIG. 1. The building 1 is circular at its base around a vertical axis 3 and has a hemispherical dome. The exterior portion 4 of the building 3 usually is made of reinforced concrete which is interiorly lined with steel sheet 5. A polar crane 6 supported by a rail 7, in circular form, is rotatable around the axis 3. Above the crane 6 is a plurality of headers 8-11, being respective pipes formed into rings, each having a plurality of stainless steel spray nozzles 12 extending therefrom. When the situation requires it, cooling water is supplied to the headers 8-11 through risers 13 and 14, and the water flows out of the nozzles 12. However, normally, the risers 13 and 14 and the headers 8-11 do not contain any liquid. The nozzles 12 may extend at various angles from the headers 8-11, but usually, the axes of some of the nozzles 12 are horizontal and the axes of other nozzles 12 extend at an angle of 45.degree. to the plane of the associated header. Thus, FIG. 3 shows a nozzle 12 having its axis 15 at 45.degree. to the plane 16 of the header 10, and FIG. 4 shows a nozzle 12 having its axis 15 parallel to the plane 16 or horizontal. The nozzles 12 may, for example, be nozzles of the type sold by Spray Engineering Company of Burlington, Mass., under the model number 1713A and are each attached to the headers by one inch nipples 17 threaded into the nozzles 12 and into bosses 18 in the headers. Thus, the radially outermost surfaces of the nozzles 12 are about six inches from the outer periphery of the headers. The external diameter of the header pipes may be about eight inches, and the ring diameters of the headers may be about fourteen feet for the header 8 and about 119 feet for the header 11, the headers 9 and 10 having intermediate sized ring diameters as indicated in FIG. 1. The nozzles 12 may be spaced apart by a distance in the range from about one and three-quarters feet to about five feet, and the vertical spacing between the headers may be on the order of five feet. The upper surface, or a catwalk surface 19 of the crane 6 may be sixty-two feet from the floor 20 of the building 1, and the spacing between the surface 19 and the lowermost header 11 may vary between fifteen and sixty feet depending on the installation. It is apparent, therefore, that it is difficult to visually observe or reach each nozzle 12 from the surface 19 and, as previously indicated, it usually is necessary to determine periodically whether or not the nozzles 12 are open, i.e. to permit free flow of a coolant spray therethrough. To determine the effects of a supply of heated air to headers with nozzles 12, a series of temperature measurements were made on a pipe 24 (FIGS. 5-8) with nozzles 12 thereon while heated air under pressure was supplied to the pipe 24. The heated air was supplied to the pipe 24 by a hair dryer rated to supply 40 c.f.m. of air at 300.degree.-400.degree. F., and the air temperature in the pipe 24 varied with time. Thermocouples were attached to points corresponding to the points 26, 27 and 28 (FIG. 4) and the following results were obtained on one of the nozzles, the results for other nozzles being similar: ______________________________________ Nozzle Time Temperature .degree.F. Condition Min. Header Temp. .degree.F. At 26 At 27 At 28 ______________________________________ Plugged 0 74 77 78 80 Plugged 3 156 -- -- -- Plugged 5 172 -- -- -- Plugged 9 182 -- 82 -- Plugged 17 193 109 89 84 Plugged 30 201 118 97 84 Plugged 45 208 129 105 84 Open 0 73 75 74 79 Open 12 167 119 122 153 Open 30 186 151 153 173 ______________________________________ As will be seen from the foregoing data the temperature of the nozzle 12 immediately adjacent the pipe 24, after a period of a few minutes, is many degrees lower than the temperature of the adjacent header whether the nozzle 12 is plugged or open, and after the same period of time, the temperature of the plugged nozzle at such position 26 is several degrees lower than the temperature of the open nozzle at such position 26. At positions 27 and 28, the temperature of a plugged nozzle 12 is less than the temperature at position 26 thereon, and is always substantially less than the temperature at the positions 27 and 28 on an open nozzle 12. It has been found that available infrared camera equipment can distinguish between radiating body temperatures as small as one-half degree F., and such equipment can readily distinguish between a plugged nozzle 12 and an open nozzle 12, or even between a restricted nozzle 12 and an open nozzle 12, at distances between the infrared camera 22 and the nozzles 12 of one hundred feet or more, provided that the nozzles 12 are far enough apart to permit the camera 22 to separate the images of the nozzles in the thermogram, e.g. twenty inches or more with the camera 22 which was used. As is known, an infrared camera provides a two dimensional thermogram, such as on a cathode ray tube, which may be photographed, and the thermogram does not show flowing, heated gases. From experiments which I have conducted, I have found that the thermogram of a blocked nozzle 12 differs significantly from the thermogram of a nozzle 12 which is not blocked. Thus, FIG. 5 represents a side view thermogram of a pipe 24 having secured thereto a pair of nozzles 12 which are not blocked when heated air under pressure is supplied to the pipe 24, and FIG. 6 represents the thermogram of the same pipe 24 and nozzles 12 under the same conditions but with the nozzles 12 completely blocked. FIGS. 7 and 8 represent, respectively, thermograms of the nozzles 12 unblocked and blocked and under the same conditions, the thermograms in FIGS. 7 and 8 being taken from along the axis of the pipe 24. By comparing the thermograms, it can be seen readily that when a nozzle 12 is open or unobstructed, it clearly appears as a projection on the pipe 24 and that when a nozzle 12 is blocked, it appears only as a small protrusion at the periphery of the pipe 24. Thermograms of headers 8-11 and nozzles 12 made with the method of the invention in an installation of the type illustrated in FIG. 1 and heretofore described are very similar to those illustrated in FIGS. 5 and 6. In the experiments which I have conducted, I have used a model 750 infrared camera system manufactured by AGA Infrared Systems AB of Lidingo, Sweden because of its sensitivity and narrow field of view, but other known types of cameras may be used as the camera 22. Various types of infrared cameras and their operation are described at pages 89-92 of the October, 1977 issue of the magazine entitled "Power", published by McGraw-Hill Publishing Co., New York, N.Y. and performance and operation information relating to such cameras is readily available from the manufacturers of such cameras. In accordance with the testing method of the invention, the risers 13 and 14 are disconnected from the water source, such as at the point 21 (FIG. 1). Each is then successively connected to a source 25 of heated gas under pressure (FIG. 2), and the headers 8-11 and the nozzles 12 are scanned with an infrared camera 22 containing an infrared detector, the camera being mounted on the surface 19. While the camera scanning is in process, the crane 6 is rotated at a slow rate, e.g. one revolution in about twenty-one minutes around the building axes 3 which corresponds with the axis of the ring shaped headers 8-11, so that during one revolution of the crane 6 each nozzle 12 (except possibly the nozzles 12 on the header 8) is examined with the camera 22 from its position shown in FIG. 1. The proper position for the camera 22 on the surface 19 depends upon the relative positions of the headers 8-11, but the position of the camera 22 is selected so that each nozzle 12 can be observed by the camera 22. It has been found, for example, that the camera 22 may be located near the circumference of the surface 19 to observe the nozzles 12 on the headers 9, 10 and 11 and should be moved inward from the circumference to another location on the surface 19 to examine the header 8. The model 750 infrared camera system of AGA Infrared Systems AB has a 100 mm. lens, a 7.degree. by 7.degree. field of view, and uses liquid nitrogen to cool the infrared detector. Because of the use of liquid nitrogen, the camera cannot be directed at an angle greater than 45.degree. to the horizontal. Accordingly, when observing the headers 9, 10 and 11 and the nozzles 12 thereon, I have used a mirror 23 at which the camera, in a horizontal position, is directed, and the angle of the mirror 23 is selected so as to observe the headers 9, 10 and 11 and the nozzles 12 thereon. However, when observing the nozzles 12 on the header 8, it is possible to direct the camera at the header 8 without interfering with the detector cooling, and therefore, the mirror 23 may be omitted when there is adequate space and the camera can be tilted sufficiently to permit the desired observations. For calibration or comparison purposes, one or more headers 8-11 may be equipped, when they are installed, with a plugged nozzle at a known position, but this is not essential. The heated gas which is supplied to the headers 8-11 may be any non-corrosive gas which can be heated to the desired temperature and which will not create undesirable conditions within the building 1. The preferred gas is clean, heated air, and in the successful tests which I have performed in an installation of the type shown in FIG. 1, I used, as the source 25, an oil-free compressor manufactured by Atlas-Copco, Wayne, New Jersey, with the air cooling, heat exchanger removed. The pressure of the air at the outlet of the compressor was 100 p.s.i. and the temperature thereof was between 250.degree. F. and 280.degree. F. The temperature of the air in the risers 13 and 14 was about 145.degree.-150.degree. F. While the air temperature need not be so high, it should be high enough so that the temperature of a nozzle 12 becomes at least one-half degree F. above the ambient temperature which I have found my testing technique to be sensitive enough to detect. Preferably, the temperature of the air issuing from the nozzles 12 is a few degrees, e.g. 5.degree. F., above the ambient temperature and most preferably, the temperature of such air is at least 100.degree. F. and not greater than 200.degree. F. Since the camera also views the background for the headers 8-11, which background includes the dome of the building 1 and the lining 5, the ambient includes such background and must be taken into account. While the invention has been described in connection with the remote testing of spray nozzles on headers, it will be apparent that the invention may also be used to remotely test the flow through any tubular extension of a pipe or tube to which a heated fluid under pressure can be supplied. While a heated gas is preferred when installations like the spray system described are tested, it will be apparent that a fluid other than a gas may be used if outflow of the fluid from the tubular extension is permissible or tolerable. In the embodiment of the invention illustrated in FIG. 1, there is a polar crane 6 within the containment building, and the camera 22 is mounted thereon. In some installations a crane 6 may not be present, and there may be a catwalk around the interior of the building which is above the floor 20. In such installations, the camera 22 may be mounted on the catwalk or on the floor 20 and may be moved in any desired manner so as to provide the desired thermograms. In other words, the camera 22 may be located in any convenient position or positions which will permit observation of the nozzles 12. Although preferred embodiments of the present invention have been described and illustrated, it will be apparent to those skilled in the art that various modifications may be made without departing from the principles of the invention.
description
This invention was made with Government support under Contract No. HR0011-14-C-0094 awarded by the Defense Advanced Research Projects Agency. The Government has certain rights to this invention. This application is the United States national phase of International Application No. PCT/EP2015/080822 filed Dec. 21, 2015, and claims priority to Swiss Patent Application No. 02024/14 filed Dec. 22, 2014, the disclosures of which are hereby incorporated in their entirety by reference. The present invention relates to the technology of detecting neutrons. It refers to a neutron conversion foil. It further refers to a neutron-detecting device with such a foil; and it refers to a method for operating such a neutron-detecting device. Document WO 2012/007734 A2 describes a radiation detector for neutrons and gamma rays with a conversion layer comprising a neutron capturing material and a phosphor, such that neutrons are converted into light signals. These light signals are then allowed to enter into a light guide, the light guide contains a wavelength shifter that absorbs the light and reemits at a longer wavelength. Reemission is isotropic, such that a fraction of the reemitted light can be transported via total internal reflection inside the light guide, until detected via a photoelectric converter, e.g. photomultiplier or similar device. In one embodiment, the light guide may be a scintillator. Document CA 2 312 593 A1 describes a scintillator surrounded by a neutron conversion layer within a gamma radiation shield. The scintillator detects gamma rays of characteristic energy emitted by said conversion layer upon neutron capture. Document US 20050258373 A1 discloses a neutron detector technology based on <10>B thin film conversion of neutrons and detection of neutron capture reaction products in a counter gas within a thin straw tube detector body is described. This neutron detector is based on gas-filled thin wall straw tubes, modified for the conversion of neutrons in a very thin coating, or layer, of <10>B, applied for example as a sputter-coated film of <10>B4C, that lines the interior, or inside of the straw tube surface; and the sub-sequent detection of the neutron reaction products in the counter gas. Document WO 2007/121876 A2 describes a radiation detector based on noble gas scintillation, where pulse shape discrimination is used to distinguish different particle interactions, such as for example fast neutrons from gamma rays. Document U.S. Pat. No. 8,519,350 discloses surfaces or surface portions incorporated into gas-filled neutron detectors, which are coated with and/or composed of at least partially, neutron reactive material. The surfaces may be flat or curved fins or plates, foils, porous or filamentary material, or semi-solid material or aerogel. The incorporation of the extended surfaces coated with or composed of neutron reactive material increases the neutron detection efficiency of the gas-filled detectors over conventional coated designs. These surfaces or surface portions increase the amount of neutron reactive material present in the detector over conventional coated designs and, as a result, increase the neutron detection efficiency. The surfaces can be made of conductive, semiconductive or insulative materials. The surfaces are arranged such that they do not detrimentally detract from the main function of a gas-filled detector with particular attention to gas-filled pro-portional detectors. Document US 20130146775 A1 describes a radiation detector for neutrons and gamma rays. The detector includes a conversion screen comprising a mixture of a neutron absorbing material, e.g. containing 6Li, and a phosphorescent material, e.g. ZnS(Ag) and a wavelength-shifting light-guide arranged to receive photons emitted from the phosphorescent material and generate wavelength-shifted photons therefrom. The wavelength-shifting light-guide is doped so as to form a gamma-ray scintillator material operable to generate scintillation photons in response to a gamma-ray detection event therein. A photo detector is optically coupled to the wavelength-shifting light-guide and arranged to detect the wavelength-shifted photons and the scintillation photons. Signals from the photo detector are processed to distinguish neutron detection events from gamma ray detection events. However, there is still a need to simplify the detectors and improve the efficiency for detecting neutrons. It is an object of the invention, to improve neutron-detecting technology by simplifying the structures used and improving the neutron detecting efficiency. These and other objects are obtained by a neutron conversion foil, a neutron-detecting device using this foil, and a method for operating such neutron-detecting device as disclosed herein. The neutron conversion foil according to the invention comprises a substrate having a first and second side, whereby said substrate is covered at least on one of said first and second sides with a neutron conversion layer made of a neutron reactive material and being capable of capturing neutrons to thereafter emit light and/or charged particles, whereby said neutron conversion foil is transparent to light such that light originating from the conversion of neutrons can pass through one or several of said neutron conversion foils and thereafter be collected and detected by a light sensing device. According to an embodiment of the invention that said substrate is covered on said first and second sides with a neutron conversion layer made of a neutron reactive material and being capable of capturing neutrons to thereafter emit light and/or charged particles. According to another embodiment of the invention said neutron conversion layer contains Li-6 or B-10. Specifically, said neutron conversion layer contains LiF and a suitable binder in a weight ratio between 1:1 and 15:1, and that appropriate measures such as LiF nanosizing or refractive index matching are performed to ensure high transparency. Specifically, said neutron conversion layer has a layer thickness of between 1 μm and 40 μm, especially between 3 μm and 20 μm. According to a further embodiment of the invention said substrate is a transparent PET foil. Specifically, said transparent PET foil has a thickness of between 2 μm and 19 μm. According to just another embodiment of the invention each of said neutron conversion layers is overcoated with a wavelength shifting layer being capable of shifting short wavelength light impinging upon it and reemitting light with a wavelength to which said neutron conversion foil is transparent, Specifically, said wavelength shifting layer contains Tetra Phenyl Butadiene (TPB), an organic wavelength shifter, or an organo silicate compound. More specifically, said wavelength shifting layer has a layer thickness of between 0.05 μm and 1 μm, especially between 0.05 μm and 0.2 μm. According to another embodiment of the invention the scintillating medium emits light of a wavelength that does not require any further wavelength shifting on the converter foil. This may be achieved by doping noble gas appropriately, for example with nitrogen. According to another embodiment of the invention said substrate is a mesh. The neutron detecting device according to the invention comprises a scintillation volume filled with a scintillating material such as a noble gas, whereby one or more neutron conversion foils according to the invention are provided in said neutron detecting device to be in optical contact with said scintillating material such that charged conversion products arising from said neutron capture in said neutron conversion foil(s) escape into said scintillation volume and produce light, to which (if necessary after being shifted to the correct wavelength by a wavelength shifter) said neutron conversion foil(s) is (are) transparent. An embodiment of the neutron-detecting device according to the invention is characterized in that at least one light-sensing device is provided in optical contact with said scintillation volume. Specifically, said at least one light sensing device is a solid state light sensor, especially one of a silicon photomultiplier (SiPM) or pixelated Geiger mode avalanche photodiode. Specifically, said scintillation volume is composed primarily of a noble gas such as helium, argon or xenon or a mixture of noble gases, such as helium doped with xenon. More specifically, said scintillation volume is predominantly filled with helium, thereby allowing the simultaneous measurement and distinction of fast neutrons, thermal neutrons, and/or photons and electrons produced by the interaction of photons with a detector wall. Alternatively, said scintillation volume may be predominantly filled with xenon, thereby allowing gamma spectrometry to be performed while also measuring neutrons. Specifically, said scintillation volume contains predominantly PVT or a liquid scintillator, thereby allowing the simultaneous measurement of gammas and neutrons. Specifically, said at least one solid state light sensor is arranged within said scintillation volume. Furthermore, an in-situ gas purification device such as a getter may be immersed in the gas of said scintillation volume, thereby assuring a stable gas composition. Another embodiment of the neutron detecting device according to the invention is characterized in that said scintillation volume is surrounded by a highly reflective material in the area of which a plurality of light sensing devices can be interspersed. The scintillation volume (in which the neutron converter foil(s) are immersed) is surrounded by highly reflective material in order to efficiently reflect light directly or indirectly to one or more light sensors. A further embodiment of the neutron-detecting device according to the invention is characterized in that plural neutron conversion foils are arranged in parallel in said scintillation volume. According to another embodiment of the invention neutron detecting device is part of a detector system, wherein a plurality of detector subunits are connected with a control center for evaluating detector data via a wireless network. Especially, said neutron detecting device may be part of at least one of said detector subunits. Furthermore, said neutron detecting device may be connected within said detector subunit to a single board computer, which itself is connected to a network unit and comprises detector software and a data aggregation software/network protocol. Moreover, a GPS unit for determining the actual position of said detector subunit may be connected to said single board computer. According another embodiment of the invention the presence, the intensity and/or the type of gamma radiation interacting with the scintillating volume is determined by analyzing the distribution of the energy spectrum of the interaction events accumulated during a predetermined period of time of operation, especially in a range from 1 to 100 seconds. According another embodiment of the invention two overlapping spectral distributions resulting from the simultaneous interaction of gamma radiation and neutron radiation with the scintillating volume and/or the converter foil and being accumulated during a predetermined period of time of operation, especially in a range from 1 to 100 seconds, are analyzed employing statistical methods, whereby a net neutron count rate is determined by subtracting the spectral response obtained by the gamma radiation from the total spectrum. In the inventive method for operating a neutron detecting device according to the invention signals arising from a neutron conversion in said one or more neutron conversion foils are discerned from signals arising from said scintillation volume by pulse shape discrimination, whereby the signals involving light emitted by said one or more neutron conversion foils typically have a different time structure than the signals from said scintillation volume. Specifically, light signals arising directly or indirectly from said neutron conversion in said one or more neutron conversion foils are discerned from signals from said scintillation volume alone by pulse shape discrimination, whereby the signals from neutron conversion typically have a different time structure than the signals from said scintillation volume. This invention bears similarity with US 20130228696 A1 (see FIG. 20) in that several thin converters are stacked in order to achieve high neutron detection efficiency. Similar to US 20130228696 A1, the converters are thin enough so as to allow escape of neutron conversion products into the gas. Unlike US 20130228696 A1, the present invention collects light to detect an optical signal, thus there is no need for one or more electrodes to generate an electric field for charge signal collection across the cavity. In the present invention, the converters are optically transparent, allowing optical signals to pass through converters as they get collected onto the surface of a light-sensing device such as a silicon photomultiplier (SiPM). An aspect of the present invention is an optically transparent neutron converter foil. Transparent in this case means that the foil absorbs only a few % (preferably not more than 1%) of the visible light (especially with a wavelength around 420 nm +/−30 nm). FIG. 1 shows in a longitudinal cross section the structure and function of a single-sided neutron converter foil according to an embodiment of the invention. The neutron converter foil 10 comprises an optically transparent substrate 11, which is on one side (upper side in FIG. 1) covered or coated with an optically transparent neutron converter layer 12 and an optically transparent wavelength shifting layer 13. Substrate 11 is in this case a transparent PET foil with a thickness of between 2 μm and 19 μm. Neutron converter layer 12 contains Li-6 or B-10. Preferably, it contains 95% or higher enriched 6LiF and a suitable binder in a weight ratio between 1:1 and 15:1. It has a layer thickness of between 1 μm and 40 μm, preferably between 3 μm and 20 μm. The coating of substrate 11 with neutron converter layer 12 is performed in such a way that the coating is highly transparent and adheres well to substrate 11. Furthermore, appropriate measures such as nanosizing LiF crystals or refractive index matching are performed to ensure high transparency. Thin wavelength shifting layer 13 is capable of shifting short wavelength light 15 impinging upon it and reemitting (visible) light 16 with a wavelength to which neutron conversion foil 10 is highly transparent (light 16′ in FIG. 1). Wavelength shifting layer 13 contains Tetra Phenyl Butadiene (TPB), an organic wavelength shifter, or an organo silicate compound, and has a layer thickness of between 0.05 μm and 1 μm, especially between 0.05 μm and 0.2 μm. As said before, if short wavelength light 15, such as light emanating from a neighboring noble gas scintillation incident 14, falls upon wavelength shifting layer 13, it gets shifted to visible light 15. The converter membrane is highly transparent to visible light, so that light 16′ may cross the foil without notable absorption. Neutron converter foil 10 of FIG. 1 is a single-sided foil, i.e. substrate 11 is coated with layers 12 and 13 only on one side. However, when the foil is arranged in a scintillation volume, thereby surrounded by a noble gas or other scintillation means, it is favorable to have a double-sided neutron converter foil 10′ as shown in FIG. 2. In this embodiment, both sides of substrate 11 are coated with neutron conversion layers 12, 12′ and wavelength shifting layers 13, 13′. Again, neutron converter foil 10′ is highly transparent to visible light 16′, which for example has been shifted by one of the wavelength shifting layers 13 and 13′. Thicknesses and layer materials of the various layers are the same as for neutron converter foil 10 of FIG. 1. According to another preferred embodiment shown in FIG. 3, the substrate 11′ is a mesh of polystyrene, polyamide, or other suitable material. Neutron converter foil 10″ in this case has the advantage that more neutron conversion material in mesh-embedding neutron conversion layer 12 is ready to convert a neutron into respective decay products, thereby achieving higher efficiency with thinner coatings while minimizing the energy loss of decay products in the substrate. An important functionality of the converter membranes or foils 10, 10′ and 10″ is shown for neutron converter foil 10′ in FIG. 4: Incoming neutrons 18 get captured by the neutron converting material, decaying into decay products 17 that can escape into the neighboring scintillation volume causing a scintillation incident 14. Short wavelength scintillation light 15 gets shifted to visible light 16, to which the membrane or foil 10′ is transparent. FIG. 5 shows an embodiment of the neutron detecting device (detector) 20, where several such double-sided membranes or foils 10′ are suspended in a scintillation volume 19, which is for example filled with a noble gas. The surrounding walls are covered with a highly reflective material A such as a dielectric mirror or diffuse reflector with one or more apertures (not shown in FIG. 5), through which photons can impinge on one or more light sensitive devices (B in FIG. 6), such as Silicon Photomultipliers (SiPMs) (41 in FIG. 8). Visible light 16, 16′ emanating from the various wavelength shifting layers crosses the foils 10′ nearly without attenuation, is reflected reflecting walls (A) and can be collected by light sensitive devices. FIG. 6 shows another embodiment of the invention, where in neutron detecting device 20′ converter membranes 24 are placed in a scintillation volume 23 between opposite reflectors 21 and 22. For each converter membrane 24, a transparent LiF coating is applied to both sides of a substrate 25 made of quartz, polyvinyltoluene or other transparent material. However, care must be taken to keep the transparent layers sufficiently thin, such that gamma radiation does not deposit large amounts of energy by scintillation. Again, decay products (triton 28) of a captured neutron cause a scintillation incident 27, the light of which reaches light sensitive device 29 after crossing the foils 24 several times. FIG. 7 shows a possible low cost signal-processing scheme 30 for an array of several detectors 31 according to this invention. The signal-processing unit is composed of a fast multi-channel analogue front-end with 32 channel ASICs units 32 that amplify the signals generated by the SIPMs with detectors 31 and apply a threshold. In a second step, the discriminated signal is fed into a field programmable gate array (FPGA) 33 that measures the pulse width of the signal. Particle to particle and particle to noise discrimination is achieved by applying time coincidence as well as pulse width requirements by means of the FPGA 33. The various sub-units are supplied with power by power supply 34. A microcontroller 35 is used to read-out the FPGA 33, regulate the power voltage on the power supply 34 and manage the device communication with external interfaces. FIG. 8 shows an embodiment of the invention, where in a cascading scheme 36 the number of channels 38, 39 of the signal processing electronics (coincidence unit 37) is kept low by cascading several SiPMs 41 of unit cells 40 for cost reasons. FIGS. 9 to 14 show a preferred embodiment of a neutron detecting device or detector 42 according to the invention, where a double-sided neutron converter membrane 47 of the kind shown in FIGS. 2 to 4 has a total area of about 5 m2, arranged in a meandering or accordion style manner in a longitudinal tube 43 (preferably of steel), which is closed by a closure head 44 and contains a scintillation volume 43a filled with noble gas, or the like, through a valve 45 or pinch-off provided on said closure head 44. Neutron converter membrane 47 is kept in place by a series of parallel moderating plates 46 oriented perpendicular to the longitudinal tube axis, and being assembled from two separate plate elements 46a and 46b with intertwining fingers (see FIG. 12) that subdivide the gas scintillator volume. The scintillating noble gas is slightly pressurized and contained by tube 43, which may have a diameter of 10 cm. The inside of tube 43 is lined with a highly efficient reflector. Printed circuit boards 50 (FIG. 11) with surface mounted SiPMs run down the center of the detector tube 43 and are connected with outside by means of a connecting cable 48. FIGS. 13 and 14 show a possible assembly method of detector 42 according to FIGS. 9 and 10. A foil reel of a (double-sided) converter membrane or foil 47 is used to supply one foil of a width comparable to the length of tube 43. The stack of moderating plates 46 is kept in place, whereby the upper plate elements 46a or 46b have been removed to get access to the open finger structure of the remaining plate elements 46b or 46a. Then, a sufficient length of foil 47 is unrolled from reel 51 and pushed into the slots 53 of the finger structure by means of a parallel arrangement of guide or pushing rods 52. When the foil 47 has reached its final position (FIG. 14) thereby showing the meandering membrane pattern 49 of FIG. 12a, the guide rods 52 are removed and all moderating plates 46 are assembled again so that the meandering foil 47 is held in and supported by the plates 46. The detector 42 of FIGS. 9 and 10 can be used in different arrangements. FIG. 15 shows, in a top view, a diagonal two-detector arrangement 54 with detectors 42 according to FIGS. 9 and 10 in a container 55 with square cross section. FIG. 16 shows linear multi-detector arrangement 56 with detectors 42 according to FIGS. 9 and 10 in a serial arrangement in a flat rectangular container 57, whereby a common connecting cable 58 is used to connect the internal SiPMs to the external signal processing means (not shown). FIG. 17 shows an embodiment in which the foil or converter membrane 47 can be self suspended by gluing or welding several foils together in defined points such as to form a multidimensional structure that maximizes converter area while keeping foils sufficiently separated to allow neutron capture products to deposit maximal energy in the scintillating gas in scintillation volume 19 without colliding with an obstacle. Alternatively, such structure may be achieved by cleverly packing self-supporting geometrical shapes—such as small cylinders and cones—made of converter foil. The ability to detect and respond to the unauthorized transportation, accidental release or terrorist release of various radioactive materials over a wide area is becoming more and more probable due to the break-up of countries having nuclear weapons and nuclear reactors. Radioisotope smuggling and black market sales of radioactive material has increased substantially in the past years. Therefore, the neutron detecting device according to the invention is a valuable tool for being part of a detector network that enables authorities to detect actual locations and moving profiles of such radioactive material. Some proposals in this area have already been made in the past. Document US 2014/224964 A1 discloses a system including at least one imager having a pixelated chip that is capable of relaying information regarding the interaction of the high energy particle with the pixel while simultaneously obtaining an image, a central command center with a processor for receiving and interpreting said information from the imager, and for issuing operating instructions to remotely control the imager, and means for communication between the imager and the central command center. The system may also include at least one processor that is in communication with the imager, which is able to determine that a pixel or pixels have interacted with one or more high energy particle. Document US 2009/012745 A1 discloses a radiation detection system including many receivers to continuously receive radiation emission data from at least some of a sufficient density of dispersed detectors capable of communicating geo-positions and photon emission counts over a network; the data includes gamma intensities, time stamps, and geo-positions. A processor builds digital image data of the received radiation data for a geographic area by treating gamma-ray proton data from each dispersed detector as a pixel in a low-light image. The processor continuously executes a plurality of statistical computational analyses on the digital image data to separate detected radiation signals from random, undesired signal noise, and known signal noise or sources. The statistical computational analyses include match-filter and/or other convolution techniques. An interface reports to a user when the computational analyses result in detection of a radiation signal and reports a location of one or more of the dispersed detectors that contribute to the detection. Document U.S. Pat. No. 8,502,158 A shows a detection unit for detecting ionizing radiation including a crystal that interacts with incoming radiation; a processing module that analyzes the incoming radiation detected by the crystal; a positioning module that determines position of the detection unit; and a network interface module that receives and transmits time stamped radiation data and position information from/to a plurality of other detection units. The detection unit automatically identifies other detection units that are located close to form a cluster. The detection unit also includes radiation data integration logic that integrates the incoming radiation data from all detectors in cluster, the position of the detection unit, the received radiation data from other detection units and the received position information from other detection units in real time, and process it simultaneously, that allows significantly improve performance and reliability. As shown in FIGS. 18 and 19, a neutron detecting device 61 according to the invention may be part of a (e.g. wide area) detector system 60, wherein a plurality of detector subunits 59 are connected with a control center 68 for evaluating detector data, which have been sent to control center 68 via a wireless network 67. The neutron detecting device 61 according to the invention may be part of at least one of said detector subunits 59. The subunits 59 may be stationary and/or mobile, i.e. mounted in a car or carried by a person. Within such detector subunit 59 neutron detecting device 61 is connected to a computer, especially a single board computer 62. Single board computer 62 is connected to a network unit 66, which is able to establish a wireless data transmission between subunit 59 and control center 68 via network 67. Single board computer 62 comprises a detector software 63, which is used to monitor detector health and is responsible for neutron counting. Single board computer 62 further comprises a data aggregation software/network protocol 64. To determine and transmit the actual position of the detector subunit 59, a GPS unit 65 may be provided, which is connected to said single board computer 62. With the neutron detecting device according to the invention it is possible to evaluate the presence, the intensity and/or the type of gamma radiation interacting with the scintillating volume. When accumulating the interaction events of neutrons and Gamma radiation with the scintillation volume over a certain period of time, preferably between 1 and 100 seconds, overlapping energy spectra of Gamma radiation and neutrons, and the accompanying noise are obtained as shown in FIG. 20, where 69 denotes the Gamma energy spectrum and 70 denotes the neutron energy spectrum. Analyzing a spectral distribution as shown in FIG. 20 may be done following a scheme according to FIG. 21. The two overlapping spectral distributions 69 and 70 resulting from the simultaneous interaction of Gamma radiation and neutron radiation with the scintillating volume and/or the converter foil are analyzed employing statistical methods. In a first step, the Gamma/neutron count rate ratio 71 is determined and results in a certain value k. Then, k is compared to a predetermined reference value p (comparisons 72, 73). If k<μ, count rates RII (for Gamma radiation) and RIII (for neutrons) are simply added to give the neutron count rate Rn (74). If k>μ, a weighted term F(k)×RII is subtracted from the sum RII+RIII to give the neutron count rate Rn (75). Thus, in this case, the net neutron count rate 75 can be determined by subtracting the spectral response 69 obtained by the gamma radiation from the total spectrum. 10,10′,10″ neutron converter foil (foil, membrane) 11,11′ substrate 12,12′ neutron conversion layer (e.g. coating) 13,13′ wavelength shifting layer (e.g. coating) 14,27 scintillation (incident) 15 scintillation light (short wavelength) 16,16′ visible light 17 decay product 18 neutron (incoming) 19,23 scintillation volume (e.g. filled with noble gas) 20,20′ neutron detecting device 21,22 reflector 24 converter membrane 25 substrate (transparent film) 26 transparent LiF coating (on both sides) 28 triton 29 light sensing device (e.g. Silicon Photomultiplier SiPM) 30 signal processing scheme 31 detector 32 analogue multi-channel front-end unit 33 filed programmable gate array FPGA 34 power supply 35 microcontroller 36 cascading scheme 37 coincidence unit 38,39 channel 40 unit cell 41 SiPM 42 detector 43 tube (e.g. steel) 43a scintillation volume 44 closure head 45 valve 46 moderating plate 46a,b plate element 47 converter membrane (double-sided) 48 connecting cable 49 membrane slot (meandering) 50 detector board 51 foil reel 52 guide rod 53 slot 54,56 detector arrangement 55,57 container 58 connecting cable 59 detector subunit 60 detector system 61 neutron detecting device 62 computer (single board) 63 detector software 64 data aggregation software/network protocol 65 GPS unit 66 network unit 67 network 68 control center 69 Gamma energy spectrum 70 neutron energy spectrum 71 Gamma/neutron ratio 72,73 comparison with reference value 74,75 neutron count rate A reflective material (e.g. dielectric mirror)
description
This application is the national phase of International Application No. PCT/US2015/012386 filed on Jan. 22, 2015, which claims the benefit of priority from U.S. provisional application Ser. No. 61/930,027 filed on Jan. 22, 2014, the entire disclosures of which are incorporated herein by reference. Spent nuclear fuel that has been used in commercial nuclear reactors actually has a large amount of potential energy that is just waiting to be harvested while simultaneously dealing with the problem of the dangerous radioactivity level of that “waste” fuel. However, since in a traditional nuclear reactor process only 4% of the original fuel load has typically been consumed, the radioactive decomposition of the constituents of the spent fuel (assumed to be radioactive “waste” under current processes) tends to be slow and hence is not harnessed for energy production. But because the half-life of these “waste” radioactive components is not short, the fuel must be stored for very long periods in a manner to protect the public from these radioactive byproducts of the energy producing nuclear reactors. Currently, the spent nuclear fuel and its byproducts tend to be stored on the site of the nuclear plants, in a heat-absorbing manner such as in a water filled “pond”, for example, that was designed to be used temporarily. Originally it was intended that a central repository be found for storing such nuclear waste for the long term (i.e., thousands of years), but such central storage has proven to be a political mine-field, with the NIMBI effect leading to no acceptable storage location being implemented. Hence, the spent fuel languishes in the “temporary” storage facilities with no foreseeable solution. But such spent fuel actually provides a large opportunity to further generate electricity if an effective and efficient means of tapping into the remaining radioactive potential energy can be found. Dielectric wall accelerators are known that can be used to generate useful gamma rays. Such accelerators can provide energy or particle beams of very high energy densities that can impact nuclear reactions in radioactive substances. Generally, however, the practical use of such devices is lacking. Hence, since the nuclear electrical generation industry has had a problem maximizing the extraction of energy from the nuclear fuel used in nuclear reactors, and has had difficulty satisfactorily disposing of spent fuel rods and other byproducts of nuclear reactors, which contain large amounts of potential energy that is not being utilized along with dangerous levels of radioactivity that can be dangerous if not properly handled or disposed of, a means of solving this problem using energy beams is desirable. Provided are a plurality of example embodiments of nuclear reactors useful for generating electricity that use accelerator devices for generating high-energy beams to trigger photo-fission reactions in nuclear fuel. Also provided are embodiments that can drastically reduce or eliminate residual radioactive components of spent fuel for more effective disposal. Provided is a system of one or more nuclear reactors comprising: a radioactive fuel source; an emitter device configured to generate an energy beam directed at the radioactive fuel source to induce a photo-fission reaction in the fuel source; at least one containment system for containing the radioactive fuel source; at least one heat extraction system configured to extract heat from the system for performing useful work; and a neutron control system configured for controlling neutrons emitted by the radioactive fuel source for controlling a neutron fission reaction in the fuel source. Also provided is a nuclear reactor comprising: a radioactive fuel source; at least one containment system for containing the radioactive fuel source; an emitter device configured to generate an energy beam directed at the radioactive fuel source to induce a photo-fission reaction in the fuel source; a control system for modifying the energy beam by controlling the emitter device; at least one heat extraction system configured to extract heat from the system for transmission outside of one or more of the reactors; and a neutron control system configured for controlling neutrons emitted by the radioactive fuel source for controlling a neutron fission reaction in the fuel source. Any of the reactors described herein can be configured to utilize the fuel source both to generate a neutron fission reaction controlled by the neutron control system to generate heat, and also to generate a photo-fission reaction controlled by controlling the emitter device to also generate heat. Further provided is a method of decontaminating nuclear fuel that is at least partially depleted using a reactors or system such as described herein, with the method comprising the step of performing photo-fission on the nuclear fuel for a period of time. Also provided is a method of retrofitting a nuclear reactor comprising the steps of: providing a nuclear reactor including radioactive fuel installed in a reactor core, the reactor designed to generate heat by controlling a neutron fission process; and subsequent to operating a neutron fission process in the nuclear reactor, installing a plurality of emitter devices each configured to generate an energy beam impinging on the reactor core to induce a photo-fission reaction in the fuel. Further provided are any of the above methods, further comprising the step of installing additional shielding in the nuclear reactor to absorb portions of the energy beam not absorbed by the reactor core or the fuel. Further provided are any of the above methods, further comprising the step of extracting heat from the nuclear reactor during the photo-fission process to perform useful work. Further provided are any of the above methods, further comprising the step of, after at least partially depleting the fuel using neutron fission, at least partially decontaminating the fuel using the photo-fission process over a period of time. Also provided is a method of decontaminating nuclear fuel that is at least partially depleted, the method comprising the step of performing a photo-fission process on the nuclear fuel that has been at least partially depleted by use in a nuclear reactor for generating energy, the photo-fission process using a an emitter device configured to emit an energy beam directed at the nuclear fuel. Also provided are any of the above approaches, wherein the emitter device comprises: a source of charged particles; a conduit; a plurality of capacitor elements stacked to form a capacitor array configured to accelerate the charged particles through the conduit which is formed through the capacitor array, each one of the capacitor elements utilizing a pair of electrodes having a layer including diamond or diamond-like carbon, and a plurality of photo switches each including a diamond crystal and being uniformly arranged around the capacitor element for activation during a discharge of the capacitor element; and a cooling system for circulating a coolant in the device for cooling the device. The emitter device is adapted to emit an energy beam including gamma rays as a result of accelerating the charged particles. Generally, a power generation system (such as any of the above systems) is provided with a reactor that includes at least one reactor core loaded with at least partially spent fuel and arranged with one or more accelerators for generating an energy beam such as a beam of gamma rays for inducing a photo-fission reaction in the fuel, a containment vessel adapted for absorbing excess gamma rays and radioactivity, and a coolant system for capturing the heat generated by the photo-fission reaction. The above approaches may further include a control system for controlling the photo-fission reaction by controlling the energy beam output of the accelerator(s). Any of the above approaches may also include devices and/or methods for supporting loading and removing the fuel or spent fuel for the reactor, including a process where only a portion of the fuel is replaced at any given time (e.g., ⅓) to support decontamination of the fuel while also generating a sufficient amount of heat to generate useful work. Any of the above or below approaches may be further adapted to further deplete the radioactivity content of the spent fuel even after the spent fuel can no longer generate useful heat in the reactor. Any of the above approaches may be further adapted for disposing of the spent fuel after it has been used in the reactor. Alternatively, any of the above approaches might be adapted to also provide neutron fission reactions for generating additional useful heat using new fuel rather than spent fuel. Also provided are additional example embodiments, some, but not all of which, are described hereinbelow in more detail. Examples of dielectric accelerators that can generate useful energy beams for supporting nuclear reactions discussed herein are discussed in PCT Patent Applications Serial Number PCT/US14/66803 filed on Nov. 21, 2014, and PCT/US14/38386 filed on May 16, 2014, both incorporated herein by reference. These applications specifically discuss example Diamond Dielectric Wall Accelerators (DDWA), but other types of accelerators that generate the desired output energy beams could also be utilized for the applications discussed herein. A new solution to the problem of better utilizing the energy of nuclear fuels in new or existing reactor facilities is provided by the disclosed method and design discussed herein by, for example, adapting current nuclear power technologies for extended use or configuring new reactors and new facilities to support the new technologies. The problem of nuclear waste can also be mitigated by using the disclosed techniques to decontaminate nuclear fuel. Radioactive fuel, whether spent, partially spent, or new, can be harnessed for further energy production by inducing the decomposition of the radioactive materials in the nuclear fuel by bombarding the fuel with energy that can be used to accelerate the normal radioactive decomposition process through the process of photo-fission. This can be accomplished, for example, through the use of energy beams emitted by a dielectric accelerator, such as a diamond film dielectric wall accelerator as disclosed in the referenced applications, among others. Essentially, in addition to normal radioactive decay and, in some cases in addition to neutron fission or spallation fission reactions, at least part of the fuel (which may include radioactive decomposition products) is induced to undergo nuclear decay using a photo-fission process by bombarding the spent fuel with gamma rays, proton beams, alpha particles, or other energetic particles contained in one or more energy beams to induce the radioactive isotopes in the spent fuel to spontaneously decompose while releasing heat energy which can be harnessed for electrical power generation, while resulting in waste materials that are far less radioactive, and hence less dangerous and more easily disposed, remaining behind. The energy beams used to induce the photo-fission reaction can, for example, be on the order of tens of MeV, an amount that can be achieved using the referenced accelerators. A primary advantage of the approach disclosed herein is that a ready supply of spent or partially spent fuel exists at locations already dedicated to electrical power generation using nuclear reactions, thereby leading to economies of scale, and less of a problem of permitting when extending the sites to include photo-fission capabilities, since the locations are already approved for nuclear power generation and waste storage. Furthermore, these disclosed approaches can help avoid the need of transporting the highly radioactive spent fuel any great distance, avoiding the potential problems that are raised by long distance transport of radioactive waste. Generally, the concept is that the nuclear fuel (which may be new, spent, or partially spent) is arranged in a reactor structure capable of harnessing heat generated by the photo-fission process (exclusively, or in addition to other reactions). For example, such a reactor can be a traditional nuclear reactor that was designed to be used to generate electricity through the use of neutron fission of uranium or plutonium, for example, that has been retrofitted to include photo-fission capability, or it may be accomplished using a dedicated reactor that is primarily or exclusively devoted to photo-fission reactions. To implement the new process, the fuel to undergo photo-fission (new or spent) is bombarded by the energy beams generated from a plurality of accelerator devices, thereby triggering the controlled radioactive decomposition of the fuel materials, which may include original fuel and fuel decomposition products, via a photo-fission reaction. Accelerators such as disclosed in PCT Patent Applications Serial Number PCT/US14/66803 filed on Nov. 21, 2014, and PCT/US14/38386 filed on May 16, 2014, incorporated herein by reference, could be utilized for this process. A reactor device for using this approach can be made similar or identical to the types of reactors used for originally harnessing energy from the nuclear fuel, except that if designed fresh, much of the safety equipment that is typically used to avoid run-away nuclear reaction in a conventional reactor would not be necessary, because the photo-fission reaction induced by the energy beam can be designed as a fail-safe reaction, in that a melt-down incident cannot occur because the radioactive process will fade away once the energy beams from the accelerators is interrupted. Because the amount of heat to be removed is not excessive, such cooling can be accomplished in a much simpler manner than is used for current nuclear reactors, and even passive cooling systems could be utilized. All that is may be needed is that waste heat continue to be removed for some relatively short period after the energy beams are turned off to allow the fuel to cool down safely, but even the lack of such cooling cannot lead to an actual meltdown event. For example, a vertical cylinder used as a reactor containment vessel utilizes the passively circulated 400 tonne lead coolant that doubles as the shield. In practice after a beam trip or failure the lead will continue to be liquid for up to two weeks and continue to circulate passively during this time. But even if such cooling is interrupted, damage would be limited to the reactor itself and not to any surrounding areas as the generated heat will not be excessive. However, one primary benefit of many of the examples disclosed herein is that current nuclear reactors that were designed for neutron fission processes using active cooling can be adapted (i.e., retrofitted) for using the disclosed accelerators to supplement, or extend, the nuclear reactions of current nuclear reactors, leading to additional energy capture from the traditional fuel sources and leading to a reduction in the radioactivity levels and dangerousness of the resulting nuclear waste. Such retrofitting can use both neutron fission and photo-fission reactions, either in series, or simultaneously, to further utilized the potential energy of the fuel sources, while resulting in spent fuel that is less radioactive than in the convention process of relying solely on neutron fission reactions. Furthermore, alternative reactor designs can also be utilized focused solely on photo-fission reactions. The methodology provides the benefit that such reactors are greatly simplified over the reactors used for conventional nuclear reactors. Example System Designs FIG. 1 is a schematic diagram showing a nuclear reactor power generation plant 1 that is modified to include accelerators 5 for including photo-fission capabilities at the plant. A nuclear reactor 2 is comprised of a reactor chamber 10 comprising a core having a steel container for containing a coolant (gas or liquid) and for housing fuel rods 11, control rods 12, and moderator rods 13 that might be used in a traditional manner to support neutron fission reactions. This reactor heats the blower 34 driven coolant through, in this example, a heat exchanger 20 which transfers energy to a water-based system to create steam which is circulated through an electrical generation subsystem 3 having a turbine 31, electrical generator 32, and condenser 34, and pump 35, all of which can be operated in a conventional manner. The conventional design is modified, however, by the addition of a plurality of the dielectric accelerators 5 that bombard the reactor chamber 10 with energy beams configured for causing additional spontaneous nuclear decay (i.e., photo-fission) in the radioactive fuel(s) comprising the fuel rods 11. Through the use of these accelerators 5, the fuel and its byproducts may remain in the reactor for a longer period than in conventional operation, while still continuing to generate sufficient heat energy to generate the desired electrical power. Hence, even as the neutron fission of the fuel decreases due to depletion of the fissionable fuel, further heat can be generated by the photo-fission decomposition of the remaining fuel and its radioactive byproducts that is induced by the energy beams generated by the accelerators 5, making up for the reduction in neutron fission that occurs over time. Hence, the heat generated by the photo-fission of the fuel and radioactive fuel by-products that are induced by those energy beams supplements energy extraction from the fuel, while also reducing the residual radioactivity of the remaining byproducts. FIG. 2 is a schematic block diagram showing a fission reactor design 102 that can utilized photo-fission reactions. The reactor is provided with a central core 140 which provides a fuel holding structure for holding the reactor fuel 110 and a means for circulating a desired coolant (not shown) for capturing the heat generated by the fission reaction (and which will also capture the heat generated by the energy-beam induced decomposition as well). Optional control structures for controlling the rate of neutron fission (when utilized) and control structures for controlling the photo-fission reaction are not shown. A plurality of accelerators 105 are shown surrounding the central core 140 placed within the containment vessel 130, although arrangements where accelerators 105 are provided only partially surrounding the central core 140 may also be provided (e.g., see below), and in fact such arrangements may be preferred to reduce the requirement for shielding from the energy beams generated by the accelerators 105. The containment vessel 130 is provided surrounding the reactor components to protect the environment from both the radioactivity of the fuel and spent fuel, and to absorb any neutrons and any part of the energy beam generated by the accelerators 105 that is scattered or otherwise not absorbed by the reactor core. For this example approach, the accelerators 105 (which may include any of the DDWA devices discussed herein, among others) provide the energy or particle beams (“energy beams”), such as of gamma rays, which are at least partially absorbed by the reactor fuel 110 for inducing a photo-fission reaction in the fuel and fuel byproducts and thereby generating useful heat. Note that the photo-fission reaction may be used in conjunction with a neutron fission reaction that is traditionally used in commercial nuclear reactors, except that the addition of the photo-fission reaction allows for power generation for much longer periods. This is particularly the case when existing reactors are retrofitted with the accelerators as described herein. Alternatively, the reactor may rely exclusively on photo-fission reactions, or it may use a spallation reaction along with photo-fission. This may be the case where an additional reactor is to be built at a site having conventional nuclear reactors, with the new reactor being provided for the purpose of at least partially decontaminated spent fuel while generating electrical energy, e.g., to supplement the nuclear process of existing nuclear reactors. FIG. 3 is a schematic drawing of an alternative photo-fission reactor design 202 where the accelerators 205 are provided outside of the containment vessel 230, but driven with sufficient energy to penetrate the vessel 230 so as to bombard the reactor core 240 with the energy beam to induce the photo-fission reaction in the fuel 210. This solution may not be ideal where the containment vessel 230 absorbs much of the energy beam before it can reach the core 240. FIG. 4 is a schematic drawing of an alternative fission reactor design 302 where a central core 340 is provided with nuclear fuel 310 where the accelerators 305 are provided only partially around the core 340. The reactor includes a dense shield wall 324 as a containment vessel constructed of a material to absorb portions of the energy beam from the accelerators 305 that are not absorbed by the central core 340, in addition to providing shielding for any neutron activity and other radioactive processes. A less dense portion 330 of the vessel can be provided in areas where only minimal absorption of the energy beams is required, but where radiation protection is still needed (this may be comprised of the original containment structure of a retrofitted reactor). Note that by use of the term “dense portion” it is not necessarily implied that that portion is “thicker” or materially more dense than the “less dense portion”, but that the “dense portion” is of a composition and/or structural design that better absorbs stray portions of the accelerator beams. Coolant channels (not shown) for circulating coolant through the core 340 for capturing the heat generated by the neutron and photo-fission reactions are provided as needed. Generally, the above approaches may be utilized to retrofit conventional, pre-existing (or new) nuclear reactors in order to extend the power generation period for a given fuel charge. For retrofit applications, the chosen installation locations for the accelerators will depend on where sufficient space is available, and it will also depend on the existing shielding that is available. Examples are provided below for supplementing the shielding to absorb stray energy beams emitted by the accelerators. As an alternative, the above designs could be custom-built systems, such that the central cores of the proposed designs can be structured similar to that of conventional reactors (by utilizing neutron fission), or they can be designed for optimal use primarily for a photo-fission reaction for the purpose of generating electricity using spent nuclear fuel obtained as waste from other nuclear plants to further capture energy of the fuel and to reduce the fuel radioactivity prior to disposal and storage. As such, new photo-fission reactors could be provided at existing nuclear sites for additional power generation and waste decontamination. Generally, the core material of such reactors should be chosen to withstand the waste radioactivity of spent fuel and its potential byproducts, and it should be designed to withstand the constant bombardment of the accelerator beams (typically gamma rays). Such cores may be made of metals, such as zirconium alloys, which have proven durable for reactor core use. Stainless steel may also be used. Ceramic or concrete or lead cores or combinations thereof may also be utilized. Heavy water or other radiation absorbing materials might also be utilized, as desired. The typical control structure that shields or otherwise controls the exposure of the reactor fuel to neutrons generated by the fuel itself is mitigated or eliminated in newly designed reactors disclosed herein, because the photo-fission process need not rely on neutrons for maintaining the nuclear fission reaction. In fact, it will likely be most beneficial in new designs to arrange the fuel to avoid or minimize such neutron interaction, as this can improve the controllability of the reaction using the accelerators and reduce the risk of melt-down accidents. If sufficiently arranged, and/or with the reactor core comprising proper materials for absorbing or reflecting stray neutrons, the contribution of neutrons to the fission reaction will be negligible. Accordingly, in many circumstances and in particular for new designs, the reactor core will be provided with neutron-absorbing and reflecting materials such as graphite, lead, boron, silver, indium, xenon, cadmium, hafnium, gadolinium, cobalt, samarium, titanium, dysprosium, erbium, europium, molybdenum and/or ytterbium. Other means of absorbing neutrons could also be used, such as by using heavy water as the coolant, for example. But because there is little or no desire to control the flow of neutrons for reactor control, these materials can be statically arranged in the core, rather than dynamically arranged as in current reactor design (e.g., such as through use of control rods, or fuel positioning, for example). Hence, the core may be designed of an alloy with good neutron absorbing properties while also exhibiting good radiation durability. The containment vessels or other containment vessels may also be provided in a manner similar to conventional nuclear reactors, by using such materials as concrete and/or stainless steel, for example. For this structure, particular attention should be given to absorbing any stray accelerator beams to prevent such beams from escaping beyond the reactor; as such beams can be harmful to living creatures and external structures. Retrofitting Designs As discussed above, current nuclear reactors could be modified to utilize dielectric accelerators for extending the lifetime of nuclear fuel loads in existing reactors. The approach of FIG. 4, described above, can be used to retrofit an existing nuclear reactor by providing the dense shield wall 324 as an added component of an existing reactor, when needed, to increase the energy beam shielding capability, such as to add additional gamma ray absorption capabilities when the original shielding might prove insufficient. FIG. 5 shows a simplified schematic diagram of an example retrofit design. In this case, the conventional reactor core 440 with fuel 410, which may include new, spent, or partially spent fuel, is surrounded by a plurality of accelerators 405, and additional shielding 424 can be provided within the reactor containment wall 450, when desired, to absorb stray energy beams (e.g., gamma rays) emitted by the accelerators 405. Note that the control circuitry and much of the additions support equipment are not shown in this diagram. In some situations, accelerators may be provided at other locations, such as outside or on the containment walls, mounted on the reactor above, around, or buried under the reactor, or provided in some other location. For such circumstances, the power levels of the accelerator beams may need to be greatly increased in order to ensure penetration of existing reactor shielding or other structures to ensure penetration to the nuclear fuel sufficient to trigger photo-fission. In particular, mounting the accelerators such that their beams are directed downward, where the floors and ground can provide additional shielding, may prove advantageous. FIG. 6 shows a simplified schematic diagram of an example retrofit design where the accelerators 505 are provided outside of an existing containment structure 530 housing the conventional reactor core 540 with fuel 510, which may include spent or partially spent fuel. Additional shielding wall 524 can be provided outside of the conventional reactor containment wall 530. Note that the control circuitry and much of the additions support equipment are not shown in this example, either. Finally, it is noted that these concepts directed at retrofitting existing designs might also be utilized in constructing new reactors. For example, reactor designs that are already approved via a regulatory process might be more easily approved with additions (such as additional shielding and the addition of the accelerators), than entirely new designs. Also, such designs may prove advantageous in situations where both photo-fission and neutron fission reactions are to be utilized. Additional Designs FIG. 7 is a block diagram of a potential modification of an new or existing convention reactor design modified to support utilization of spent fuel using a fuel replacement arrangement 59 to replace fuel (new or spent) via paths 55 to supply fuel to a central core 52. Also shown are coolant input port 56 and coolant output port 57 for circulating coolant in the central core to capture the useful heat while cooling the core. Any of these, or alternative, reactors could be designed that would utilize new or spent fuel rods, new or spent fuel pellets, pebbles, or any other type of reactor fuel. Fuels that are arranged as oxides, ceramics, metals, salts, or other compositions could be supported. Typically, the fuel, over time, will be comprised of many different fission products, along with remnants of the original fuel, in particular where spent fuel is a primary fuel source. The reactors would, in most circumstances, be designed to utilize one particular type of fuel, although designs that could accommodate more than one type of fuel are also contemplated. For example, a reactor that utilized new fuel for neutron fission reactions might also accept some spent fuel for decontamination purposes, along with extraction of remaining energy using photo-fission as well. Generally, because it is likely that these reactors will be provided in locations where a particular type of fuel is stored, in retrofitting operations the reactor designs for that location, even when new reactors for photo-fission and decontamination are built, would preferentially utilize that type of spent fuel already present in that location. Other reactor designs can also be utilized to generate the desired heat for performing useful work while also reducing the radioactivity of the fuel for easier disposal. Furthermore, pressure vessels, radiation shielding, moderators, control rods, charge tubes, or other components can be added as desired where their functions are desired, using known techniques and designs. However, in the case of building new reactors solely dedicated to photo-fission reactions, it is anticipated that for most practical applications, no control rods should be needed, and the need for features such as moderators or other control devices will likely be reduced or even eliminated due to the inherently more controlled reaction provided by the disclosed approaches. FIG. 8 is a block diagram of one arrangement for utilizing a photo-fission reactor system 620 as disclosed herein for generating electricity. The chosen reactor 621 would provide coolant to a coolant subsystem 625 which will likely include one or more pumps, piping, heat exchangers, etc. in a manner similar to existing power generating plants (see, e.g., FIG. 1). Generally, such a subsystem 625 is used to move heat from potentially radioactively contaminated coolant A to an isolated coolant B for providing to an electrical generation subsystem 630 including a turbine 631 and generator 632 for generating electricity, for supplying to an electrical power grid 660, from the collected heat generated by the reactor 620. If this reactor is built for the purpose of recycling spent nuclear fuel, the reactor 620 will be fed with spent fuel that may be stored locally in a spent fuel repository 640. If a retrofitted reactor approach is used, there may be no need for such a repository or such a need may be reduced, as the original fuel can remain in the reactor long past its traditional replacement period, resulting in a lower radioactive final waste product that is easier to store, transport, and otherwise dispose of. The waste fuel that remains after it has been utilized by the photo-fission process is then stored in a local waste repository 650 or otherwise disposed of. Such a waste repository 650 will likely need only minor radiation shielding and minimal heat absorption capabilities because the waste fuel will have drastically reduced radioactive materials present, if any. Note that because the photo-fission process can be used to reduce the radioactive components of the spent fuel to near zero if the fuel is bombarded with the accelerator beams beyond the period where useful heat is generated, it is possible to utilize the system to basically decontaminate the spent fuel in the manner discussed in more detail below. Coolant A and coolant B, although shown as being water/steam in the figure, may have different compositions, and can be chosen from any of the conventional coolant types used by nuclear reactors, such as light or heavy water/steam, although molten metal or molten salt coolants, light gas coolants, or other coolants, could also be utilized. Note that more than one coolant system might be used. For example, one system may be primarily used to retrieve useful heat for power generation, while another system may be provided solely for cooling purposes, such as during emergency shutdowns, for example. It is preferred that any emergency cooling system be a passive system, if feasible, to improve safety. The electrical generation subsystem 630 may be implemented in a conventional manner. Alternatively, the system 630 may utilize an expander based on an improved oscillating engine, such as the polygon engine disclosed in PCT Patent Application serial number PCT/US2013/036099, filed on Apr. 11, 2013, and incorporated herein by reference, or the oscillating engine as disclosed in PCT Patent Application Serial Number PCT/US11/30322 filed on Mar. 29, 2011, also incorporated herein by reference, for improved efficiencies. Note that the electrical generation subsystem 250 may be the same subsystem used by a conventional reactor located nearby that may have been decommissioned, or that may still be operating. Finally, as discussed above, a further alternative can be provided for new nuclear plants, or for retrofitting existing plants. In these situations, the nuclear reactor is modified such that it is capable of both conventional neutron fission operation, but with the addition of accelerators in a manner similar to that described above, for adding a photo-fission capability. In such a “combination reactor”, retrofitting the reactor to provide the benefits of photo-fission are extended for the conventional reactor. In particular, such a combination operation would be useful for a design that has a fail-safe operation, with the photo-fission reaction providing additional control over the fission operation and fuel decontamination, improving efficiency, and greatly reducing the amount of highly dangerous radioactive waste. For fail-safe, the control can be set up so that the neutron fission reaction is sufficiently slow so that it is not capable of a melt-down on its own, even with a total loss of control and a total loss of coolant. In such an operation, the neutron reactor is operating below the critical point, and the photo-fission reaction is used to provide a substantial portion of the heat production, and any loss of control will shut down the photo-fission part of the reaction, also reducing the number of neutrons below that needed to sustain the fission reaction above a danger threshold, avoiding meltdown situations. Example Operating Concepts In some circumstances the operation of a photo-fission reactor can be made quite different than that of a conventional nuclear reactor, with simplification of such operation possible. Because the photo-fission reaction is basically controlled through the operation of the accelerators by varying the beam intensity, rather than controlling neutron flow between fuel components, the control can be primarily electrical (operating the accelerators), rather than mechanical (through use of control rods or fuel insertion). By varying the intensity of the accelerator beams, the rate of the photo-fission reaction can be controlled without mechanical operation. Furthermore, in some situations neutron flow might also be controlled, such as in a conventional manner (e.g., using control rods) to further control the reaction, such as in situations where the spent fuel actually contains a substantial portion of the original fuel composition remaining, or where a combination plant, as described above, is utilized. Furthermore, where desired, energy beam intensity could be controlled using a mechanical operation, such as by lowering and raising shielding, or even relying to some extend on previously existing control rod operation. FIG. 9 shows an example block diagram of one means of controlling the photo-fission reaction of a plant, including plants where neutron fission is minimized or eliminated. In this case, a control system 627 controls the intensity of the accelerator beams generated by the reactor accelerators 622, thereby controlling the rate of the photo-fission reaction in the reactor core 623. The coolant subsystem 625 can also be controlled by the control system 627 to ensure that the reactor core 623 is maintained at a proper temperature. Even when the reactor accelerators 622 are completely turned off to eliminate an accelerator beams, the coolant subsystem 625 may be operated for a period to continue to cool the reactor core 212 to remove heat resulting from residual radioactive decay, which should diminish over time. However, even if the coolant subsystem 625 completely fails, a meltdown of the core 623 is typically not possible because it is unlikely that a run-away reaction can occur in a photo-fission process that does not utilize, or minimizes, neutron fission reactions. Note that in the case of retrofitting an existing nuclear reactor, or in a reactor that also utilizes neutron fission, the control system 627 may also be used to control the control system of the reactor core 623, such as control of the control rods and fuel insertion, for example, although the control system of FIG. 11 is likely more appropriate. For example, a plant utilizing photo-fission reactions may be operated in one of at least three different modes. In a first mode, the plant is primarily operated for power generation, and the spent fuel is removed and replaced when the insufficient radioactive materials remain in the fuel to generate sufficient useful heat. In this case, the reaction may be shut down when the fuel is sufficiently depleted, and all of the fuel is replaced at this time. Such an approach might also be used in a retrofitted reactor. In addition, another mode of plant operation may be primarily used to reduce the radioactive components of the spent fuel to a minimum amount to aid in disposal of the resulting waste, which can be accommodated by this second mode of operation. In this second mode, it will be desirable to leave the spent fuel in the reactor for a much longer period to ensure that the photo-fission reaction has depleted most of the radioactive components of the fuel. For plants to be operated in this manner, the fuel may be only partially replaced at a given time, with some of the spent fuel remaining for decontamination purposes. For such a plant, the reactor core may be designed to hold more fuel than necessary to maintain the desired heat output to support such decontamination. For example, a reactor core may be designed to hold three times the amount of fuel as necessary to maintain the desired heat generation capability. For such a design, a loading process is followed where ⅓ of the capacity fuel may be loaded, and the plant operated until the fuel has been depleted for energy generation purposed, in which case another ⅓ of the capacity of fuel is loaded with new (or new spent) fuel, with the depleted spent fuel remaining in place, Then, the reactor is operated until the second load of fuel has been depleted, in which case it is allowed to remain while the final ⅓ of fuel is loaded. Now the plant enters normal operation, with the most spent fuel (i.e., oldest ⅓) being replaced with new fuel on each refuel step, with the removed fuel being sufficiently depleted of dangerous radioactive byproducts to be more easily stored or disposed of. Hence, under normal operation in the above proposed scenario, ⅓ of the loaded spent fuel is used to generate heat, whereas ⅔ of the loaded spent fuel is depleted, but is undergoing continued photo-fission to continue to decompose residual radioactive components. When the most recently loaded batch of spent fuel becomes depleted, then the least recently loaded batch is removed for disposal, and replaced with a new load of spent fuel. This process continues, with the depleted spent fuel that is disposed of having a much lower amount of residual radiation than would occur in the first mode of operation described above. Of course, rotating the fuel in ⅓ capacity batches is only an example, as batches of other sizes, such as ½, ¼, ⅕, or other size batches could be utilized, with respective modifications of the process being provided. Alternatively, the plant may initially have the entire core loaded with fuel, but operating at a lower energy beam intensity to generate the desired heat. The beam intensity can increase as the fuel is depleted, to the point where a portion of the fuel need be replaced to ensure continued heat generation. The plant can then be operated with ⅓ newer fuel, and ⅔ older fuel, with another replacement operation used when the current load is sufficiently depleted. However, the disadvantage of this approach is that one or two partial loads of fuel are removed prior to full decontamination, leading to the problem of disposing of that initial fuel load. After the two initial replenishment operations, the reactor could be continually operated as described above for the second mode; at least until a maintenance shutdown is performed. Although this mode of operation is primarily directed to new reactors performing exclusively photo-fission reactions, in some cases, particularly at time of decommissioning, retrofitted reactors may be run in this mode as well for fuel decontamination purposes. Finally, in a third mode of operation, a plurality of reactors may be provided at a particular location, with operation of the reactors being modified such that as an extension of the first mode of operation, for any given reactor, the operation is continued to maintain the photo-fission reaction for some time after the loaded fuel has been depleted to reduce the residual radioactive components of the depleted fuel to a desired minimal amount. The use of a plurality of reactors allows the continued generation of power to feed the reaction and maintain energy generation and hence cash flow. For example, in the case of retrofitted systems, one mode of operation may be an operation that exclusively uses neutron fission, but once the fuel is sufficiently depleted, photo-fission is started (abruptly, or gradually) to continue operation using the same fuel, further depleting the fuel and effectively decontaminating it. Where desired, this operation can continue into a decontamination mode where little or no power is captured, but the photo-fission process is continued to further decontaminate the fuel. Alternatively, more energy efficient means may be utilized during such a third mode of operation. For example, in FIG. 10, is a block diagram of one arrangement for using a photo-fission reactor system 820 as disclosed herein for generating electricity. The chosen reactor 821 would provide coolant to a coolant subsystem 825 which will likely include one or more pumps, piping, heat exchangers, etc. in a manner which may be similar to existing power generating plants. However, in this case, the subsystem 825 is configured to supply heat to two different power generation subsystems. The more conventional system is used when the heat generated by the reactor 821 is at a high level (e.g., during neutron fission operations or early photo-fission operations, or combinations thereof) including a turbine 831 and generator 832 for generating electricity, for supplying to an electrical power grid 860, from the collected heat generated by the reactor 820. However, when transitioning to heavier reliance on photo-fission reactions, or during a decontamination mode, a second, low power generation subsystem 839 is used that is more efficient at low power levels. Hence, the coolant subsystem 825 transitions to providing heat to the low power generation subsystem, a transition that may be gradual, or abrupt. Such a system may utilized an expander as described in the cited patent applications, for example, or it may use heat thermocouples or other power generation technologies to extract as much heat as possible. Alternatively, both generation subsystems may operate during high heat generation stages, in a staged manner, with only the low heat system operating in the lower heat decontamination modes. FIG. 11 shows an alternative control system for a combination plant (e.g., a retrofitted plant). In this case, in addition to controlling reactor accelerators 721, the control subsystem 727 will control a neutron control system 722 (e.g., control rods) which controls the flow of neutrons within the reactor core 723. In this case, the coolant subsystem 725 will likely include an emergency cooling system to cool the core in case of excessive standard neutron fission residual reactions. Such a combination plant may be operated not using spent fuel, but new fuel generated for the plant. The addition of the photo-fission reaction allows the fuel to be further depleted and decontaminated, potentially until little residual radioactivity remains. The system of FIG. 11 could be operated in one of the modes of operation discussed above for FIG. 8, for example. Alternatively, this system might use a modified second mode of operation where there are two phases of heat generation for any given fuel load, such as shown in FIG. 10. In this case, the first phase relies primarily on neutron fission for any given fuel load, transitioning into a second phase relying primarily on photo-fission. The loading of batches could be provided in a manner that ensures a relatively constant heat generation capability to maintain electric generation efficiency. It is expected that the primary application of the disclosed methodology will be to supplement the electrical generation capability and to decontaminate fuel at existing nuclear plants, and for all new nuclear plants. The disclosed approach provides much better utilization of the energy of existing nuclear fuel supplies, and it aids in decontaminating spent fuel. On existing sites, reactors for performing photo-fission reaction using spent fuel can be added to the existing plants as an additional source of generating heat for power generation and for waste decontamination. A process of loading the spent fuel into the new reactors is provided for such cases, including removing the spent fuel from current storage, loading the spent fuel into the new reactors, utilizing the spent fuel to generate electricity using a photo-fission reaction, while depleting the spent fuel of much or all of its radioactive components. Finally, the depleted spent fuel is removed from the reactor and stored on-site, or transported to a hazardous waste site for final disposal. In this manner, energy utilization of existing nuclear fuel can be greatly enhanced at existing facilities that already meet zoning and regulatory laws, with minimal additional handling or transport of the radioactive fuel. In many situations, it may be desirable to retrofit existing nuclear reactors by partially or completely surrounding the reactor core with a plurality of accelerators, and, if required, adding additional shielding to protect from the energy beams, as described above. In such a situation, the accelerators may be controlled in a manner to extend the lifetime of a fuel load in the conventional reactor, and hence consume more of the fuel and increase the periods between fuel replacements, which typically require reactor shutdowns. There are additional embodiments of this reactor design that would be useful for large area radioactive decontamination using a reactor design optimized for such decontamination. In such an “external Core design” the Gamma Ray emitters (or other type of accelerators) can be placed in a grid pattern in the surface of contaminated ground, such that the radioactive elements dispersed in the ground at, or obtained from, an unintended release of radiation or other accident, would be illuminated by the gamma rays (or other energy beams) such that the decay half-life would be accelerated by approximately 180 times within the irradiation zone. Additionally, the above described external core reactors could be used to neutralize “Coreium” from a melted down reactor such as would be the case from the accidents at Chernobyl, Russia or Fukushima, Japan, for example. The melted down and damaged radioactive cores can be “cut” into free in sections via a remotely operated robot utilizing a fiber fed laser cutter such as by using a cutter utilizing the slab laser described in PCT Application Serial No. PCT/US2013/053166, incorporated herein by reference. Then, this nuclear material could be removed from the disaster site to be loaded into the above mentioned reactors to be neutralized on site or remotely using the accelerators to decompose the radioactive material. Alternatively, the damaged cores could first be surrounded by an accelerator array on-site to at least partially decontaminate the cores prior to their deconstruction and transport. Such decontamination methods would include in the decontamination procedure concepts utilizing these systems for the neutralization of the ground released radioactive materials and the case of a damaged reactor core itself. By such methods all contaminated components and materials could be decontaminated and then safely disposed of. Additionally the Gamma Ray emitters (accelerators) could be used above ground, such that contaminated population centers could be decontaminated by virtue of gamma ray illumination from said accelerators and gamma ray emitter systems, such as when an area is intentionally irradiated such as through use of a dirty bomb comprising radioactive substances, or subsequent to a nuclear strike using a nuclear weapon. Dielectric Accelerators Examples of acceptable energy beam accelerators for use in reactors as described herein include Diamond Dielectric Wall Accelerator (DDWA) architectures with designs for use of materials and fabrication techniques that can provide higher performance levels in such devices, at arguably lower costs than previous designs. The proposed architectures, such as disclosed in PCT/US14/38386, incorporate practical means of constructing, mounting and insulating the very high operating voltages, while cooling the device for high average power use as well. Such a DDWA can utilize any of a number of existing particle generator devices to provide desired source particles, although a dense plasma flux proton injector (DPF) represents an improvement on existing devices. Such a DPF can be used in a DDWA having a stacked capacitor array constructed of robust parallel electrodes (forming individual capacitors). The Diamond Dielectric Wall Accelerator (DDWA) of the example can be provided to, for example, operate at 125 kV. per capacitor accelerator element or more. At the 125 kV level, an accelerator head provided to achieve 45 MeV electrons that would be expected to produce 16 MeV Gamma Rays would be on the order of 36 cm long. This would be compared to 2 MeV per meter in a quadra pole Radio Frequency accelerator of a conventional design. In this example, each discharge of the DPF creates high electric currents (in a monolithic solid tube electrode) that are mirrored on the inner surface. These currents ionize and accelerate hydrogen gas into the end of the central electrode; at this location each discharge path creates tornado-like plasma discharge at the very end of the tube where ionized and accelerated protons are able to be trapped within a single vortex apex region, within the central bore of the boron tube. It is at this exact point that the combined plasma discharges are concentrated and some of the boron operating at high temperatures can encounter hydrogen ions that are also stripped of their electrons (i.e., protons) and be acted upon by the combined plasma vortexes at the very center of the end of the central electrode that is, in this case, made from Boron. In this location the magnetic forces from the individual vortexes also concentrate the plasma and create the conditions for fusion reactions to occur. The resulting helium and unreacted protons are able to be the injection material for subsequent acceleration within the DDWA. The advantage to this scheme is that the particles will be accelerated from the plasma injector at several hundred keV of energy or speed. This shortens the needed acceleration tube length within the DDWA to achieve a certain purpose. Any atomic plasma ions or gas ions can be so accelerated. The electrode material would not necessarily be boron for non-fusion based reactions. In this case, the plasma focus device is only a compact ion accelerator/injector. The architecture of the Dielectric Wall Accelerator (DWA) segment receiving the DPF particles is comprised of a hollow cylinder that forms the vacuum containment section of the particle accelerator. The chosen design length for this example tube segment is about a meter long or more. It is constructed to be an insulated, large (4 cm in this case) bore tube constructed of adjacent parallel rings of metal film imbedded and mounted and insulated from each other, within the sufficiently thick wall of the tube. These metal film rings form a capacitor network that has an effect of averaging the voltage gradient of the sequentially fired capacitors that are stacked on the outside wall of the accelerator tube. The effect is due to a mirrored charge, induced and thus impressed upon each ring which represents an individual capacitor element within the wall of the bore tube. The current propagating along the electrode skin of each of the discharging capacitor elements forming a pulse forming network (PFN) are in fact supplying the accelerating electromotive force, acting upon any charged particle within the bore of the accelerator tube, by the act of being sequentially fired in a very controlled timed sequenced event (described below), an accelerating force of very high specific energy density is applied to the particles within the tube. Although gallium arsenide or silicon carbide photo-switches could be used as the active component to facilitate controlled very short time duration conduction at high voltages, permitting switching at high voltages and high currents upon the illumination of a several nanosecond laser pulse delivered via a discrete optical fiber, such devices typically operate at high currents at lower voltages or high voltages at lower currents. Single diamond crystal or diamond-like carbon (DLC) photo-switches (i.e., replacing the gallium arsenide or silicon carbide with the diamond material) can be used to overcome these mentioned limitations. The salient point is that the prior art materials have a breakdown voltage of 3-3.5 million volts per meter, whereas single crystal diamond and 70% tetrahedral DLC diamond has a breakdown voltage of about 10 Billion volts per meter. This permits a practical single crystal diamond photoswitch to have a breakdown voltage, for a 1 mm device, at 10 million volts. Clearly, the breakdown voltage of a cooling medium such a device resided or operated in could be the dominant operational factor. The Breakdown voltage for highly deionized water is 400 kV/cm. This suggests using a photoswitch placement architecture that maximizes the material characteristics of the DDWA The architecture of the placement of the capacitor elements or layers is such that there is a space between each capacitor disc, which is a layer of discretely spaced ribs on one face of the disc, which permits the forced flow of the deionized water coolant along the axis of the tube and capacitor array but which exits transverse to the axis of the tube through the spaces created between the capacitor disc elements of the PFN due to the presence of the described ribs. The water is pumped into the apex spaces of the polygonal (e.g., hexagonal) hole, constituting the inner aperture and cumulative water manifold, of the individual capacitor segment. A series of discrete capacitors are stacked next to each other forming a capacitor array, the axial sum of capacitors supplying the energy storage and providing a fast discharge circuit of the sequentially fired PFN. A single capacitor element can be comprised of two parallel plate transmission lines (electrodes) stacked upon one another with one or more photo switches mounted between the two conductors. FIG. 12 shows a schematic diagram of an example dielectric accelerator device for generating an energy beam, as described herein, used as a source for a gamma ray emitter. This setup includes: a particle injector 731 (such as an improved dense plasma fusion (DPF) device); a diamond dielectric wall accelerator assembly (DDWA) 732; a reflectron 733; a gamma ray window 734 (e.g., an alumina ceramic-sapphire-edge defined grown tube window or sintered ceramic tube); a gamma ray emitter cone 735 (e.g., a high temperature high atomic weight material, such as reference thorium oxide); a heat exchanger submount 736 (e.g., a reactively created silicon carbide cooling plenum); and a vacuum containment cylinder 738 providing utility structure support. Such a device is described in more detail in PCT/US14/38386 filed on May 16, 2014, and incorporated herein by reference. Hence, the DDWA shown in FIG. 12 may be utilized, among others, as the energy beam accelerator devices used in the reactor designs discussed in this application. Many other example embodiments can be provided through various combinations of the above described features. Although the embodiments described hereinabove use specific examples and alternatives, it will be understood by those skilled in the art that various additional alternatives may be used and equivalents may be substituted for elements and/or steps described herein, without necessarily deviating from the intended scope of the application. Modifications may be necessary to adapt the embodiments to a particular situation or to particular needs without departing from the intended scope of the application. It is intended that the application not be limited to the particular example implementations and example embodiments described herein, but that the claims be given their broadest reasonable interpretation to cover all novel and non-obvious embodiments, literal or equivalent, disclosed or not, covered thereby.
claims
1. A multi-beam klystron apparatus comprising:an electron gun unit which generates electron beams from a plurality of points;an input unit which inputs radio-frequency power;a radio-frequency interaction unit which includes, from the electron gun unit side, an input cavity, a plurality of intermediate cavities and an output cavity, and amplifies the radio-frequency power input from the input unit to the input cavity by the interaction between the electron beams generated in the electron gun unit and a radio-frequency electric field;an output unit which outputs the radio-frequency power from the output cavity of the radio-frequency interaction unit;a collector unit which captures the electron beams passing through the radio-frequency interaction unit; anda focusing magnetic field unit which focuses the electron beams generated by the electron gun unit, the focusing magnetic field unit including: a main magnetic field generator arranged on the outside of the input cavity and the intermediate cavities of the radio-frequency interaction unit; an output-side magnetic field generator arranged on the outside of the output cavity of the radio-frequency interaction unit; an electron gun-side pole piece arranged between the radio-frequency interaction unit and the electron gun unit; a collector-side pole piece arranged between the radio-frequency interaction unit and the collector unit; and a radio-frequency interaction unit pole piece arranged between the output-side magnetic field generator and the main magnetic field generator. 2. The multi-beam klystron apparatus according to claim 1,wherein lines of magnetic force generated by the main magnetic field generator and lines of magnetic force generated by the output-side magnetic field generator have the same direction. 3. The multi-beam klystron apparatus according to claim 2,wherein the main magnetic field generator is separated into a part corresponding to the intermediate cavity arranged near to the output cavity and a part corresponding to the other intermediate cavities, andthe radio-frequency interaction unit pole piece is arranged between the output-side magnetic field generator and the main magnetic field generator and between the separated parts of the main magnetic field generator. 4. The multi-beam klystron apparatus according to claim 1,wherein the main magnetic field generator is separated into a part corresponding to the intermediate cavity arranged near to the output cavity and a part corresponding to the other intermediate cavities, andthe radio-frequency interaction unit pole piece is arranged between the output-side magnetic field generator and the main magnetic field generator and between the separated parts of the main magnetic field generator.
043269222
description
DESCRIPTION OF THE PREFERRED EMBODIMENT Referring now to FIG. 1 there is shown an exemplary pressurized nuclear reactor utilizing composite fuel assemblies 10 in accordance with this invention. The reactor includes a core 12 of the fuel assemblies 10 arranged to approach the configuration of a right circular cylinder. The assemblies 10 are supported within a vessel 14 between an upper core plate 16 and lower core plate 18 both of which are perforated to allow the flow of a coolant fluid therethrough. The reactor coolant fluid, preferably a liquid such as water, enters the vessel 14 through an inlet 20, flows downwardly through an annulus 22, is turned in a plenum 24, and passes upwardly through the core 12. In similar reactor configurations the coolant enters the vessel 14 below the core and passes upwardly. The coolant absorbs energy as it flows upwardly through and about the fuel assemblies 10, is discharged from the vessel 14 through an outlet 26, and discharges energy to apparatus (not shown) typically for the ultimate purpose of electrical power generation. The power generated in the core 12 can be controlled in various well-known manners including use of a neutron absorber, such as boron, flowing with the coolant together with neutron absorbing control elements 28, top mounted in accordance with this invention, reciprocatingly positionable within or about the fuel assemblies 10 by drive apparatus 30. While a multitude of core and fuel assembly configurations exist, this invention is particularly beneficial in thermal neutron cores having elongated vertically positioned fuel assemblies through which coolant flows in a generally upward direction. A preferred fuel assembly 10 in accordance with the invention is shown in FIG. 2. It includes a plurality of upper fuel rods 40 and a plurality of lower fuel rods 42 arranged in a regular preferably rectangular array. The rods 40, 42 are peferably cylindrical and the upper rods 40 are of smaller diameter than the lower rods 42. Accordingly, the number of upper fuel rods is greater than the number of lower fuel rods. Each rod 40, 42 includes an hermetically sealed metallic cladding 44 within which is disposed fissonable nuclear fuel preferably in the form of cylindrical pellets 46. The fuel can be of various types well known in the art, such as enriched uranium, and in one embodiment advantageously includes enriched uranium in the upper rods 40 and plutonium fuel in the lower rods 42. Where, for example, both the upper and lower rods include uranium of similar enrichment, although differing enrichments can be utilized, the power generated per unit length of each fuel rod is higher in the lower rods 42 than in the upper rods 40. Thus, coolant flowing in an upward direction through and about the assembly 10 is initially heated in the lower portion of the assembly and further heated to a higher temperature in the upper portion. Departure from nucleate boiling (DNB) concerns are, however, alleviated and a higher coolant core discharge temperature attained as a result of the lower energy output per unit length of upper fuel rod measured, for example, in kilowatts per foot. Table I presents exemplary parameters resulting from utilization of the inventive fuel assembly in a pressurized water reactor core utilizing enriched uranium fuel in upper rods 40, approximately six feet in length, and in lower rods 42 approximately six feet in length. The base for comparison is a core of assemblies having twelve foot long fuel rods in a fifteen by fifteen array, and is compared to composite assemblies 10 having lower rods 40 in a fifteen by fifteen array and upper rods 42 in, respectively, a twenty by twenty array and a thirty by thirty array. TABLE I ______________________________________ Composite Composite Base Lower: 15 .times. 15 Lower: 15 .times. 15 15 .times. 15 Upper: 20 .times. 20 Upper: 30 .times. 30 ______________________________________ No. of Fuel 204 316 816 Rods Average Linear Power, kw/ft 7.06 4.6 1.76 Peak Linear Power, kw/ft 18.8 12.1 4.7 Peak UO.sub.2 Center-Line .about.4200 .about.3000 .about.1600 Temperature, .degree.F. Increase in Coolant Outlet -- 20 50 Temperature, .degree.F. ______________________________________ As seen from Table I the increase in coolant temperature is greater where the number of upper rods is greater. Additionally, the number of fuel rods is less than that of a complete array as a result of the fabrication of the assembly 10 and incorporation of additional components such as guide thimbles discussed below. For example, a complete 15.times.15 array would have 225 fuel rods; however, only 204 fuel rods are utilized, the balance of locations being occupied by guide thimbles. In addition to the fuel rods 40, 42 the assembly 10 includes (FIG. 2) a top nozzle 48 and a bottom nozzle 50 affixed by guide thimbles 52 to form a skeletal load transmitting unit. The thimbles 52 can be used merely for assembly support of for guiding the control element rods 54 (FIGS. 1 and 6) into and out of the assembly or for locating other core components. Upper 56 and lower 57 lattice grid structures, shaped generally as an "egg crate", are affixed to the thimbles 52 at selected elevations. The grids 56, 57 form a cell 58 about each rod or thimble, shown best in FIGS. 3 through 5. The cells 58 for the fuel rods 40, 42 provide lateral support while allowing axial rod expansion. The grids 56, 57 include, in addition to typical flow mixing vanes 59 and spring acting supports 61, outer straps 60 which form a peripheral boundary about the rod array, and inner straps 62 together forming the individual cells 58. The peripheral dimensions of the upper 56 and lower 57 grids, defined by the outer straps 60, are the same. The grids 56, 57 must be arranged not only to provide support for the rods 40, 42 of differing diameter, but also to provide cells 58a, 58b for attachment to the thimbles 52 which are, in the preferred embodiment, of constant, preferably circular cross section. Since the upper and lower grid cells are of different dimensions, provision must be made for attachment of the guide thimbles. Accordingly, the lower fuel rods 42 are preferably of substantially the same cross section as the thimbles 52 so that the cells 58 and 58a of the lower grids 57 are all of the same dimensions. The cells 58 of the upper grids 56, however, include cells 58b which receive guide thimbles 52 and are enlarged in comparison to the cells 58 supporting the upper fuel rods 40. As shown in FIG. 3, in the exemplary composite fuel assembly 10 the cells 58b each represent a combination of four upper grid fuel rod receiving cells. In a modification, the thimbles 52 can also be larger than the lower fuel rods 42, so that cells are also provided in the lower grids 57 which are larger than the cells receiving the fuel rods 42. It can also be seen from a comparison of FIGS. 3 and 4 that the cells 58b, which receive guide thimbles, are larger than the cells 58 of the lower grid 57. As the grids are affixed to the thimble 52 within a cell, a means for affixing the thimbles to both upper and lower grids must be provided. One means for such attachment is shown in FIG. 6. Here a sleeve 64 is provided and brazed 66, welded, or otherwise attached to the straps of the cell 58b of the upper grid 56 at, for example, four locations. The inner diameter of the sleeve 64 can be the same as the across flats dimension of a lower grid cell 58, 58a so as to maintain alignment of the thimble. In this case, the guide thimble can be brazed or otherwise directly attached to straps on the lower grid. Alternatively a large braze 66a, (FIG. 3) weld, or other attachment between the innerstrap 62 and the thimble outer periphery can be made thus eliminating use of the sleeve 64. The thimble can also be bulged or expanded above and below the sleeve 64 for the attachment. Or, the cell 58b can be formed with a strut 68 to which the thimble is attached. Further, the thimble 52 can have a cross section smaller than lower fuel rods 52 and accordingly the lower grid cells, and also smaller than the large cells 58b of the upper grid. In this configuration sleeves 64 can be incorporated separately in both the upper and lower grid cells wherein the sleeve have the same inside diameter for receiving the thimble but the separate sleeves will have differing outer diameters matched to the respective size of an upper grid cell 58b and a lower grid cell 58a. Further, as shown in FIG. 7, the thimble 52 can include a different diameter along its length so long as the inner aperture 53 is sufficiently large throughtout its length to allow passage of a control element rod 54. The aperture 53 can also have a varying size, for example, being larger at the upper portion and smaller at the lower portion, thereby additionally providing a dashpot effect for the control rod 54 at the lower portion of the thimble 52. The outer cross sections of the varying sized thimble can be sized to most compatibly fit within the grid cells, with or without sleeves. It is preferable that a space 70 (FIGS. 2 and 5) be provided between the bottom of the upper fuel rods 40 and the top of the lower fuel rods 42. Space 70 can alleviate a pronounced change in power distribution which otherwise could occur at the interface of the lower and upper fuel rods, particularly where mixed oxide fuel is utilized. The space preferably is no larger than about two percent of the sum of the lengths of the upper and lower fuel rods. Too large of a space could allow an excessive area of moderating coolant in the center of the core, undesirably causing flux peaking. This effect, however, can be counteracted by fabricating the fuel rod sealing end caps in the interface area, or an attachment thereto, of a material and size to absorb excessive neutrons. It can also be counteracted by placing plenums 2, 4 in the fuel rods, which allow for a buildup of fission product gases, at the bottom of the lower fuel rods and at the top of the upper fuel rods. A support for the fuel pellets of the upper fuel rods, such as a spring 6 or other support means, can be utilized to maintain a lower fuel rod plenum. Similarly, depleted fuel in pellet or other form can be included at the bottom of the upper fuel rods and the top of the lower fuel rods or, inert ceramic spacers 8 can be positioned at these locations. Across the space the lowermost upper grid 56 is preferably rigidly affixed to the uppermost lower grid 57 through their outer straps 60. This attachment can take many forms, including use of thin peripheral straps 72 or a larger peripheral strap 74, shown alternatively in FIG. 5. The straps 72, 74 are disposed externally of the rod array and can include structure as utilized in typical lattice grids, including flow mixing vanes 59, support springs 61, and flow openings 80. The lowermost upper grid is rigidly affixed to the uppermost lower grid in order to strengthen the assembly at its central region where relatively high stresses and deflections can occur in the event of a seismic occurrence. The space 70 between the upper and lower fuel rod arrays is particularly useful where plutonium fuel is utilized in the lower rods and uranium in the upper rods to alleviate a power peak which occurs at the interface when the two fuels are used in other than a homogeneous mixture. The composite assembly 10 is further suited to utilization of mixed oxide fuel. The relative quantities of, for example, uranium and plutonium fuel can be adjusted by varying the length of the upper 40 and lower 42 fuel rods. It is preferable that plutonium bearing lower fuel rods be disposed over one half to two thirds of the fuel assembly and uranium bearing rods over the remaining one half to one third of the assembly. The inventive composite assembly is economically advantageous regarding plutonium utilization since plutonium fuel, for example in the form of plutonium oxide, is appreciably more expensive to fabricate than uranium as, for example, uranium dioxide, due to the high plutonium toxicity which requires remote fabrication. Placing the plutonium in larger diameter rods reduces the number of plutonium bearing rods per assembly, and accordingly the cost. Disposition of plutonium in the lower portion of the core is also beneficial in terms of nuclear control characteristics. By locating the plutonium near the bottom of the core where the worth of top-mounted control elements (as shown in FIG. 1) is lessened, the impact of the high neutron capture cross section of plutonium is reduced. Further, the effect of the strong moderator temperature coefficient of reactivity of plutonium on control requirements is reduced since the full load to no load coolant temperature swing is lessened in the plutonium zone where coolant enters the core 12. These advantages are discussed further in U.S. Pat. No. 4,096,933 in the name of R. F. Barry entitled "Core For A Nuclear Reactor". It will also be noted that the disclosed assembly is beneficially responsive under assumed accident conditions where the core is temporarily drained of coolant. Upon such conditions a plurality of redundant systems operate to refill the reactor vessel from the bottom to the top. Accordingly, the more reactive lower rods are covered with coolant faster than the less reactive upper fuel rods, adding additional safety margin as compared to cores generating generally uniform power along their length. There has therefore been described a composite fuel assembly useful in achieving higher reactor coolant temperatures and better overall reactor plant efficiency. The assembly is further beneficial for mixed oxide fuel utilization, as well as providing added flexibility in all types of core designs. It will be apparent that many modifications and additions are possible in view of the above teachings. It therefore is to be understood that within the scope of the appended claims the invention may be practiced other than as specifically described.
062263544
abstract
A short-wavelength electromagnetic-radiation generator includes a pair of concave reflectors, a laser source for emitting a laser beam so as to be incident between the concave reflectors, and an electron beam generator for emitting an electron beam so as to be incident on the laser beam, which is repeatedly reflected and converged.
046831029
claims
1. In a spectral shift pressurized water reactor comprising: (a) a vessel, (b) a core comprising a plurality of nuclear fuel assemblies, each containing a plurality of first vertical guide tubes and a plurality of second vertical guide tubes, (c) a plurality of sets of control rods for controlling the power of the reactor having control rods movable within and along respective ones of the first guide tubes between a predetermined lower position and a predetermined upper position, (d) a plurality of clusters of spectral shift elements for changing the neutron energy spectrum of the reactor, each of said cluster being associated to a set of control rods, having elements movable within and along respective ones of the second guide tubes of the corresponding assembly of said set of control rods and being arranged to be maintained in one of two fixed positions including a low position of maximum insertion of the elements in the core and a high position of complete withdrawal of the elements from said core, operating means for operating said set of control rods and said clusters of spectral shift elements comprising: first operating means for operating sets of control rods up and down comprising a plurality of first vertical control shafts movable vertically between a second predetermined lower position and a second predetermined upper position and arranged to go further when forced to a higher overtopped position situated above said second predetermined upper position, each of said first vertical control shafts having a cylindrical tubular shape having a bottom end connected respectively to a corresponding set of control rods and having over the greatest portion of its length a part of a first internal diameter and over a small portion of its length a part of a second internal diameter, said second internal diameter being substantially smaller than said first internal diameter and being situated near the bottom end of said first shaft, second operating means for operating clusters of spectral shift elements comprising a plurality of second vertical control shafts each respectively mounted coaxially inside the corresponding first shaft of the associated set of control rods, respectively connected to each cluster and movable in the internal cylindrical space of corresponding first shaft, each of said second shafts having a widened cylindrical part along a small portion of its length, said small portion constituting a piston situated near the upper part of the said second shaft and coming into position around the internal part of substantially smaller diameter of the corresponding first shaft when said first shaft has raised to is higher overtopped position, said piston comprising at its periphery at least one solid joint, radially expandable to a certain extent under a predetermined pressure and which diameter in rest condition is substantially smaller than the first internal diameter of the first control shaft and substantially similar to the second internal diameter of said first control shaft and when sollicited by pressure is similar to said first internal diameter, said operating means further comprising pressure reduction means arranged to be connected to the internal cylindrical space of each of the first control shafts when said first shaft has raised to its higher overtopped position, and capable of moving in the high position of complete withdrawal of the elements of the cluster the corresponding second control shaft connected to said cluster of spectral shift elements under the effect of a predetermined pressure reduction created in the internal space of the first control shaft above the piston. a first actuating ring arranged to slide around said piston and having an upper part and two bores, a first bore with a first internal diameter and a second bore with a second internal diameter, said bores respectively corresponding to said sections and being arranged such that the second bore is in fluidtight contact with the lower section, the second internal diameter of said second bore being smaller than the first external diameter of the upper section and the first internal diameter of the first bore is arranged to fit with said upper section in a non fluidtight manner, said actuating ring further comprising a first conical part arranged to support the full solid expandable joint, a second actuating ring mounted to slide around the upper section of said part of the piston in a non fluidtight manner comprising a second frusto-conical part facing the first frusto-conical part of the first actuating ring and arranged to seat against said expandable joint, a cylindrical spring having a third internal diameter bigger than the second external diameter of the upper section of the piston and seated on said second frusto-conical part, a third actuating ring mounted to slide around the upper section of said part of the piston in a non fluidtight manner, arranged to seat on said cylindrical spring and comprising a third frusto-conical part facing the opposite side of the spring, a second solid radially expandable joint seating on said third frusto-conical part on one side and applied on the top section in frusto-conical shape of the piston on the other. 2. The combination according to claim 1 wherein the piston has a part comprising three sections, a top section in a frusto-conical shape, an upper section with a first external diameter and a lower section with a second external diameter smaller than said first external diameter, said operating device further comprising: 3. The combination according to claim 1, wherein the solid radially expandable joint consists of at least one ring, with a rectangular cross-section, said joint being interrupted on a part of its length. 4. The combination according to claim 3, wherein the solid radially expandable joint consists of an assembly of two rings, each of said rings being interrupted with a cut on part of the length of said rings, said cut of one of the rings being substantially disposed on the diametrally opposed side of the cut of the other ring. 5. The combination according to claim 3, wherein the solid radially expandable joint consists of three rings arranged coaxially, respectively interrupted with a cut on a part of their length, two of the rings being superposed and the third ring being arranged inside of said superposed rings over the entire height of said superposed rings, the three cuts being respectively arranged at approximatively 120.degree. from each other. 6. The combination according to claim 4, wherein the solid radially expandable joint is arranged inside a groove having a lower face, machined in the piston and coaxial with this piston and wherein a spring is inserted between the lower face of said groove and a lower face of the joint. 7. The combination according to claim 4, wherein the solid radially expandable joint consists of two rings, an inner ring and an outer ring, said inner ring being arranged coaxially inside said outer ring, each of said rings consisting in at least three identical annular parts separated by cuts of a small length and held jointly by an assembly of two annular springs, one of said springs being arranged inside the inner ring and applying forces directed toward the outside of said inner ring, and the other spring being arranged against the outer surface of the outer ring and applying forces directed toward the inside of said outer ring, the cuts of the inner ring and the cuts of the outer ring being arranged at constant angular distances. 8. The combination according to claim 1, wherein the solid radially expandable joint consists of a profiled toric ring whose meridian cross-section has a U-shape or a V-shape having two elastic branches capable of separating or approaching each other under the effect of external stresses. 9. The combination according to claim 1, wherein the solid radially expandable joint is of a material belonging to the following group: carbon, graphite, cobalt alloy, nickel alloy, chromized segment cast iron, hard-chromium plated segment cast iron, chromized elastic steel, hard chromium plated segment elastic steel and chemically nickel plated elastic steel. 10. The combination according to claim 1, wherein the piston comprises, at its peripherical part, a stiff projecting protection ring whose outer diameter is greater than the outer diameter of the joint in the unstressed state and smaller than the diameter of the first zone of the inner space of the first control shaft. 11. The combination according to claim 1, wherein the second zone of the inner cylindrical space of the first control shaft has a diameter which is smaller by between five tenths of a millimeter and three millimeters than the inner diameter of the first zone of the internal space of said first control shaft. 12. The combination according to claim 1, wherein the second zone of the inner cylindrical space of the first control shaft is from ten to fifteen centimeters in length.