patent_number
stringlengths
0
9
section
stringclasses
4 values
raw_text
stringlengths
0
954k
description
This application claims priority under 35 U.S.C. § 119(e) to U.S. Provisional Patent Application Ser. No. 62/654,666, filed Apr. 9, 2018, entitled “MANUFACTURE OF LARGE GRAIN POWDERS WITH GRANULAR COATINGS”, which is herein incorporated by reference. The invention was made with government support under DE-NE0008222 and DE-NE0008824 awarded by the Department of Energy. The government has certain rights in the invention. The invention relates generally to uranium fuel in a nuclear reactor and, more particularly, to uranium fuel pellets including large grains of a first component having a coating formed thereon from a second component of smaller grains. The use of a smaller grain additive to a larger grain bulk powder improves properties of the bulk powder and the resultant fuel pellet, such as, water resistance. A nuclear reactor power plant generates electric power as a result of the nuclear fission of radioactive materials contained within the nuclear reactor. In nuclear reactors, the radioactive material used for generating electric power is nuclear fuel. Nuclear fuel assemblies for light water nuclear reactors, such as, for example, pressurized water reactors (PWRs) and boiling water reactors (BWRs), generally include a plurality of fuel rods with circular cross-sections that are arranged parallel to one another at regularly or irregularly spaced intervals. Each fuel rod comprises a stack of fuel pellets surrounded with cladding. The fuel rods are held at the spaced intervals with respect to one another by one or more spacer grids. The fuel rods each contain nuclear fuel fissile material, such as, at least one of uranium dioxide (UO2), plutonium dioxide (PuO2), thorium dioxide (ThO2), uranium nitride (UN) and uranium silicide (U3Si2) and mixtures thereof. The form of the nuclear fuel fissile material is a stack of nuclear fuel pellets. Annular or particle forms of fuel also can be used. At least a portion of the fuel rods can also include neutron absorbing material, such as, boron or boron compounds, gadolinium or gadolinium compounds, erbium or erbium compounds and the like. The neutron absorbing material may be present on or in the pellets. There are various instances wherein it is desired to improve properties of the nuclear fuel. For example, it may be desired to improve the thermal conductivity of UO2 and/or water resistance of U3Si2 and UN. The improvement can be realized as a result of mixing a low melting component having the desired properties with a bulk material in pelleting, and then pelletize and sinter the pellets. Wherein it is desired to improve or increase water resistance of UN, for example, the small addition of a component having a lower melting point, such as, U3Si2 (melting point of 1665° C.), with UN (melting point of 2800° C.) is effective to increase the water resistance of UN. Alternatively, a high melting point additive may be desired (for example UO2) to be added to a lower melting point fuel such as U3Si2 in order to increase the oxidation resistance of the U3Si2. It is not feasible, however, to depend on the additive to melt and distribute itself along the grain boundaries of the bulk of the powder. When both of the additive and bulk materials are powders, it has been found that a significant or large amount of the low melting additive component needs to be added to the bulk material in order to achieve the improvement. As a result of adding this amount, there is a reduction in the uranium concentrations of the bulk material, in turn, making the resultant fuel economically untenable. Thus, there is a desire in the art to develop a nuclear fuel that includes a bulk powder material and an additive powder component wherein the additive is present in an amount that does not significantly reduce the uranium concentration of the bulk powder material and the resulting fuel. The invention provides uranium fuel having a bulk large grain powder, and a smaller grain powder additive (as compared to the large grain bulk powder) that is present in an optimal, e.g., minimal, amount needed to achieve an improvement, such as, water resistance. In one aspect, the invention provides a nuclear fuel including a bulk fuel material in a powder form; and a fuel additive component selected from the group consisting of metal, metal alloy, metal oxide, metalloid oxide, metal carbide, metalloid carbide, metal nitride, metalloid nitride and mixtures or alloys thereof in a powder form, wherein the grain size of the bulk fuel material is larger than the grain size of the fuel additive component. The grain size in the fuel additive component is less than Vr*Rl/4, wherein “Vr” is the desired volume ratio of the fuel additive component to the bulk fuel material and “Rl” is the radius of (larger) grains in the bulk fuel material. The volume ratio of the grain size of the fuel additive component to grain size of the bulk fuel material is greater than 4*Rs/Rl, wherein “Rs” is the radius of the smaller grains in the fuel additive component and “Rl” is the radius of the larger grains in the bulk fuel material. In certain embodiments, the fuel additive component is selected from the group consisting of metal chromium (Cr), zirconium (Zr), aluminum (Al) and aluminum chromium (AlCr) alloy, uranium dioxide (UO2), oxides of beryllium (BeO), zirconium (ZrO2), chromium (Cr2O3), titanium (TiO2) and yttrium (Y2O3), and mixtures and combinations thereof. The fuel additive component may be in the form of a glass comprising an element selected from the group consisting of aluminum (Al), boron (B), silicon (Si), sodium (Na), lithium (Li), and compounds and combinations thereof. The bulk fuel material may be selected from the group consisting of uranium silicide (U3Si2), uranium dioxide (UO2), uranium nitride (UN) and mixtures and combinations thereof. In another aspect, the invention provides a method of incorporating a fuel additive component to a nuclear fuel. The method includes obtaining a bulk fuel material in powder form; selecting the fuel additive component from the group consisting of metal, metal alloy, metal oxide, metalloid oxide, metal carbide, metalloid carbide, metal nitride, metalloid nitride, metal boride, metalloid boride, and mixtures or alloys thereof in powder form, wherein the grain size of the bulk fuel material is larger than the grain size of the fuel additive component; and combining the bulk fuel material with the fuel additive component. The fuel additive component can be selected such that the grain size is less than Vr*Rl/4, wherein “Vr” is the desired volume ratio of the fuel additive component to the bulk fuel material and “Rl” is the radius of grains in the bulk fuel material. The fuel additive component and the bulk fuel material can be combined in selected amounts such that the volume ratio of the fuel additive component to the bulk fuel material is greater than 4*Rs/Rl, wherein “Rs” is the radius of the smaller grains in the fuel additive component and “Rl” is the radius of the larger grains in the bulk fuel material. In the method, when combining the bulk fuel material and the fuel additive component, smaller grains of the fuel additive component can coat larger grains of the bulk fuel material. Furthermore, incorporating the fuel additive component to the bulk fuel material can produce a resultant fuel composition having improved water resistance compared to a fuel composition absent of the fuel additive component. In still another aspect, the invention provides a nuclear fuel pellet that includes a first material composed of bulk uranium; and a coating composed of a second material selected from the group consisting of metal, metal alloy, metal oxides, metalloid oxides, metal carbide, metalloid carbide, metal nitride, metalloid nitride and mixtures or alloys. The first material has a grain size greater than the grain size of the second material. The invention relates to improved nuclear fuels and methods of producing them. A uranium fuel pellet having large grains of one component surrounded with a coating formed by a second granular material is provided. Various properties, e.g., thermal conductivity and water resistance, of the large grains may be improved by addition of the coating thereon formed by the second granular material. The second granular material is incorporated in the fuel by blending or mixing with the large grains in pelleting. Other known fuel additives may also be incorporated, such as, lubricants, burnable absorbers, and/or pore-formers. The powders/grains are pressed and compacted into a cylindrical pellet form, and then sintered into the nuclear fuel pellets. The sintered pellets may be machined to specific dimensions. An amount of the second granular material used should be sufficient to provide good coverage or complete coverage of the surface of the large grains. It has been found that an amount of the second granular material can be minimized by changing, e.g., reducing, the size of the additive relative to the large grains of the bulk material. The grains of the second granular material can be milled to reduce their size, e.g., diameter or radius. The surface area to volume ratio of the second granular material is reduced to a smaller diameter than the large grains. The invention allows for maximizing the amount of fuel (e.g., uranium nitride, uranium silicide, or uranium dioxide) in a pellet by minimizing the amount of additive, while achieving the improved properties. In certain embodiments, the invention includes nuclear fuels having improved water, steam or air resistance and/or thermal conductivity, and methods for their production. According to the invention, the nuclear fuel includes a bulk fuel material and a fuel additive component. The bulk fuel material is in a dry form, e.g., granular or powder, and typically is composed of one or more of uranium nitride (UN), uranium silicide (U3Si2), and uranium dioxide (UO2). The fuel additive component is in a dry form, e.g., granular or powder, and is selected from one or more known metals, metal alloys, metal oxides, metalloid oxides, metal nitrides, metalloid nitrides, metal carbides, metalloid carbides, metal borides, metalloid borides, and mixtures and combinations thereof. In certain embodiments, a metal fuel additive component includes one or more of chromium (Cr), zirconium (Zr) and aluminum (Al), and a metal alloy fuel additive component includes one or more alloys thereof, such as, aluminum chromium (AlCr) alloy. An oxide fuel additive component includes one or more of uranium dioxide (UO2), beryllium oxide (BeO), zirconium oxide (ZrO2), chromium oxide (Cr2O3), titanium oxide (TiO2) and yttrium oxide (Y2O3). The fuel additive component may include mixtures or combinations of these metals, alloys and oxides. The fuel additive component may be in the form of a glass including one or more elements selected from the group consisting of aluminum (Al), boron (B), silicon (Si), sodium (Na), lithium (Li), and compounds and combinations thereof. The fuel additive component is combined with the bulk fuel material to produce a nuclear fuel having one or more improved properties, such as, enhanced water resistance and/or thermal conductivity, as compared to the properties of the bulk fuel material absent of the fuel additive component. The desired improved properties are achieved with an optimal, e.g., minimal, amount of the fuel additive component being combined with the bulk fuel material. Without intending to be bound by any particular theory, it is believed that presence of the fuel additive component having a lower density, as compared to the density of the bulk fuel material, reduces the density of a resultant fuel composition (as compared to a nuclear fuel composed of the bulk fuel material only). In order to minimize the reduction in density of the resultant fuel composition, it is preferred to optimize, e.g., minimize, the amount of fuel additive component. The bulk fuel material has a larger grain or particle size as compared to the fuel additive component. Upon combining the fuel additive component with the bulk fuel material, the fuel additive component essentially covers or coats the bulk fuel material. Without intending to be bound by any particular theory, it is believed that the smaller sized grains or particles of the fuel additive component stick or adhere to the surface of the larger sized grains or particles in the bulk fuel material. As used herein, the term “smaller grains/particles” or “small grains/particles” means that the grains or particles in the fuel additive component are smaller in size, (e.g., have a smaller/lesser radius or diameter) than the grains or particles in the bulk fuel material. As a result, the fuel additive component effectively protects the bulk fuel material (e.g., from water). The fuel additive component is used in an amount that is effective to sufficiently cover or coat the total surface of the (larger) grains in the bulk fuel material. As aforementioned, it preferable to optimize, e.g., minimize, the amount of fuel additive component used. In certain embodiments, the fuel additive component constitutes an amount that is effective to sufficiently cover or coat the total surface area of the grains in the bulk fuel material, and does not significantly reduce the density such that a resultant fuel material is economically tenable. In accordance with the invention, a uranium fuel pellet can be produced having larger grains of one component surrounded with a coating formed from a second granular material, e.g., additive component. The properties of the larger grains are improved by the coating on the larger grains formed from the other granular material, e.g., additive component. In order to provide good, e.g., sufficient, coverage of the surface area of the bulk fuel material with a minimum amount of the fuel additive component, the surface area to volume ratio of the fuel additive component is reduced; the grain size (e.g., diameter or radius) of the fuel additive component is smaller as compared to the larger grain size (e.g., diameter or radius) of the bulk fuel material. Thus, for complete coverage of the large grains of the bulk fuel material by the small grains of the fuel additive component, the volume ratio of small to large grains is greater than 4 times the ratio of the small grain radius to large grain radius (i.e., 4*Rs/Rl), wherein “Rs” is the radius of the small grains (of the fuel additive material), and “Rl” is the effective radius of the large grains (bulk fuel material).Vr (small to large grains)>4*Rs/Rl  (Equation 1) The grains or particles of the fuel additive component are milled to a diameter that is less than Vr*Rl/4, wherein “Vr” is the desired volume ratio of the additive component to the bulk component. For example, for a desired volume ratio of less than 10%, the fuel additive component is milled to have an effective radius less than 0.025 times the effective radius of the large grains, on average (0.10=4(0.025)). The minimum mass ratio of small grain material is ρs/ρl*4*Rs/Rl, wherein “ρs” is the density of the small grain material and “ρl” is the density of the large grain material.Minimum Mass Ratio (small grain)=(ρs/ρl)*(4*Rs/Rl)  (Equation 2) The “Rs” and “Rl” are the average, effective radii of the small and large grain material, respectively, wherein the effective radius is defined as the radius of the sphere that gives the same average volume as the average radii of the small and large grain materials. Thus, the amount of the fuel additive component is minimized by changing, e.g., reducing, the grain size of the additive relative to the grain size of the bulk material, e.g., the ratio of the grain sizes. In certain embodiments, an improved uranium fuel pellet is produced by combining the powder bulk fuel material having larger grains and the powder fuel additive component having smaller grains, such that the smaller grains substantially form a coating to cover the surface of the larger grains. The grains sizes are selected/adjusted in accordance with the aforementioned equations, and may be milled accordingly prior to combining with the larger grains. One fuel additive component or more than one fuel component may be combined with the bulk fuel material. The powder of larger grains coated with the smaller grains is pelletized and sintered to form the uranium fuel pellet. The invention includes, but is not limited to, the following fuel improvements: (i) increased thermal conductivity of uranium dioxide (large grains) by adding BeO (thin coating on the large grains of the uranium dioxide); (ii) increased water resistance of uranium nitride (large grains) by adding uranium dioxide (thin coating on the large grains of the uranium nitride); and (iii) improved waterproofing of uranium silicide (large grains) by adding one or more of BeO, Cr, Zr or UO2 (thin coating on the large grains of the uranium silicide). While the invention has been described in terms of various specific embodiments, those skilled in the art will recognize that the invention can be practiced with modifications within the spirit and scope of the appended claims.
051223309
description
DETAILED DESCRIPTION OF THE INVENTION While having utility in a broad variety of industrial monitoring functions, the apparatus of the present invention finds particular use operating under the hostile environment of a nuclear reactor core. The assembly of the present invention generally comprises brazed and welded metal parts, which are corrosion-resistant. The apparatus preferably has two zones on the outer sensing surface. One zone comprises a scored section, i.e., a section which is threaded, striated, grooved, roughened, scratched, or otherwise altered to increase surface area, preferably scored to a one mil deep fine pitch spiral, to promote the formation of nodular corrosion. The second zone is a substantially smooth surface wherein the average change in potential and accordingly corrosion on the outer sensing surface is determine. The first zone has corrosion, and in particular nodular corrosion, occurring at a faster rate because of the scored surface. The reference section, not being exposed to the core water, undergoes a change in potential due to radiation damage, and, should not have corrosion occurring on it. It is preferred that potential in the sensor means be measured at three points, i.e., at the first and second zones on the outer sensing surface, and at the reference section. From these three measurements, the accelerated nodular, and more general corrosion occurring on the cylindrical section is determined. From these potential measurements the change in cross-section due to corrosion of the fuel cladding can be determined because the sensor means of the apparatus of this invention, preferably is fabricated out of the same material as the cladding; or, out of a material which undergoes corrosion and radiation damage in the same manner as the cladding. So that the corrosion and damage to the sensor reflects the corrosion and damage occurring to the fuel cladding, it is most preferred that the sensor means be fabricated from the same lot of material used for making the fuel cladding. In this way, the same crystalline texture found in the fuel cladding that is, for example, formed by pilger rolling tube reduction and beta quenching can be present in the cylindrical section and reference section of the sensor means. So that the potential measurements from the sensor means correspond as accurately as possible to the corrosion occurring on the fuel cladding, it is also preferred that the apparatus to be set in place in the reactor when the fuel is installed. Further, the thickness or cross-section of the cylindrical section is preferably about the same as that of the fuel cladding. Note, however, one need only have one zone on the cylindrical section, e.g., the substantially smooth zone and take only potential measurement relative to that, e.g., only two potential probes attached to the inside. The sensor, when used is preferably placed in the core of a nuclear reactor, as near as possible to the nuclear fuel rods or other member on which corrosion is being simulated. The apparatus can be any suitable size, including the size of a fuel rod. The cylindrical section is sealed so that the reference section is not exposed to corrosion from the reactor core coolant water. This sealed-tight environment ensures that the potential change in the reference section is not due to corrosion. Accordingly, the circular base from which the sidewall means extend is sealed thereto, and the circular base is made of the same material as the cylindrical section and the reference section. The potential change of the reference section which is due to radiation damage alone enables on e to determine potential change in the cylindrical section from this effect. Further, the reference section potential change coupled with a knowledge of the core water temperature enables one to correct for potential change due to water temperature. Further more, the sensor is preferably attached to a sleeve. The sleeve is preferably stainless steel. Because stainless steel cannot be welded to zirconium or Zircaloy alloys, the attachment is preferably a transition piece; and, when the sensor is a Zircaloy alloy, the attachment is a stainless steel to zircaloy joint or transition piece, which will insulate the wires for the leads and potential probes within the apparatus from the nuclear reactor coolant water. The attachment is more preferably by a bonded Zircaloy II to stainless steel transition piece. A preferred embodiment of the transition piece calls for a Zircaloy to Zircaloy connection and stainless steel to stainless steel connection. This transition piece is fabricated by any suitable means, including by co-extruding a Zircaloy alloy tube with a stainless steel tube to form a metallurgical bond between the tubes. A section of the inner tube is removed from one end of the transition piece, and a section of the outer tube is removed from the other end, and the Zircaloy end is welded to the cylindrical section and the stainless steel end is welded to the sleeve. The attachment can also be made by brazing the cylindrical section to the sleeve, or brazing a section of a compatible material such as Kovar alloy therebetween. Kovar alloys are comprises of by weight percent, about 53.8% Fe, 29% Ni, 17% Co, and 0.2% Mn. Mineral insulated stainless steel, nickel, or preferably platinum wires can be employed for the probe and lead wires. The wires are preferably fastened, e.g., welded, to suitable places on the inner surfaces of the sensor. The mineral insulated wires have a metal jacket of, for example, stainless steel on the portion of the wire at least outside of the sensor. If the metal jacket on the wires was made from zirconium alloy, Zircaloy alloy, or Zircaloy II alloy, the sleeve could be formed from the same zirconium alloy and the attachment to the sensor means could be by brazing or welding without a separate transition member. This is because the zirconium alloy wire jacket can be brazed to form a moisture-proof seal with the opening in the end cap of the sleeve. In the embodiment of the invention shown in FIG. 1, the sensor means of the apparatus has a substantially hollow cylindrical section, having a cross-section A.sub.1, with a scored section and a substantially smooth surface section on the outer surface. The sensor means also includes an elongated rod, having a cross-section A.sub.2, within the interior of the cylindrical section. The sensor means is connected to a transition piece which is welded or brazed onto the structure. The transition piece is also connected to a sleeve. The sensor means undergoes changes in potential and by monitoring those changes, corrosion on the exterior of the sensor can be determined. The corrosion occurring on the sensor reflects the corrosion on the fuel cladding. Referring to FIG. 1, the structure of the apparatus according to the present invention is represented in general at 10 in sectional fashion. Apparatus 10 has a generally cylindrical shape and is divided into sensor portion 10A and sleeve portion 10B; the latter including transition member 14 and sleeve 20. Apparatus 10 is further comprises of components including: first end cap 11, second end cap 13, a cylindrical section 24 having a smooth sensor section 16 and a scored section 12; potential probes 71a-e, and 17a'-e'; leads 19a and 19b; and inner rod 15 attached to first end cap 11. Preferably, rod 15 and end cap 11 are formed as a single piece. Most preferably, rod 15 is a piece of fuel rod cladding having the same composition and crystal texture as the fuel rod cladding on which corrosion is being monitored. Weld 18 is used to seal first end cap 11 to cylindrical section 24. End cap 11 is preferably of the same material as sensor portion 10A and rod 15, preferably Zircaloy II. An electric current enters through lead 19a and can exit through the rod 15 by way of lead 19b. When the current passes through the scored section 12, potential probes 17c and 17d or 17c' and 17d' attached to scored section 12 can monitor any change in potential occurring in this section. Probes 17c and 17e, or 17c' and 17e' can be used to measure any change in potential in smooth sensor section 16 (i.e., the area of sensor portion 10A between probes 17c and 17e, or 17c' and 17e'). Further, probes 17a and 17b, or 17a' and 17b' can be used to measure any change in potential in rod 15. While ten probes (17a-e, and 17a'-e') are shown in FIG. 1, apparatus according to this invention can have as few as four (4) probes, two probes in electrical contact with rod 15 and two probes in electrical contact with, for example, smooth section 16. Likewise, the leads can be placed in any suitable location. Inner rod 15 is also preferably comprised of a Zircaloy alloy. It is preferred that rod 15 exist in a moisture-free environment within apparatus 10, i.e., that rod 15 not be exposed to the coolant water in the reactor core. Thus, rod 15 is situated within apparatus 10. Weld 18 seals end cap 11 pressure tight. Probes 17a-e and 17a'-e'are spot welded to the inner surface of apparatus 10 to prevent corrosion and loss of electrical contact between the probes and the apparatus. The probes can be formed from stainless steel, platinum, nickel, or any other metal or alloy or conductor having suitable properties. The probe wires are covered with a mineral insulator (not shown), e.g., ceramic material comprised of such compounds as magnesium oxide (MgO) or aluminide oxide (Al.sub.2 O.sub.3). Suitable properties for the mineral insulator include resistance to radiation damage, stability in high temperatures, and noncombustibility. At least the portion of the wires outside of the sensor are covered by a metal jacket such as stainless steel over the mineral insulation. Probes 17a'-e' and leads 19a'-b' as shown in the arrangement of FIG. 1 are rudundant probes and leads that insure operation of the sensor if any of probes 17a-e or leads 19a-b fail or lose electrical contact with apparatus 10. Additional probes and leads, not shown, can be used to increase the redundancy to ensure a long operating life for the apparatus 10. Current leads, 19a and 19b, can be formed of any suitable material such as: stainless steel, platinum, nickel, or any other metal or alloy or conductor having suitable properties. The leads can also be covered with a mineral insulation and a metal jacket such as stainless steel. Preferably, the probe wires ore leads within the sensor are connected by spot welds (not shown) to cable assemblies 31 passing through and sealably attached to end cap 13 by brazes 21. The cable assemblies contain multiple mineral insulated wires covered by a metal, e.g. stainless steel, jacket. Cable assemblies 31 are marketed, for example, by Reutor-Stokes, a division of General Electric Company, Twinsburg, Ohio. Sensor portion 10A preferably is connected at section 16a to transition member 14 of sleeve portion 10B. This is, transition member 14 is preferably employed to sealably connect sensor portion 10A to sleeve 20 of sleeve portion 10B. Transition member 14 can be the coextruded member or brazed joint described above. Transition member 14 can also be formed from a suitable material which is compatible to both sleeve 20 and sensor portion 10A. This material is then brazed to both section 16a and sleeve 20. Such a material is the Kovar alloy. If a Zircaloy to stainless steel member or joint is used as transition member 14, it is preferred to have Zircaloy to Zircaloy and stainless steel to stainless steel welds. FIG. 1 member 14 is depicted as a Zircaloy to stainless steel member or joint with section 14a' being Zircaloy, section 14a being a Zircaloy to Zircaloy connection, section 14c' being stainless steel, section 14c being a stainless steel to stainless steel connection, and section 14bbeing the point of transition from section 14a' to section 14c' . Other suitable methods of attaching sensor portion 10A to sleeve portion 10B, in addition to brazing to welding can also be employed. End cap 13 is sealably attached, for example by welding, to sleeve 20 and is also preferably of stainless steel. Preferably end cap 13 and sleeve 20 are formed as a single piece. End cap 13 is provided with at least one opening through which cable assemblies 31 pass, the openings and outer sheath of the cable assemblies being sealed by braze 21 to form a moisture-proof seal for channel 10' in apparatus 10. Leads 19a and 19b and probes 17a-e and 17a'-e' are individually connected in electrical contact, for example by spot welding not shown, with the wires in the cable assemblies 31. To minimize potential changes due to thermal gradients within the sensor means, and reduce the pressure differential within and outside the sensor when located in the reactor core, it is preferred that helium or other heat conducting gas be sealed at a suitable pressure that compensates for the high pressure coolant water that will surround the sensor when it is placed in the reactor core. Corrosion is monitored preferentially be reversing d.c. potential methods. To accomplish this, a reversing direct current is applied to the sensor so as to provide a potential field within the sensor. It is noted that the use of a reversing d.c. potential is not essential to practice this invention. Any means for producing a potential in the sensor is suitable. This can be accomplished by simply applying a current to the sensor. The probe voltage can fall within a wide range, i.e., from about 0.1 microvolts to 12 volts. However, it is desirable to maintain the potential in the microvolt range to avoid excess noise and drift and to minimize electrochemical influences on corrosion of the sensor. These factors will detract from the accuracy of the measurements obtained. Where it is desirable to maintain the potential in the microvolt range, it may be necessary to amplify the electrical potential measurement obtained across the probes. Amplification as much as 100,000 times or more may be necessary to permit measurements of the electrical potential field within the microvolt range. Where utilizing amplifiers, those which experience low long-term drift, i.e., less than 2 microvolts per year, are preferred. Where such an amplifier is used, the period for current reversal is often limited by the settling time of the amplifier. A one-half second reversal period is adequate for some 1000x gain amplifiers. The current is preferably reversed periodically to avoid amplifier zero drift and drift due to thermal electromotive forces created at the junction points for the current leads and potential probes. Measurements made with reversed direct current avoids the need for taking a measurement where zero voltage is supplied. By avoiding measurements at zero voltage, inaccuracies due to amplifier zero drift are eliminated. It is preferable to reverse the direct current at a rate within the range of about 0.5 to 4 times per second. Reversing the current at higher rates encourages the problems associated with using alternating current, where the voltage experiences a "skin effect" in which the current density near the surface of the sensor is higher than the subsurface portions of the sensor. Reversing the current at rates lower than 0.5 times per second yields fewer potential readings resulting in lesser resolution of the change in cross-section due to corrosion. The rate at which the current is reversed may be limited by the settling time of the equipment utilized, such as an amplifier. Any conventional switching device is suitable for reversing the direct current. Those devices which experience low drift characteristics, i.e., less than 0.1 percent, and low variances, i.e., less than 0.1 percent, are preferred. The switching device may be controlled by a general purpose computer or other controlling means, such as a timer. The potential difference across the pairs of first and second probes can be detected by conventional means capable of receiving a voltage across a pair of probes on a conductive material. The probes can be simple contacts, screws, welds and the like where a conductive lead, such as a wire, cable, buss etc. is affixed to the sensor. These conductive leads are affixed to the sensor in a manner which permits electrical conductance to a voltage measuring device, such as a volt meter or an analog/digital converter. It is preferable to measure the value of the potential difference across the probes continuously; however, intermittent measurements of the potential difference are acceptable and do provide useful information as to the change in cross-section of the sensor from corrosion. It is desirable to measure the potential difference as accurately as possible so as to enhance the determination of corrosion. To enhance the resolution of the voltage detecting step, an "average measured value" for the potential difference across each pair of first and second probes is obtained by averaging detected values. In general, the more detected values averaged, the higher the resolution. When utilizing a reversing d.c. potential method, it is preferable to first calculate an average reading per current cycle for each probe pair from at least ten paired detected values (readings), i.e., ten readings are taken when the current is positive and ten readings are taken when the current is negative. These positive and negative readings are preferably detected within milliseconds following the setting time of the amplifier. One-half the difference between the averaged positive and averaged negative readings is calculated and is the average reading per current cycle. In order to increase resolution, it is preferable to average readings for about 100 to 100,000 current cycles to obtain a single reading or the "average measured value". This corresponds to averaging about 1,000 to 1,000,000 paired detected values. By averaging this large number of paired detected values, the signal to noise ratio for the measured values increases. By increasing this ratio, smaller changes in the potential difference across the pairs of first and second probes can be resolved and, therefore, smaller changes in cross-sectional area due to corrosion can similarly be resolved. Depending on the noise in the system, the average measured value obtained from 10,000 paired detected values may give a change in cross section of about 0.1 percent. Systems with more noise will require more detected values for the resolution sought for. These detected values can be averaged by a general purpose digital computer or by a computer customized to provide the degree of averaging desired. In addition, a circuit which averages the detected potential difference across each pair of first and second probes can be used in lieu of a computer. A digital computer can calculate the subsequent cross-sectional area values. This data may be communicated to the user by conventional means, such as a visual recorder or by acoustic warning signals. The data may also be communicated to an automatic control mechanism or stored for subsequent analyses and interpretation. The relationship between current passing through the sensor means and the potential measured is given by the proportional relationship V.varies.IR where V is potential measured in voltage, I is current, and R is resistance. While current is fixed by the current throughput through the leads, resistance changes according to radiation damage in the sensor and loss of cross-section due to corrosion of the cylindrical section. Therefore, loss of cross-section due to corrosion can be monitored, for example in scored section 12, by measuring the potentials, V.sub.1 and V.sub.2, where V.sub.1 is the potential measured between the pair of first probes 17c and 17d separated by length L.sub.1, and V.sub.2 is the potential measured between the pair of second probes 17a and 17b separated by length L.sub.2 on the reference section, rod 15, having cross-sectional area A.sub.2. Potentials V.sub.1 and V.sub.2 can be determined by the "average measured value" method described above, and are proportional to the relationships, ##EQU1## where .rho. is a constant known to those skilled in the art as the resistivity of the material and depends on factors such as temperature, composition, crystal structure, crystal defects. With I and .rho. the same for the reference and cylindrical sections, ##EQU2## The subsequent cross-sectional area, A.sub.i, of the cylindrical section between the first pair of probes after corrosion has occurred can be determined according to the proportional relationship: ##EQU3## If sensor means 10A is comprised of a circular cylindrical section having a mean diameter D.sub.1, which is the mean of the inside and outside diameters of the cylindrical section, then the subsequent thickness, ti, of the cylindrical section between the pair of first probes can be determined by the proportional relationship: ##EQU4## It should be understood that many pairs of first probes can be located along the cylindrical section to measure potential changes at different sections of the cylindrical section, such as the smooth section and scored section shown in the apparatus above. The appropriate length and potential measurements between the probes are substituted in the above proportional relationships to determine the subsequent cross-sectional area in each of these sections. In addition, multiple pairs of first probes can be placed in electrical contact around the inner surface of the cylindrical section at selected locations, for example, at the beginning and end of the scored section. In FIG. 1 first probes 17d and 17d, are at one end of the scored section 12, and 17c and 17c' are located at the other end of the scored section forming two pairs of first probes bordering the scored section. A subsequent cross-sectional area that is more representative of the localized region between individual pairs of first probes is determined by measuring the potential between individual pairs of first probes, while an average subsequent cross-sectional area between multiple pairs of probes is determined by measuring an averaged potential between the multiple pairs of probes. For example, first probes 17d and 17d, can be connected in electrical contact and first probes 17c and 17c' can be connected in electrical contact. As a result, an averaged potential is measured between the two pairs of first probes, and an averaged subsequent cross-sectional area in the scored section 12 is calculated therefrom. Since the measured potential is a function of cross-section, as shown above, a reduced cross-section provides a more sensitive potential measurement that detects smaller changes in cross-section. For example, if the cylindrical section of the sensor described above is reduced from a wall thickness of 30 mils to 10 mils the sensitivity of the potential measurements increases three fold. However, a cylindrical section having such a reduced cross-section may collapse from the pressure of the coolant water surrounding the sensor. Therefore, in another embodiment of the apparatus, a section of the cylindrical section has a reduced wall thickness or cross-section by forming a channel in the circumference of the inside diameter of the cylindrical section. The size of the channel is selected to retain the structural strength in the cylindrical section needed to withstand the pressure from coolant water in a nuclear reactor core. At least one Pair of first probes is placed in electrical contact with the beginning and end of the channel for measuring the potential in the reduced cross-section. The sensor retains the necessary structural strength in the cylindrical section while increased sensitivity of potential measurements is achieved in the reduced cross-section between the channel and the outer surface of the cylindrical section. Having thus described in detail preferred embodiments of the present invention, it is to be understood that the invention defined by the appended claims is not to be limited by particular details set forth in the above description as many variations thereof are possible without departing form the spirit or scope of the invention.
summary
053316754
description
DETAILED DESCRIPTION OF THE EMBODIMENTS Hereinafter, details of the present invention is explained based on embodiments by referring to drawings. First, the constitution of a reactor core comprising fuel assemblies 8, a CR 6, a CRD 4 and a FS 7 in a reactor vessel is explained. FIG. 1 shows the internal constitution in the reactor vessel of a BWR, FIG. 2 is a bird's-eye view of part II in FIG. 1, FIG. 3 is an assembling drawing of the fuel assemblies 8, the CR 6, the CRD 4 and the FS 7, and FIG. 4 is an enlarged view of part IV in FIG. 2 which is shown partially by a sectional view. As shown in FIG. 1, in a BWR, constitution components are installed in the reactor vessel 1. A reactor core support plate 3 is fixed to a reactor core shroud 2 constructed with the reactor vessel 1 in a body. The CRD 4 is provided in the bottom part of the reactor vessel 1. As shown in FIG. 2 and FIG. 3, a CR guide tube 5 is provided above the CRD 4, projecting above the reactor core support plate 3, fixed by engaging pins 3a provided on the reactor core support plate 3 into connection holes 5a of the control guide tube 5. In the CR guide tube 5, the CR 6 having cruciform section is connected to the top of the CRD 4 by the bayonet coupling as shown in FIG. 5(a) and 5(b), FIG. 6(a), 6(b), 6(d) and 6(e), and in the upper part of the CR guide tube 5, the FS 7 for supporting four fuel is fixed by engaging the pins 3a into connection holes assemblies 8 is provided. As shown in FIG. 4, the FS 7 7a for preventing the FS 7 from rotating. The fuel assemblies 8 are positioned by inserting the bottom part of the fuel assemblies 8 into holes for fuel support 7b and the top parts of the fuel assemblies 8 are fixed by the upper grid plate 9. Next, the constitution of the bayonet coupling 10 for connecting the CR 6 and the CRD 4 is explained. FIG. 5(a) and 5(b) show the constitution of the bayonet coupling, FIG. 5(a) is a bird's-eye view of the connection part and FIG. 5(b) is an enlarged view of the part B in FIG. 5(a). FIG. 6(a)-6(f) show the procedures for disconnecting the bayonet coupling 10, FIG. 6(a), 6(b) and 6(c) are vertical sectional views of the bayonet coupling, and FIG. 6(d), 6(e) and 6(f) are a D--D sectional view of FIG. 6(a), a E--E sectional view of FIG. 6(b) and a F--F sectional view of FIG. 6(c), respectively. As shown in FIG. 6(a) and 6(b), the bayonet coupling 10 consists of a coupling socket 11 provided at the bottom of the CR 6 and a coupling spud 12 provided at the top of the CRD 4. Convex parts 13 are arranged by 90 degrees of interval in the circumferential direction at the upper part of the coupling spud 12 and convex parts 15 are arranged by 90 degree of intervals at the inside face of the coupling socket 11. As shown in FIG. 6(b) and 6(e), the connection of the convex parts 13 and 15 is disconnected by rotating the coupling socket 11 by 45 degrees. Next, the procedures for control rod exchanging is explained by referring to FIG. 7. The steps 101-112 of FIG. 7 indicate each procedure for exchanging the control rod. FIG. 8(a)-8(e) are drawings of the upper grid plate 9 viewed from above and correspond to the steps from the step 101 of taking out the fuel assemblies 8 to the step 105 of taking out control rod blade guides 16, respectively. Coupling and disconnecting the bayonet coupling 10 are implemented after fully withdrawing the CR 6 downward. After the step 105, the step 106 for taking off the CR 6 and the step 107 for mounting the CR 6 are implemented. Conventionally, the three sub-steps in the dotted line box of each step 106 and 107 are not continuously implemented and the independent procedure is needed for implementing each of the three sub-steps. Especially, for the tasks for taking off the FS 7 and the CR 6, the tasks of attaching or taking off the exclusive equipment for the FS 7 or the CR 6 and the tasks of getting down or lifting the exclusive equipment are needed in the sub-steps. On the other hand, by using the apparatus and the method for handling the CR 6 of the present invention, the three sub-stages in each of the steps 106 and 107 are continuously implemented as a step. Next, the constitution of the control rod handling apparatus as an embodiment of the present invention is explained by referring to FIG. 9-12. FIG. 9 shows the constitution of the control rod handling apparatus of the embodiment. The control rod handling apparatus 100 comprises a fixing part 20, a CR handling part 21, a FS handling part 22, a vertically moving part 23 and a rotating part 23 of which functions are mentioned after. The fixing part 20 having dual constitution comprises a body 30 of the fixing part 20 inside which a rotating part 24 is provided, a member for connection 31 provided at the top face of the body 30 and attached to a wire rope 110 hanging from the subsidiary hoist of the fuel exchange apparatus not shown in a figure, and guides 32 provided at the bottom face of the body 30 to be seated at the top face of the upper grid plate 9 and to be supported by the upper grid plate 9 for preventing the control rod handling apparatus 100 from rotating. The CR handling part 21 provided at the bottom part of the fixing part 20 and having the same cruciform section as the CR 6, comprises a CR handling body 33 at the bottom of which a disk 33a is provided, a CR grasping instrument 34 provided at the bottom of the disk 33a for grasping the CR 6, a member 35 for detection of seating of the CR handling part 21 at the CR 6 to detect seating of the CR handling part 21 at the top of the CR 6 fully withdrawn and a sensor 35A for detection of seating of the CR handling part 21 at the CR 6 provided at the bottom face of the disk 33a. As shown in FIG. 10 by the sectional view in XII--XII direction of FIG. 9, the CR grasping instrument 34 comprises a CR hook 51 supported by a pin 53 in the center of the bottom face of the member 35 for detection of seating of the CR handling part 21 at the CR 6 and an air cylinder 52 connected to the top face of the member 35 by a pin 54. The CR hook 51 is connected to the bottom of the air cylinder 52 and grasps the CR 6 by revolving on the fulcrum of the pin 53 by the action of the air cylinder 52. The member 35 for detection of seating of the CR handling part 21 at the CR 6 comprises the disk form upper part and the cruciform lower parts the four bottoms of which are nicked for positioning the CR handling part 21 to the CR 6. The member 35 for detection of seating of the CR handling part 21 at the CR 6 is connected to the disk 33a at the bottom of the CR handling body 33 by engaging the projection part 35a at the top of the member 35 into a connection cover 55 and has ability of some up-and-down motion by a bush 56 and a spring 57. When the member 35 for detection of seating of the CR handling part 21 at the CR 6 is seated at the CR 6, the member 35 is pushed up and the projection part 35a goes up inside the connection cover 55 as pushing the spring 57. At the same time, the top face of the member 35 pushes the tip of the sensor 35A for detection of seating of the CR handling part 21 at the CR 6 which generates a signal of seating of the CR handling part 21 at the CR 6. A circuit not shown in a figure confirms that the CR handling part 21 is seated at the CR 6 by accepting the signal. The FS handling part 22 comprises a FS handling body 36 having a cruciform hole in its center so that the CR handling body 33 penetrates the hole and the FS handling part 22 can move vertically outside the CR handling body 33, a FS grasping instrument 37 provided at the bottom face of the FS handling body 36 and having hooks 61 which are inserted into the holes for fuel support 7b shown in FIG. 3 and grasps the FS 7, positioning pins 38 provided at the bottom face of the FS handling body 36 which are inserted into the holes for fuel support 7b and position the FS handling part 22, a member 39 for detection of seating of the FS handling part 22 at the FS 7 having the same cruciform hole in its center as the FS handling body 36 and detecting that the FS handling part 22 is seated at the top face of the FS 7, and a sensor 39A for detection of seating of the FS handling part 22 at the FS 7 provided at the bottom face of the member 39. The FS grasping instruments 37 and the positioning pins 38 are provided in pairs, each pair of which are diagonally located, respectively. As shown in FIG. 11 by the sectional view in XIII--XIII direction of FIG. 9, the FS grasping instrument 37 comprises two FS hooks 61 respectively divided into two pieces the interval between which tapers downward, a FS hook support member 62 having cylindrical shape and provided at the bottom face of the member 39 for detection of seating the FS handling part 22 at the FS 7, an air cylinder 63 provided at the bottom face of the member 39 and inside the FS hook support member 62, and a shaft vertically moving by operation of the air cylinder 63. After the FS hooks 61 are inserted into the holes for fuel support 7b, the divided bottom parts of each FS hook 61 are spread out when the shaft 64 is lowered along the inside faces of each FS hook 61 tapering downward. Then the FS 7 is grasped by the spread bottom parts of the FS hooks 61. The member 39 for detection of seating of the FS handling part 22 at the FS 7 is connected to the FS handling body 36 at the top of which a projection part 39a is engaged into a connection cover 65 and has ability of some up-and-down motion by a bush 66 and a spring 67. When the member 39 for detection of seating of the FS handling part 22 at the FS is seated at the FS 7, the member 39 is pushed up and the projection part 39a goes up inside the connection cover 65 as pushing the spring 67. At the same time, the top face of the member 39 pushes the tip of the sensor 39A for detection of seating of the FS handling part 22 at the FS 7 which generates a signal of seating of the FS handling part 22 at the FS 7. A circuit not shown in a figure confirms that the FS handling part 22 is seated at the FS 7 by accepting the signal. The vertically moving part 23 comprises a pair of racks for vertical motion 40 penetrating the FS handling part 22 provided at the bottom of the fixing part 20, a pair of motors for vertical motion 41, and a pair of pinions for vertical motion 42 provided to the pair of motors 41, respectively. The rotating part 24 as shown in FIG. 12 partially by the sectional drawing and viewed in XIV direction of FIG. 9, comprises a rack for rotation 43, a member for rotation 44 connected to the bottom of the rack for rotation 43 and to the CR handling part 21, a motor for rotation 45 provided at the fixing part, and a pinion for rotation 44 gearing with the rack for rotation 43 attached to a shaft of the motor for rotation 45. The member for rotation 44 comprises two large radius of disks sandwiching a small disk in them and prevents itself from falling by engaging the member 44 into a circular hole provided at the top face of the lower part of the body 30 of the fixing part 20. The CR handling part 21, the FS handling part 22 and the vertically moving part 23 are rotated by the member for rotation 44 which is also rotated by the motor 45, the pinion 46 and the rack 43. Next, a method as an embodiment for taking off the CR 6 corresponding to the step 106 of FIG. 7 by using the above-mentioned CR handling apparatus 100 is explained by referring to FIG. 13-17. The procedures of getting down the CR handling apparatus 100 and the FS handling part 22 are as follows. As shown in FIG. 13, the member for connection 31 is connected to the tip of the wire rope 110 hanged from the subsidiary hoist of the fuel exchange apparatus and the CR handling apparatus 100 is got down into the reactor core by unwinding the wire rope 110 with the subsidiary hoist. The motion of getting down the CR handling apparatus 100 is stopped when the bottoms of the guides 32 are seated at the top face of the upper grid plate 9. The FS handling part 22 is lowered to the FS 7 as shown by an arrow in FIG. 13 by using the motors 41, the pinions 42 and the racks 40 of the vertically moving part 23. And the motion of lowering the FS handling part 22 is stopped when the member 39 for detection of seating of the FS handling part 22 at the FS 7 is seated at the top face of the FS 7. At that time, the positioning of the FS handling part 22 to the FS 7 is done by inserting the positioning pins 38 diagonally allocated at the bottom face of the FS handling body 36 into the holes for fuel support 7b. The procedures of taking of the FS 7 are as follows. After the FS 7 is grasped by the FS grasping instrument 37 diagonally provided at the bottom face of the FS handling body 39, the FS handling part 22 is lifted above the upper grid plate 9 as shown by an arrow in FIG. 14, by operating the vertically moving part 23. In the procedures, since the horizontal direction of the FS 7 is kept as originally positioned, the FS 7 does not interfere with the upper grid plate 9 in taking off the FS 7 through the upper grid plate 9. The procedures of grasping the CR 6 and disconnecting the connection of the bayonet coupling are as follows. As shown in FIG. 15, the CR 6 is grasped by operating the CR grasping instrument 34. And, as shown in FIG. 16, the connection of the bayonet coupling between the CR 6 and the CRD 4 is disconnected by rotating the CR handling part 21, the FS handling part 22 and the vertically moving part 23 together by 45 degrees, by operating the rotating part 24. The procedures of taking out the CR 6 above the upper grid plate 9 are as follows. As shown in FIG. 17, the CR handling apparatus 100 grasping the FS 7 and the CR 6 is lifted by winding the wire rope 110 with the subsidiary hoist of the fuel exchange apparatus, and the CR 7 is taken out from the reactor core apart from the CRD 4. By the above-mentioned procedure, the CR taking out work comprising the steps of taking off the FS 7, disconnecting the CR 6 and the CRD 4 and taking out the CR is completed. And the step 107 of attaching a new CR as shown in FIG. 7 can be done by the reverse procedures to the above-mentioned procedures for taking out the CR 6 and the FS 7. Next, an another method as an embodiment for taking off a CR 6 by using of the above-mentioned CR handling apparatus 100 is explained by referring to FIGS. 18-20. The procedures of getting down the CR handling apparatus 100 and lowering the FS handling part 22 are the same procedures as the previous method. After the procedures, the FS 7 is taken off and lifted by the position below the upper grid plate 9 as shown in FIG. 18. And the CR handling part 21, the FS handling part 22 and the vertically moving part 23 are rotated by 45 degrees, then the connection by the bayonet coupling between the CR 6 and the CRD 4 is disconnected. The CR handling apparatus 100 grasping the FS 7 and the CR 6 is lifted by winding the wire rope 110 with the subsidiary hoist of the fuel exchange apparatus and stopped when the top part of the FS handling part 22 reaches the position right bellow the upper grid plate 9. The reason why lifting the CR handling apparatus is stopped at the position is explained as follows. FIG. 19(a) and 19(b) are figures of the FS 7 and the upper grid plate 9 viewed from above. As shown in FIG. 19(a), the FS 7 does not interfere with the upper grid plate 9 when the horizontal direction of the FS 7 is kept as originally positioned. In the second method for handling the CR 6, since the FS 7 is also rotated by 45 degrees in rotating the CR 6, the CR handling part 21 and the FS handling part 22 for disconnecting the CR 6 and the CRD 4, passing the FS 7 rotated by 45 degrees through the upper grid plate 9 is obstructed by interference between the FS 7 and the upper grid plate 9 as shown in FIG. 19(b). In order to avoid the interference, before passing the FS 7 through the upper gird plate 9, the FS 7 is rotated by 45 degrees in the reverse direction to the first rotation, as shown in FIG. 20. In the first method for handling the CR 6, before rotating the CR 6 for disconnecting the connection, the FS 7 is taken out above the upper grid plate 9. Therefore, the above-mentioned interference does not arise. The procedures of taking out the FS 7 and the CR 6 above the upper rid plate 9 are as follows. The FS handling part 22 is lifted above the upper grid plate 9. And the CR handling apparatus 100 grasping the FS 7 and the CR 6 is lifted by winding the wire rope 110 with the subsidiary hoist of the fuel exchange apparatus and then the CR 6 is taken out above the upper gird plate 9. By the above-mentioned procedures, the CR taking out work comprising the steps of taking off the FS 7, disconnecting the connection of the CR 6 and the CRD 4 and taking out the CR 6 is completed. And attaching a new CR can be done by the reverse procedures to the above-mentioned procedures for taking out the CR 6. As has been explained in the foregoing, according to the present invention, taking off the FS disconnecting the connection by the bayonet coupling between the CR and the CRD and taking out the CR from the reactor core are continuously implemented without any change of reactor core structures, which considerably shorten the time for the CR exchange.
046718974
abstract
Radioactive waste is first converted into hardly water-soluble powder (including a water-insoluble powder) and then solidified with a hydraulic solidifier in a solidification vessel. The radioactive waste may be powdered (including granulated and encapsulated) by incorporating the radioactive waste with a substance which is capable of reacting with the water-soluble salt contained in the radioactive waste to form a hardly water-soluble salt (including a water-insoluble salt) and then powdering the mixture with drying, or by powdering the radioactive waste with drying, granulating the powder with drying and then microencapsulating the granules with a hardly water-soluble substance (including water-insoluble substance). The hardly water-soluble salts are preferably calcium salts, and the additives are preferably calcium hydroxide solution or solvent of combination of dichloromethane solution and hexane.
description
This application is a continuation of application Ser. No. 11/077,130, filed Mar. 11, 2005 now U.S. Pat. No. 7,087,899, which is a continuation of application Ser. No. 10/483,596, filed on Feb. 10, 2004, now U.S. Pat. No. 6,946,656, which are hereby incorporated by reference in their entireties. The present invention relates to a charged particle beam device and relates in particular to a measurement method and device thereof for inspecting or measuring the dimensions and shape of a pattern formed on a sample piece. The greater scale of integration and miniaturization of semiconductor devices in recent years has resulted in many diverse patterns being formed on the wafer and makes it ever more important to evaluate and measure the dimensions and shapes of these patterns. How fast these measurement points can be detected is critical for quickly and automatically testing the numerous measurement points. During fast detection of measurement points, it is necessary to focus on the pattern after shifting to the measurement point and to also set the desired magnification (scale) for observing that point. In charged particle optical systems, the conditions for focusing on the wafer are determined by the acceleration voltage of the charged particle supply, the voltage applied to the wafer, and the height of the wafer. In the method disclosed for example in JP-A No. 126573/1999, a laser beam is irradiated onto the wafer, the height of the wafer is detected by using that reflected light, and the height information obtained in this way is fed back to an objective lens control system serving as one control device for the charged particle optical system, and the necessary excitation voltage is applied to the objective lens at the same time that movement to the observation point ends. In recent years however, more and more wafers are being found to contain a static electrical charge or electrostatic charge that still remains even when the wafers are electrically grounded. The cause of this static or electrostatic charge may for example be due to a fixed electrical potential from splitting (split polarization) of polarized material within the resist due to friction during applying of the resist coating by a spin coater. Another possible cause is residual electrical charges from etching that uses plasma. These residual electrostatic charges remaining on the sample can cause the focus of the charged particle beam to deviate and are a cause of magnification fluctuations and measurement errors in the charged particle beam device. A method is disclosed for example in JP-A No. 176285/1995 for resolving the focus deviation problem by storing a focus offset value for each measurement point on a scanning electron microscope to prevent focus deviations from interfering with automatic measurement. Another method is disclosed in JP-A No. 52642/2001 for installing electrometers at multiple points in proximity to the sample inside a vacuum and feeding a retarding voltage back as a value based on those measurement results. However, the technology disclosed in JP-A No. 176285/1995 has the following problems. The electrostatic voltage on the wafer is determined by the temperature and humidity, state of the resist and plasma intensity in that manufacturing process, so the electrostatic voltage is not a fixed value even on wafers undergoing the same manufacturing process. So even if the focus deviation is stored in a file for making automatic measurements, the focus deviation has to be updated (rewritten) for each wafer. A long time is therefore needed to measure a wafer and this delay caused productivity to drop. The electrostatic electrical potential also still remained unchanged on the wafer so that the actual accelerating voltage is different from the accelerating voltage actually needed. This differential causes differences in contrast and tiny structures to appear in secondary charged particle phenomenon that are formed. Other problems also still unresolved included errors in controlling the magnification, etc. In the method disclosed in JP-A No. 52642/2001, using electrometers installed within a vacuum, the electrostatic electrical potential cannot be measured without moving to the measurement point so a long time was required to make a measurement at one point. Another problem is that when a breakdown occurred, the charged particle and stage in the vacuum unit has to be exposed to the outside air so that maintenance of the equipment is difficult. Yet another problem is that the multiple electrometers have to be adjusted to constantly provide the same output. A first object of the present invention is to provide a device and method for detecting the characteristic electrostatic charge state of the sample without having to also measure the electrostatic charge at each measurement point. A second object of the present invention is to provide a method ideal for reducing or eliminating measurement errors or fluctuations in magnification due to electrostatic charges, a magnification adjustment method, and a device to implement these methods. To achieve the first object, a technique is proposed in the present invention for measuring the electrical potential distribution on the sample by utilizing a static electrometer to measure the voltage on the sample during movement of the sample being loaded by the loader of the charged particle beam device. To achieve the second object, a technique is proposed in the present invention for measuring electrostatic charges at specified points on the sample, and from that electrostatic charge quantity then isolating and measuring the wide area electrostatic charge. As another method to achieve the second object, the electrostatic charge quantity at specified locations is irradiated under at least two charged particle irradiation conditions, and a fitting coefficient is formed that expresses changes in the electrostatic charge voltage from changes in the irradiation conditions, and the pattern dimensions are then corrected based on the feedback coefficient thus formed. The best modes for carrying out the invention are described next in detail using the specific embodiments of the present invention. The embodiments of the present invention are described next while referring to the drawings. The example in the embodiment was described as using a scanning electron microscope (SEM). However, the present invention is not limited to this and other charged particle beam devices such as ion beam irradiation devices can be used. The example in the present embodiment also describes detecting secondary electrons and/or reflected electrons which are one type of charged particle. However, the present invention is not limited to this and may detect other charged particles such as secondary ions, etc. FIG. 1 shows the overall structure of the present invention. An integrated controller 42 controls the overall device via the charged particle optical system controller 41, stage controller 40, and wafer conveyor 28, based on the observation position information, wafer information and acceleration voltage of the charged particle entered by the operator from the user interface 43. The wafer conveyor 28 extracts the wafer from the wafer cassette 29 using the conveyor arm 30 after receiving an instruction from the integrated controller 42. The wafer conveyor 28 opens the gate valve 26b separating the sample exchange chamber 25 maintained in a vacuum from an external section connecting to the outer atmosphere. The wafer conveyor 28 loads the wafer into the sample exchange chamber. The wafer inserted in the sample exchange chamber is conveyed to a sample chamber 24 via the gate valve 26a and is clamped onto the sample stage 21. The charged particle optical system controller 41 controls a high voltage controller 34, a retarding controller 33, a condenser lens controller 35, an amplifier 36, an alignment controller 37, a deflection signal controller 44, and an objective lens controller 39 according to instructions received from the integrated controller 42. A primary charged particle beam 13 pulled from the charged particle supply 11 by the pull-up electrode 12 is irradiated onto the wafer 19 after being focused by the condenser lens 14 and objective lens 18. During the above process, the path of the charged particle beam is aligned by the alignment coil 16. The upper part of the wafer is also scanned two-dimensionally by a signal received by the deflecting coil 17 from a deflecting signal controller via a deflecting signal amplifier 38. In the following description, a signal for changing the optical conditions of the charged particle beam is sent to each optical element and calculated in a section called a controller, control device or control processor, etc. A retarding voltage (negative voltage when using an electron microscope) is applied to the wafer from the retarding controller 33 to decelerate the charged particle beam. The irradiating of the primary charged particle beam 13 onto the wafer 19 causes secondary charged electrons 20 to be emitted from the wafer. These secondary electrons 20 are then trapped by the secondary charged electron detector 15 and are used via an amplifier as luminance signals for the secondary charged electron display device 46. The secondary charged electron display device deflection signal is synchronized with the deflection signal from the deflection coil so the pattern shape of the wafer is faithfully reproduced on the secondary charged electron display device. In order to test and observe the pattern on the wafer at high speed, a sample stage detects the wafer height when the wafer has moved to the desired observation point. The focus of the objective lens must then be aligned according to that height. A function is therefore installed in order to detect that wafer height by using light. The sample stage position detector 32 detects the position of the sample stage. At the point where the sample stage is close to the desired position, a height detection laser emitter 22 irradiates light towards the wafer. This reflected light is received by the position sensor 23 and the wafer height detected from that received light position. The amount of focus determined according to this detected height is then fed back to the objective lens. The focus is therefore already set when the sample stage arrived at the specified position and the pattern can be automatically detected without the intervention of the operator. If there is no electrostatic charge on the wafer, the excitation current required for focusing the objective lens is generally expressed by the following function (1).Iobj=F(Vo,Vr,Z)  (1)Here, Iobj is the excitation current for the objective lens when there is no electrostatic charge on the wafer, F is the function for calculating the excitation current of the objective lens, Vo is the voltage of the charged particle supply, Vr is the wafer electrical potential, (retarding voltage applied to the wafer), Z is the height of the wafer. The function F can be derived by electron optical simulation or by actual measurement. A fixed focus control can be used to establish a relation shown in formula (1) for applying a retarding voltage with a electrical potential equivalent to a wafer usually having no electrostatic charge. However, when the wafer itself contains an electrostatic charge then the excitation current value required by the objective lens is as shown in formula (2). The focus current will differ depending on whether the wafer holds or does not hold an electrostatic charge.Iobj′=F(Vo,Vg′,Z)  (2) Therefore, due to this difference the focus cannot be aligned no matter how accurately the height is detected, so the secondary charged particle image will appear blurred, detection at the observation point will fail and automatic measurement will be impossible. Here Iobj′ is the excitation current of the objective lens when the wafer holds an electrostatic charge, Vg′ is the total voltage of the retarding voltage Vr and the wafer electrostatic voltage ΔVg or in other words, Vg=Vr+ΔVg. The electrostatic charge on the wafers differs according to factors such as the resist and the material in the underlayer but in most cases is in a concentric circular shape. The present invention measures the amount of electrostatic charge in this concentric circular shape on the wafer and then uses this electrical potential as feedback. The wafer stored inside the wafer cassette is extracted by the conveyor arm 30 (conveyor mechanism) and is measured by the probe 31 while being conveyed in the sample exchange chamber. The measured value is reported to the charged particle optical system device via the static electrometer 45. In the example described in the present embodiment, the probe for measuring the electrical potential on the sample is above the movement path of the sample being conveyed by the conveyor mechanism and installed at a position separated from the material. However the present invention is not limited to this example. The probe for example may be installed on the movement path of the device for delivering and accepting the sample in the preheat chamber from the sample chamber, or the device for conveying the sample into the preheat chamber from the outside. In the above example, the wafers tended to have an electrostatic charge in a concentric circular shape. So the overall electrical potential across the entire sample can be found by measuring the electrical potential distribution in a linear shape including the center position on the wafer surface. The following description shows an example particularly effective for measuring this kind of electrical potential distribution with a scanning electron microscope on a sample such as a semiconductor wafer. FIG. 2 is a drawing showing the relative positions of the wafer cassette, and the conveyor arm as an essential element of the sample loader device, and the wafer, and static electrometer and sample exchange chamber. The wafer is extracted by the conveyor arm 30 from the wafer cassette 29 and conveyed into the sample exchange chamber 25. The probe 31 of the static electrometer is clamped onto the clamp bed 53 above the conveyor path of the wafer and further so that the center line 52 aligns with the wafer center line 51 above the wafer. The static electrometer probe measures the voltage of both the wafer and the grounded conveyor arm so that a more accurate value can be obtained by calibrating the wafer electrical potential based on the ground potential of the conveyor arm. The position the wafer will pass is a permanently fixed position, and since the probe is also clamped to the clamp bed, the relation of these two positions will not change so stable measurements can always be made. The probe is outside of the vacuum so even if the probe becomes defective, it can easily be repaired or replaced. In the present embodiment, the probe was installed outside the vacuum to make handling easier. However, the invention is not limited to this and the probe may be installed anywhere along the path of the wafer. Also in this embodiment, the wafer is moved so that the center of the probe is aligned with the center line of the wafer. However the present invention is not limited to this example. As described above, the electrostatic charge on the wafer is a concentric circular shape in most cases. When the distribution of this electrostatic charge takes the form of a so-called peak, where the wafer center is the highest point and the electrostatic charge becomes lower towards the edge of the wafer, even if the probe center is somewhat offset from the centerline of the wafer, the overall electrical potential distribution can be determined. The overall electrical potential distribution can therefore also be determined from a linear shaped electrical potential distribution that is offset from the wafer center. FIG. 3 is a chart expressing the electrostatic charge voltage measured from the surface electrical potential as a distribution coefficient above the wafer surface. FIG. 3 also shows the retarding feedback procedure. The conveyor arm for the wafer does not usually operate at a constant speed so even if the measurement time is a fixed period time-wise, the coordinates on the wafer will not be at fixed intervals from each other. However an electrical potential corresponding to accurate coordinates can be obtained if the coordinates on the wafer are calculated from the speed pattern of the conveyor arm during the electrical potential measurement. A distribution function for the electrical potential can be made based on this acquired data. An approximate expression is first created as an even function (quartic function in FIG. 3) based on all of this acquired data. Next, the differential at each measurement point versus this approximate expression is calculated. The electrical potential measurement value contains an error. When this differential (value) is larger than an established threshold, it is excluded since the error in the measurement is large. An approximate expression is once again formed without the excluded data. This process is repeated several times and ends when the differential for all values is smaller than the threshold. The function made in this way is a function expressing the distance from the center of the wafer as the electrical potential. The electrical potential for making the correction is calculated from this function, and from the stage coordinates acquired from the stage controller device. This correction voltage is supplied to the wafer via the retarding controller shown in FIG. 1. Data is acquired each time the wafer under observation is conveyed to the sample exchange chamber. This data is valid until wafer observation ends and an instruction to return the wafer to the original wafer cassette is issued. The embodiment of the present invention was described above. In the embodiment of the invention, a method was described for feeding back the measured electrostatic charge of the wafer unchanged, as retarding voltage. However, the electrostatic charge voltage made be converted to an excitation current for the objective lens and fed back. In that case however, the retarding voltage and the wafer electrostatic charge voltage added together should not exceed the voltage of the charged particle power supply. If the voltage of the charged particle power supply for example is −2000 volts, then when the charged particle voltage needed for beaming onto the sample is −300 volts, the retarding voltage applied to the wafer must be −1700 volts. Under these conditions, consider the case when observing a wafer having a maximum electrostatic charge of −290 volts. Here, the primary charged particle beam can still reach the sample even if a voltage is applied as a retarding voltage to correct the −290 volt static charge, or even if that voltage is converted to an excitation current and applied to the objective lens. However, on a wafer with a maximum electrostatic charge of −310 volts, the combined retarding voltage and electrostatic voltage will total −2010 volts thus exceeding the charged particle power supply voltage. In that case, the primary charged particle beam will not be able to reach the sample and is reflected away. A voltage of 310 volts must be applied as a retarding voltage to compensate for the −310 volts. The measured voltage may also be fed back to the charged particle power supply instead of applying it as a retarding voltage. Also in the embodiment of the present invention, instead of using a magnetic field lens whose high inductance makes high speed control difficult as the feedback destination for the retarding voltage, an electrostatic lens may be installed as the objective lens, or an electrostatic lens separately installed along with a magnetic field lens. A focus correction value based on the electrostatic charge voltage can then be fed back to these static lenses. Among other methods for aligning the focus, when the SEM employs the so-called boosting method wherein a positive voltage is applied to tubular electrodes inside the objective lens, the focus can be aligned by adjusting this positive voltage. Most other technology for aligning the focus of the electron beam may also be utilized. In the present invention, one static electrometer probe is installed to align with the center of the wafer; however, multiple probes may also be installed. FIG. 4 is a drawing of a structure for measuring the entire wafer surface with multiple probes arrayed along the wafer conveyance path. Here, multiple probes 31 are arrayed in a matrix on the clamp bed. In this case, the wafer 19 is temporarily stopped at a specified position along the conveyance path and the electrostatic charge measured at the respective points. This method has the advantages that there is no need to worry about the relation between speed or coordinates since the conveyor arm has stopped. Another advantage is that a distribution coefficient can be obtained even when the electrostatic charge does not have a symmetrical distribution. Also, the measuring points have already been established so that during fully automatic inspection of the semiconductor pattern width or fault inspection with the scanning electron microscope, those measurement points or the electrostatic charge near those points can be selectively tested and feedback then applied. The present embodiment need not only use just feedback based on the quantity of electrostatic voltage, but may also combine it with other information to find a feedback value for the retarding voltage. Further, when a problem has occurred in the static electrometer due to any number of causes, and feedback is applied to the retarding voltage, conversely the focus value itself might then deviate. In such cases another means may be installed to evaluate the focus. When a problem then appears in the focus evaluation value, then a means may also be installed to perform fault diagnosis of the static electrometer, stop the focus feedback process based on the electrostatic charge measurement, and warn the operator of the abnormality. As explained above, the present invention is capable of correcting the electrostatic charge even on wafers where focus offsets have occurred due to electrostatic charges and the success (pass) rate for pattern detection during automatic measurement has dropped. The present invention is also capable of automatically measuring wafers in the same way as wafers with no electrostatic charge. The invention further has the merit that the electrostatic charge voltage can be measured on each wafer so that measurement files are not needed and also that the file does not have to be revised according to whether or not there is an electrostatic charge or the size of that charge. In view of the problems in making accurate tests and measurements in particular when different electrostatic charge phenomenon occur in the sample (semiconductor wafer, etc.), the embodiment described next relates to a device and method allowing highly precise testing and measurement even when different electrostatic charge phenomenon. In a charged particle beam device, output information from a secondary charged particle detector is synchronized with the scanning by the charged particle beam and reproduced on an image display device as described above. The ratio of distance A between two points on the scanned image on the CRT (or display device) versus the distance a between two points on the sample, is the observation magnification MSEM.MSEM=A/a The distance a between two points on the sample is usually in inverse proportion to the observation magnification MSEM since the screen on the display device is a fixed size. By therefore measuring the distance A between the two points on the scanned image on the display, and dividing A by the observation magnification MSEM, we can derive the line dimension as a=A/MSEM. Along with the advances in miniaturization in the semiconductor industry in recent years, the SEM is being used in place of the optical microscope in semiconductor fabrication processes or in testing after the fabrication process (for example, electrical operation tests or dimension measurements using the electron beam). In the sample (wafer) used by the semiconductor industry as the insulation, fluctuations in the insulation are occurring over time due to irradiation by the primary electron beam and causing deterioration in the scanned image. A typical technology to resolve this problem was disclosed in JP-A No. 151927/1993 constituting a predose method wherein the SEM emitted (irradiated) a primary electron beam at a magnification different from the magnification during observation, and a static charge was progressively generated on the surface of the sample. A retarding method and a boosting method were next developed as disclosed in JP-A No. 171791/1997. In these methods, the retarding voltage applied to the sample was adjusted, and by observation with a primary electron beam having a low acceleration voltage below one kilovolt, a positive static charge was formed on the insulation. These methods generated a stable surface static charge for recreating the image and further attained a high resolution of approximately 3 nanometers. Following this, a method was developed utilizing a SEM as in JP-A No. 200579/2000 wherein instead of a primary electron beam during the usual observation, an energy electron beam was first irradiated (onto the sample) to progressively generate a surface electrostatic charge. These methods allowed easily generating a stable, high surface electrostatic voltage and permitted observations of electrical potential contrast based on the difference in electrostatic charge voltage and the film remaining on the bottom of contact holes with a high aspect ratio. However, when observing under the condition of this surface electrostatic charge voltage, it was found that a fluctuation of some several percent occurred in the measurement dimension values as the surface electrostatic charge was increased. Due to ever shrinking sizes in the semiconductor process, these fluctuations in measurement dimensions exceeded their allowable thresholds. The cause of the problem was fluctuations in observation magnification MSEM accompanying the surface electrostatic charge; FIG. 9 is a concept drawing showing an electronic optical system composed of a scanning deflector, objective lens and sample. This figure shows the relation of the coil current I7 of scanning deflector 107 to the optical magnification Mobj of objective lens 106 and observation magnification MSEM. The primary electron beam 101 emitted radially from one point on the crossover surface focused on one point on the wafer 108 surface. When the emission point of an imaginary primary electron is separated by an amount 1 from the center axis using the scanning deflector 107, it deviates by Mobj on the sample surface. When the conversion coefficient of the scanning deflector 107 and the coil current are respectively set as K and I7, the distance a between two points on the sample can be calculated with the next formula.a=KMobjI7  (4)Also, when the conversion coefficient of the CRT (display) is L, the distance A between two points on the scanning image on the CRT is shown in the next formula.A=LI7  (5)Here, considering the case where the optical magnification has shifted from Mobj to Mobj′, the electrical current for scanning the distance between two points a on the sample changes from I7 to I7′, and the distance between two points A on the scanning image of the CRT changes to A′.a=KMobjI7′  (6)A′=LI7′  (7)The observation magnification consequently changes from MSEM to MSEM′.Mobj′=(Mobj/Mobj′)MSEM  (8)Using the following formula allows making correct dimension measurements even if the observation magnification has shifted.a=A′/MSEM′  (9)Being able to calculate the optical magnification Mobj and Mobj′ with good accuracy regardless of whether there is an electrostatic charge, allows measuring dimensions with high accuracy. FIG. 10A through 10C are drawings showing the principle of a surface electrostatic charge on the wafer. The retarding voltage Vr is applied to the wafer substrate. FIG. 10A shows the case where the wafer has a characteristic electrostatic charge prior to observation by SEM, because of friction from the spin coater applying the resist coating, or from etching with plasma. The electrostatic voltage in FIG. 10A spans the entire surface of the wafer and is therefore called the wide area electrostatic voltage ΔVg. The wide area electrostatic voltage in the vicinity of the observation point is Vg=Vr+ΔVg. The optical magnification Mobj at wide area electrostatic voltage Vg is expressed by the following formula (1).Mobj=M(Vo,Vg,Z)  (10) The function M can be found by electronic optical simulation or by actual measurement. The electrostatic voltage ΔVs from the electron beam irradiation on the other hand, is localized as shown in FIG. 10B and is called a localized electrostatic voltage. When both electrostatic charges overlap, the localized voltage in FIG. 10C is Vs=Vg+ΔVs. FIG. 11 is a drawing showing the mechanism by which the wide area electrostatic voltage Vg and localized electrostatic voltage ΔVs make the optical magnification Mobj of the objective lens change. The wide area electrostatic voltage Vg varies the electrical potential within the objective lens 106a so that an electrostatic lens is formed on the sample and the focus deviates. When this focus is aligned, a marked change occurs in the excitation current I6. This I6 changes and also the energy beamed onto the sample fluctuates so that energy concentrates as in track 1a, and the optical magnification Mobj fluctuates. Conversely however, Vg can be estimated from the amount of fluctuation in I6. The electrostatic voltage ΔVs from the electron beam irradiation is localized so there is almost no effect on the excitation current I6. Regardless of this, the localized electrostatic voltage ΔVs forms a minute static lens 108b so that the primary electrons 101 are concentrated along the track as in 101b, and makes the optical magnification Mobj fluctuate greatly. The above description therefore confirms that the wide area electrostatic charge exerts a large effect on the focus and the localized electrostatic charge exerts a large effect on the magnification. As shown above, the two electrostatic phenomenon have completely different characteristics. The extent of the effect exerted on the focus and magnification by each electrostatic phenomenon is different so that high accuracy correction cannot be achieved even if correcting each of them separately is attempted. To solve this problem, the wide area electrostatic voltage ΔVg and the localized electrostatic voltage ΔVs, can be isolated and measured, or a means to estimate them can be installed and a means to calculate the correct optical magnification Mobj can then be achieved based on this data. Correcting the deflection intensity of the scanning deflector based on the amount of magnification correction allows accurately displaying a two-dimensional scanning image at the specified observation magnification. Simplifying the magnification correction of the measurement length value itself will prove effective in measurement of dimensions in the semiconductor process. The effect of the present invention is shown by referring to FIG. 12 and FIG. 13. FIG. 12 shows the magnification fluctuation sensitivity coefficient Tg when the wide area electrostatic voltage Vg has fluctuated within a range from −0.6 kV to −1.5 kV versus a retarding voltage Vr=−1.2 kV. The magnification fluctuation quantity ΔMg=(Mobj′−Mobj) can be calculated from Tg and ΔVg by the following formula.ΔMg/Mobj=Tg*ΔVg  (11)Here, the Tg fluctuated due to the wide area electrostatic voltage Vg and observation conditions prior to the electrostatic charge. Therefore each of these observation conditions found by calculation or experiment per the graph of FIG. 8 must be stored. Also, instead of using the formula (11), the magnification Mobj or the Mobj′ maybe found directly from the wide area electrostatic voltage Vg. On the other hand, FIG. 13 shows the magnification fluctuation sensitivity coefficient Ts when the beam irradiation area has fluctuated at a retarding voltage Vr=−1.2 kV. The magnification fluctuation amount ΔMs=(Mobj′−Mobj) can be calculated from Ts and ΔVs by the next formula.ΔMs/Mobj=Ts*ΔVs/Vacc  (12)Here, Ts is the fluctuation due to the beam irradiation area size and observation conditions prior to the electrostatic charge. The formula (12) shows a good proportional relationship with the magnification correction ΔMs and localized electrostatic voltage ΔVs. The Ts can be grouped into four sections according to the beam irradiation area (in other words, the beam magnification). A magnification lower than 50 times is regarded as a wide area electrostatic charge. The section from 50 times to 500 times is a transition region from a wide area static charge to a localized static charge. The section from 500 times to 5,000 times is regarded as largely a fixed value. A high magnification from 5,000 times shows a trend for Ts to gradually diminish. Therefore, one side of the irradiation area may preferably be from 10 μm to 300 μm, so as to contain a section where the magnification fluctuation sensitivity coefficient Ts includes a section with a largely fixed value from 500 to 5,000 times. This kind of section allows maintaining the estimated accuracy of the correction value and reduces the number of data that must be stored in advance. FIG. 5 shows a first working example of the SEM of the present embodiment. The primary electron beam 101 from the cathode (negative electrode) 104 is focused by a condenser lens 105, and two-dimensional scanning of the wafer 108 further performed by the scanning deflector 107. The primary electron beam 101 applies a negative retarding voltage to the wafer 108 via the sample stage 109 so that the beam is decelerated in the decelerating magnetic field between the objective lens 106 and the wafer 108, and the beam on the wafer 108 is narrowed even further by the lens action of objective lens 106. Secondary electrons 102 are emitted when the primary electron beam 101 irradiates onto the wafer 108. The magnetic field created between the objective lens 106 and the wafer 108 functions as an accelerating magnetic field on the secondary electrons 102 that were generated to pull these secondary electrons 102 into electron beam passage holes of objective lens 106 and these secondary electrons 102 then rise while subject to the lens effect rendered by the magnetic field of objective lens 106. These rising secondary electrons 102 strike the conversion electrode 110 with high energy, to newly generate secondary electrons 103. These secondary electrons 103 are pulled towards the scintillator 111 that was applied with a positive voltage of approximately 10 kV. Light is emitted when the secondary electrons 103 strike the scintillator 111. Though not shown in the drawing, this light is supplied to a photoelectron multiplier tube via a light guide, converted into electrical signals, and after being amplified, the output is used for brightness modulation of the CRT. The explanation of FIG. 5, described the control processor as being integrated with the scanning electron microscope, or a subsection of the microscope. Needless, to say, the invention is not limited to this example, and a separately installed control processor as described next may be utilized instead of integrated with a scanning electron microscope. In that case, a notification medium for conveying the detection signal detected by the secondary electron detector to the control processor, and conveying the signal from the control processor to the deflector or lens of the scanning electron microscope is required. An input/output terminal is also needed for input or output of the signal conveyed by that notification medium. Further, a control processor to install a program for implementing the following described processing in a storage medium, and comprising a means for supplying the necessary signals to a scanning electron microscope having an image memory, and also executing that program may be used. The device of the present embodiment contained a static electrometer as described for example in the first embodiment as a measurement means (voltage differential measurement device) for measuring the wide area electrostatic voltage ΔVg. The wide area electrostatic voltage on the wafer has a concentric circular shape so that the electrical potential distribution of the entire sample can be known by measuring the electrical potential distribution in a linear shape including the center position on the wafer. Therefore the method as described for the first embodiment wherein a static electrometer probe 114 is clamped along the conveyance path of the wafer 108, and the movement of the conveyor arm 181 to measure along a linear shape is applicable. The wide area electrostatic voltage ΔVg is expressed as a function of the distance r from the wafer center by utilizing the measurement data, and each the measurement point is moved, a voltage Vr is fed back for the retarding voltage. Also, the voltage that the primary electron beam 101 beams onto the wafer 108 is generally made a fixed voltage value Vacc=V0+Vg. Here, V0 is equivalent to the voltage of the cathode 104. This embodiment also contains a secondary electron energy filter as a measurement means (voltage differential measurement device) for the localized electrostatic voltage ΔVs. A mesh electrode 112 for example, is installed below the conversion electrode 110. The voltage applied by this mesh electrode 112 is swept using the wide area electrostatic voltage Vg as a reference point, and the signal conversion quantity of the secondary electrons (so-called S curve) measured. The S curve at the observation point of the actual sample and the S curve measured on a conductive sample surface are compared, and the shift voltage set as the localized electrostatic voltage ΔVs. The electrostatic correction controller 120 measures the wide area electrostatic voltage Vg, and executes an S curve measurement sequence up to acquiring of a localized electrostatic voltage ΔVs. The amount of magnification compensation is then calculated based on the excitation current for the objective lens 106 and the Vg and ΔVs that were found, and the deflection intensity of the scanning deflector 107 then corrected. In view of the fact that a localized static charge exerts a large effect on magnification compared to the wide area electrostatic charge, the present embodiment corrects the magnification by subtracting a value equivalent to the wide area electrostatic charge, from an electrostatic charge (localized electrostatic charge) at a specified location. In measuring electrostatic charges merely by using an energy filter, the localized and wide area electrostatic charges (at least an area larger than the scanning area, for example an area larger than an observation area with a magnification of 50 times) are detected in a compounded state. So the present embodiment, by subtracting the electrostatic charge at the electron beam scanning locations measured by static electrometer 114, from the electrostatic charge measured by the energy filter, the localized electrostatic change can be measured based on the actual electron beam without depending on the wide area charge. This embodiment also allows adjusting the deflection range of the scanning deflector based on the magnification fluctuation quantity ΔMs acquired from the above described calculation method. This embodiment also allows correcting the measured length (or end measurement) value. When adjusting the deflecting range of the scanning deflector and that scanning deflector is the electromagnetic type, the electrical current required for correcting the magnification fluctuation quantity ΔMs, can be added to or subtracted from the original deflection current to make the adjustment. An accurate measurement length value can also be calculated by multiplying or dividing the magnification fluctuation ratio by the measurement length acquired by a measurement length method used in scanning electron microscopes of the known art and using the result for feedback to the measurement length value. In the present embodiment, the wide area electrostatic charge and localized electrostatic charge were measured while isolated from each other, however methods for adjusting the scanning deflector and methods for correcting the measurement length are not limited to this method. FIG. 6 shows a second working example of the present embodiment. In this example, a means to measure the sample height has been added instead of the static electrometer of the previous working example. For example, a laser emission device 115 for detecting the sample height at the point in time that the sample stage 109 has approached the specified measurement point, beams a laser light 116 towards the wafer 108. A so-called Z sensor here is a position sensor 117 receives that reflected light and detects the wafer height from the position that the light was received. The wide area electrostatic voltage Vg is determined from this data on the sample height and excitation current of the objective lens when exactly focused so that if the relation of these three physical quantities are calculated by test or by an electronic optical simulation, then the wide area electrostatic voltage Vg can be estimated without having to directly measure the voltage. In this embodiment, the electrostatic correction controller 120 executes an S curve measurement sequence until the localized electrostatic voltage ΔVs is obtained and sample height measurement with the Z sensor are obtained for estimating the wide area electrostatic voltage Vg. Further, the magnification correction quantity is calculated based on the excitation current for the objective lens and by the Vg, ΔVs found the same way as in the previous working example, and the deflection intensity of the scanning deflector 107 or the acquired length value is corrected. A different working example of the embodiment is described next. This example is an SEM comprising the static electrometer and the sample height measurement means of the two previous working examples. Since this working example contains these two means, the wide area electrostatic voltage Vg and localized electrostatic voltage ΔVs can be measured with even high accuracy and greater stability. In other words, if the first approximation value Vg(1) found from the measurement data of static electrometer probe 114 or by the appropriate expression, and the objective lens excitation current for exact focus estimated and combined with the sample height data from the Z axis sensor, then the exact focusing task (so-called auto-focus) can be completed in a short time. An accurate wide area electrostatic voltage Vg can be calculated from the differential between the excitation current of the autofocus that was found and the excitation current of the objective lens calculated from Vg(1). If the Vg is correct, then the ΔVs=ΔVs−Vg which is the differential versus the localized surface voltage Vs can be accurately calculated, and the magnification correction will have greater accuracy. FIG. 7 is a more detailed view for describing the energy filter for the above embodiment. A mesh electrode 112 is installed enclosed from above and below by the grounded mesh electrode 113 and the secondary electron conversion electrode 110 above it. The mesh electrode 112 voltage is swept using the wide area electrostatic voltage Vg or the first approximation value Vg(1) as reset values. The S curve (secondary electron distribution when the voltage applied to the energy filter is changed) is then measured. The grounded mesh electrode 113 prevents the magnetic field of the mesh electrode 112 from unwanted expansion towards the conversion electrode 110, etc. A fixed quantity of secondary electrons 102 strikes the lower mesh electrode 113 without requiring the voltage of the mesh electrode 112, and create a fixed quantity of new secondary electrons 130. These secondary electrons 130 are attracted towards the scintillator 131 to which a positive voltage of approximately 10 kilovolts has been applied. The S curve can be measured with high accuracy by standardizing the current I11 from the scintillator 111 with the current I31 from scintillator 131. Images can be displayed on the CRT the same as the case with the scintillator 111. FIG. 8 is a drawing for describing in more detail the electrostatic correction controller 120 for the above three working examples. This electrostatic correction controller 120 is composed of a static electrometer data table 201, an autofocus controller 202, a wide area static electrometer processor 203, an energy filter voltage controller 204 for automatically measuring the S curve, a localized electrostatic voltage processor 205, and a magnification correction processor 206. First of all, data on the voltage V14 for coordinates of the sample measured by the static electrometer or the fitting coefficient are stored in the static electrometer data table 201. The corresponding wide area electrostatic voltage ΔVg is measured each time the observation point is moved, and the retarding voltage V9(=Vr) to the sample stage 109 is adjusted so as to satisfy the desired acceleration voltage Vacc=V0+ΔVg+Vr. The autofocus controller 202 calculates the excitation current I6(1) for the acceleration voltage Vacc set with the sample stage height data Z1 from the Z sensor, and by sweeping the vicinity of this electrical current, search for the excitation current I6 for an exact focus. Next, when there is a differential between I6(1) and I6, the wide area static electrometer processor 203 decides that an error has occurred in Vacc, and corrects the ΔVg, to find an accurate wide area electrostatic voltage Vg. The energy filter voltage controller 204 on the other hand, measures the S curve in a non-charged state, and stores it in the localized electrostatic voltage processor 205. In the S curve measurement sequence, the applied voltage V12 of mesh electrode 112 is swept using the wide area electrostatic voltage Vg or its estimated value Vg(1), as a reference just as described above, and changes in the electrical current I11 of the secondary electrons are measured. The electrical current I31 from the scintillator 31 can also be standardized here. The data to be stored may be data that was already processed such as the S curve itself, or filter voltages in excess of a threshold, filter voltages with a maximum S curve slope. The S curve varies somewhat depending on the sample material so data may also be recorded for each sample so that calculation accuracy can be enhanced from then onwards. The localized electrostatic voltage processor 205 selects the S curve to be used as the reference, and calculates the localized electrostatic voltage ΔVs from the amount of voltage shift. Finally, the magnification correction processor 206 uses the respective formulas (1) and (2) from the wide area electrostatic voltage Vg and localized electrostatic voltage ΔVs to calculate the magnification correction amounts ΔMg and ΔMs. By then correcting the electrical current I7 of the scanning deflector with the inverse of the total magnification M+ΔMg+ΔMs, an image can always be observed at the desired magnification regardless of the electrostatic voltage. An effective method for boosting the processing speed when automatically processing large numbers of wafers on a semiconductor production line, is to reduce the number of S curve measurements by the energy filter. With an identical circuit pattern, and identical material, the localized electrostatic voltage ΔVs will be the same (for each wafer) so a ΔVs that was already measured can be utilized. In some cases, one S curve measurement for each wafer will also suffice. When a new S curve is measured, it is automatically added to the database of localized electrostatic voltage processor 205. In the present embodiment, the fluctuation in the magnification rate can be calculated with high accuracy for dimension measurement and image observation of the insulation material of the sample. Also, fluctuations in the measurement length value can be corrected by setting a fixed desired magnification rate or magnification change. Dimensions can in this way be controlled with high accuracy in the currently ultra-miniaturized semiconductor fabrication process. A supplementary result also obtained is that image quality is stabilized since the energy of the primary electron beam irradiation onto the sample can be controlled to a high degree of accuracy. Further, by monitoring the localized electrostatic voltage ΔVs, the destruction of the dielectric (insulation) by excessively large electrostatic charges can be prevented, and an electrostatic voltage or index thereof can be obtained for bottom surface observation via large aspect ratio contact holes. The localized electrostatic voltage ΔVs varies the optical magnification Mobj of the objective lens as described using FIG. 11. The electrostatic voltage ΔVs is localized due to electron beam irradiation so there is almost no effect on the excitation current I6. Regardless of this, the localized electrostatic voltage ΔVs forms a minute electrostatic lens 108b. This lens causes the track 101a of the primary electron beam to be deflected by the global (wide area) electrostatic charge so as to concentrate onto the track 101b and make the optical magnification Mobj greatly fluctuate as described in the previous embodiment. Yet another method is described next for making accurate tests and measurements that are otherwise difficult due to different, overlapping electrostatic phenomenon. The present embodiment proposes a method for correcting the magnification fluctuation using the localized electrostatic voltage ΔVs and calculating the correct optical magnification Mobj. The magnification fluctuation brought about by the localized electrostatic charge is dependent on the localized electrostatic voltage ΔVs. The localized electrostatic voltage ΔVs is dependent on the electron beam irradiation magnification (in the present embodiment, this is hereafter called the predose magnification, mainly in order to describe electron beam irradiation prior to using electron beam for testing and measurement) Mpre and magnetic field near the sample surface and the type of sample. FIG. 14 shows the localized electrostatic voltage ΔVs, when the predose magnification Mpre was varied at boosting voltages of 0.5 kV and 5 kV. The boosting referred to here is a method for installing a cylindrical electrode to be applied with a positive voltage within the objective lens so that the electron beam within the objective lens can at least reach a high acceleration to pass through the objective lens. FIG. 14 shows the results when the surface electrical potential was measured after varying the predose magnification while a voltage of 0.5 kV was applied to the cylindrical electrode, and while 5 kV was applied. This boosting technology is disclosed in detail for example in JP-A No. 171791/1997 (U.S. Pat. No. 5,872,358). When the predose magnification and the sample surface electrical field are used as parameters for varying the localized electrostatic voltage ΔVs, then the localized electrostatic voltage ΔVs can be calculated in the following fitting function from the boosting voltage Vb, retarding voltage Vr, fitting coefficients A1 and a1, and predose magnification Mpre parameters.ΔVs=A1(Vb−Vr)/Mpre+a1  (13) Also, the magnification fluctuation quantity ΔM/Mobj can be calculated from ΔVs using the magnification sensitivity coefficient Ts.ΔM/Mobj=Ts*ΔVs  (14)FIG. 15 shows the magnification fluctuation sensitivity coefficient Ts, when the beam irradiation area (∝1/predose magnification=1/Mpre) was varied at a retarding voltage of Vr=−1.2 kV. Ts can be grouped into four sections according to the beam irradiation area. A section with a low magnification rate below 50 times is regarded as a global electrostatic charge. A section from 50 times up to 500 times is a transition region from the global electrostatic charge to a localized electrostatic charge. A section from 500 times up to 5,000 times is regarded as largely fixed. A section with a high magnification from 5,000 times upward has a tendency for the Ts to diminish. Therefore, if the magnification fluctuation sensitivity coefficient Ts of the irradiation area is set as an irradiation area (1 side is from 10 μm to 300 μm) equivalent to a magnification of 500 times to 5,000 times regarded as a fixed area, then the number of pre-stored data can be reduced while still maintaining the estimated correction value accuracy. When the true value and actual measured value of the pattern dimensions are respectively set as L, Lex, the magnification fluctuation quantity B=ΔM/Mobj, can be calculated from the following formula.L/Lex−1+B  (15)When estimating the true measured length using formula (13), formula (14), formula (15), the unknown coefficients are A1 and a1. Therefore, if the (Vb−Vr) proportional to the electrical field of the sample surface or the predose magnification Mpre, is changed and results from measuring two or more points are utilized, then the true measurement length L value can be estimated. This method has the advantage that when observing an unknown insulation sample, the true measurement length can be estimated by changing the charge location of the sample surface or the predose magnification Mpre, and measuring two or more different localized electrostatic voltage ΔVs. Also, when using this method, instead of a fitting coefficient having a predose magnification and surface charge location as electrostatic variable parameters to vary the localized electrostatic voltage ΔVs, as shown in formula (13); the same results can be obtained with another fitting coefficient having the energy of the input beam, irradiation time and electrons within the sample and the degree of hole movement as the charge variable parameters. By storing fitting coefficients a1 and A1 in the memory, true dimension values can be estimated by using the measurement length value for one predose magnification and surface electrical field. The fitting coefficient a1 used in formula (13) on the other hand, is not dominated by the predose magnification and surface electrical field. Therefore, by substituting in the formula (13), formula (14) and formula (15) for irregularities in the a1 utilized when correcting the measurement length of the same type of sample, the reliability of the adjusted parameters used to make the correction can be evaluated by means of the deviation in measurement length. FIG. 16 is a graph showing the relation of the measurement length value before correction to the measurement length value after correction versus predose magnification. By storing the magnification fluctuation amount B for each predose magnification calculated from the true dimension values and measurement length before correction, the true dimension value can be estimated from the measurement length value of one observation condition. When performing the predose, a high contrast image can be obtained by utilizing the optimal acceleration voltage shown in JP-A No. 200579/2000 and higher accuracy measurement results can be obtained. A function for estimating the true dimension values (per the means of the first working example of the embodiment) by utilizing the measurement length value of multiple points where the charge variable parameters for varying the localized electrostatic voltage were changed, is described next in an example using electrostatic correction controller 120 of FIG. 5 and FIG. 6. FIG. 17 is a block diagram of the electrostatic correction controller 120. The electrostatic correction controller 120 is comprised largely of a global electrostatic correction section 302 and localized electrostatic correction section 303. The localized electrostatic correction section 303 sets the measurement conditions (charge variable parameters, acceleration voltage, and primary electron beam irradiation time during predose) via 313a. The measurement length measured per the conditions that were set is input from an input device (not shown in drawing) via 313b to the localized electrostatic correction section 303. A magnification fluctuation amount B for correcting the localized electrostatic charge based on the measurement conditions that were set and the measurement length that was input, are input via 313d to the electrostatic charge corrector unifier section 304. Also, the magnification fluctuation amount calculated in the global electrostatic correction section 302 is also input to the electrostatic charge corrector unifier section 304 via 313e. The dimensions whose varied measurement length was corrected by the effect of the global electrostatic charge and localized electrostatic charge, was output from the magnification fluctuation amount derived in the respective correction section of global electrostatic correction section 302 and localized electrostatic correction section 303 that were input from the electrostatic charge corrector unifier section 304. FIG. 18 is a flow chart showing the process for correcting the measurement length value. First of all, the charge variable parameters and measurement conditions are set in step s101. Next, in step s102, the electron beam irradiates the sample to create an electrostatic charge according to the conditions set in step s101. In step s103, the measurement length value Lex is acquired by measurement under the charge variable parameters established in step s101 or step s109. In step s104, a decision is made whether the measurement length Lex acquired in step s103 has sufficient accuracy. When decided the measurement length was not sufficiently accurate, the observation condition settings of step s101 are corrected. In step s106, a decision is made whether data has been collected enough times for correction in step s107. If there is not enough data, then different charge variable parameters are set in step s109 and measurement length again measured. In step s107, the measurement length value is corrected by using the measurement length value measured in step s105 and the charge variable parameters established in step s102 and step s109. The measurement length value corrected in step s108 is output to the monitor. By using the localized electrostatic correction in the present embodiment, the true dimension value can be estimated with high accuracy by making two or more measurements with different localized electrostatic voltages, even on samples of materials and shapes that have had no preliminary measurement. Further, the measurement speed is improved because no preliminary measurement with an energy filter is required for each magnification. FIG. 19A and FIG. 19B show drawings of sample electrostatic charges when the predose magnification was changed and the sample given an electrostatic charge. During length measurement at respective magnifications using two or more different predose magnifications, a stable localized electrostatic charge can be quickly formed by using the following procedure. The sample 108 hold two types of electrostatic charges; a global (wide area) electrostatic charge Vg spanning the entire surface and a localized electrostatic voltage ΔVs created by the electron irradiation. In FIG. 19A, a residual electrostatic region 108d can be formed when the predose magnification is raised during observation after that predose magnification was observed in a small state. The localizes static charge correction is badly effected unless sufficient time is taken for the charge on the residual electrostatic region to sufficiently weaken. However if the predose magnification is lowered after observation of a large predose magnification as shown in FIG. 19B, then there is no residual electrostatic region, so measurement can start immediately after the predose ends since no weakening time is required. Using the above procedure allows rapid observation with good accuracy in an electrostatic region. The second working example of the embodiment of the present invention is described next while referring to FIG. 20 and FIG. 21. In this embodiment, the memory section 301 in the electrostatic correction controller 120, contains a database of fitting constants for functions expressing the magnification fluctuation amount B or localized electrostatic voltage ΔVs. Measurement conditions (charge variable parameters, acceleration voltage, and primary electron beam time during predose) from localized electrostatic correction section 303 via 313a are set here. The measurement length value measured under the preset conditions, is input via 313b to the localized electrostatic correction section 303. The magnification fluctuation amount B or the charge variable parameters are input to the memory section 301 via 313g. The ΔVs matching the charge variable parameters input in memory section 301 and fitting coefficient linked to the variable change parameters or the magnification fluctuation amount B are input to the localized electrostatic correction section 303 via 313h. After correction of the measurement length value calculated using the data that was input, the measurement length value is output via 313d. FIG. 21 is a flow chart showing the measurement procedures when storing the correction data. The charge variable parameters and measurement conditions are set in step s201. Next, in step s202, the electron beam irradiates the sample to create an electrostatic charge according to the conditions set in step s201. In step s203, the measurement length value Lex is acquired by measurement under the charge variable parameters established in step s201 or step s209. In step s204, a decision is made whether the measurement length Lex acquired in step s203 has sufficient accuracy. When decided the measurement length was not sufficiently accurate, the observation condition settings of step s209 are corrected. In step s206, fitting coefficients for showing the magnification fluctuation amount B or the Vs, derived previously under the same charge variable parameters as correction data are loaded from the memory section 301. In step S207, a decision is made if correction is possible or not from the measurement length value Lex that was acquired and from the charge variable parameters established in step s201 or step s208. When decided that correction is impossible, the observation conditions are reset in step s209. In step s208, the measurement length value Lex measured in step s205 is input to the localized electrostatic correction section 303. The measurement length value is at the same time obtained after correction by the localized electrostatic correction section 303, using this data. Performing localized electrostatic correction using this embodiment, allows shortening the time required for measurement length since this localized electrostatic correction can be performed from a measurement length value measured under one charge variable parameter for a sample measured once and having at least the same pattern and same condition. If the optimal predose conditions such as shown in JP-A No. 200579/2000 in step s201 are set, then stable measurements can be made with high accuracy. In the third working example of the present embodiment, in order to increase the reliability of the corrected measurement length, the memory section 301 contains a database holding fitting coefficients for fitting coefficients for magnification fluctuation amount B or Vs, and measurement conditions of the same type sample previously measured. In this embodiment by utilizing a memory section 301 containing the above described database, the measurement length value can be quantitatively evaluated by means of the differential in accuracy after localized electrostatic correction. A threshold value is set from the differential of this measurement length value. If a measurement length exceeding this threshold setting is measured, then this measurement is judged as abnormal and a decision is made whether the cause of the abnormal measurement is effects from impurities on the sample surface or an abnormal electrostatic charge, etc. The procedure used in this embodiment is shown next. First of all, the procedure for constructing the database is shown. The localized electrostatic voltage ΔVs of the sample is changed, and adjusted coefficients for localized electrostatic voltage at multiple points are derived from measurement length values measured between the same points. The fitting coefficients for the fitting coefficients of localized electrostatic voltage ΔVs, for the same type sample from between different two points are found in the same way. Among the multiple fitting coefficients found by repeating this process, the irregularities of fitting coefficient a1 not dependent on the charge variable parameters are extracted. Irregularities of fitting coefficient a1 and irregularities of the fitting coefficient a1 corrected with the length measurement differential are stored in the memory section 301. Next, the procedure for deciding if there is an abnormal electrostatic charge is shown by using the database that was formed. When the measurement length value derived from the changing the charge variable parameter and measuring the measurement length (each time the sample is replaced or a length measurement made) exceeds the threshold value found from the differential with the stored length measurement value in the memory section 103, then a screen display as shown in FIG. 22A and FIG. 22B appears and the user is notified of an abnormal electrostatic charge. When the fitting coefficient a1 currently utilized in this correction is within the thresholds found from the irregularities of the previously measured fitting coefficient a1stored in memory section 301, this shows there is no abnormality and the localized electrostatic charge is judged to be normal. When the fitting coefficient a1 currently utilized in this correction exceeds the thresholds found from the irregularities of the previously measured fitting coefficient a1 stored in the memory section 301, this shows that an abnormal electrostatic charge has occurred. Utilizing this embodiment therefore allows knowing whether a localized electrostatic charge is abnormal or not so that the length measurement can be found with a high degree of reliability. The fourth working example of the present embodiment combines the functions of all the above embodiments. A flow chart of the process of the present embodiment is shown in FIG. 23. In step s1, a decision is made whether or not there is correction data in the memory section 301 for the current observation sample. When the correction data needed for the current measurement length does not exist (no correction data), the process in the flow of loop 1 in step s100 shown in the first working example is performed to derive the post-correction measurement length value L. In step s120 and step s160 the correction results are shown on a screen, and whether or not the currently used correction data will be used from the next time onwards is decided. If to be used from the next time onwards, then the correction data is stored in the memory section 301 in step s170. In step s1 when there is correction data, the flow of loop 2 starts and the processing shown in the second working example is performed to derive a post-correction measurement length L. The correction results displayed on the screen area shown in FIG. 22A and FIG. 22B. When the evaluation shown in the third working example is made and an abnormal electrostatic charge is detected in step s210, a warning is displayed and loop 3 starts. By repeatedly performing the procedure shown in the first working example multiple times, a fitting coefficient a1 is output under multiple conditions. In step s300, an fitting function is made using an average value for irregularities in the multiple fitting coefficients found in step s100. The reliability of the currently formed fitting function is evaluated from the differential in measurement lengths from irregularities in multiple fitting coefficient a1. If decided that the fitting function is not reliable, then the process returns once again to step s100. When decided in step s300 that a fitting function was obtained that is sufficiently reliable versus abnormal electrostatic charges, the calculation results for the measurement length differential and post-correction measurement length values using the fitting function currently made in step s120 are displayed on the screen. By performing localized electrostatic correction using the present embodiment, during length measurement of the same patterns cut into the same insulation piece sample, the measurement length process can be performed at higher speeds and with more uniform accuracy. The fifth working example of the present embodiment described here utilizes a scanning electron microscope comprising an ultraviolet beam device 314 for minimizing effects on the previously measured electrostatic charge. FIG. 24 is a block diagram showing the scanning electron microscope comprising an ultraviolet beam device 314. The reference number 113 in the drawing denotes the input device for entering the measurement conditions. By irradiating the sample with an ultraviolet beam from the ultraviolet beam device 314 for each observation, the electrostatic charge accumulated on the sample from the previous measurement can be reset so that stable measurement of dimensions can be performed. The embodiments can therefore calculate the amount of fluctuation in observation magnification with high accuracy for making dimension measurements and image observation of the insulation sample. The dimensions in the currently ultra-miniaturized semiconductor fabrication process can in this way be controlled in a short time with high accuracy.
claims
1. A holder for a dental sensor formed from polyethylene having a melt flow between 40 and 80 comprising: a sleeve having a base, first and second sides, and an opposing face; and, a bite wing integrally formed with the sleeve along a spine on the base, the bite wing and the sleeve joined at the spine by a portion of the bite wing having an increased thickness with respect to a portion of the bite wing designated for being bitten by a patient. 2. The holder of claim 1 wherein the polyethylene has a melt flow of 60. claim 1 3. A holder for a dental sensor comprising: a sleeve having a base, first and second sides, and an opposing face; a bite wing integrally formed with the sleeve along a spine on the base, the bite wing and the sleeve joined perpendicularly at the spine by a portion of the bite wing having an increased thickness with respect to a portion of the bite wing designated for being bitten by a patient; and, a mechanism for establishing a single use comprising a selection of materials such that a use of the holder will leave bite marks on the bite wing of the holder.
058728260
abstract
A channel box for a nuclear-reactor fuel assembly (MOX fuel assembly) containing plutonium, capable of properly controlling the excess reactivity without mixing neutron absorber or burnable poison into a fuel rod, and a channel box for a nuclear-reactor fuel assembly which does not form any gap between the channel box and members containing burnable poison in the channel box and in which the burnable poison does not directly contact with reactor water.
051065738
claims
1. A steam separator for a boiling water reactor comprising: a reactor pressure vessel having a reactor core disposed therein and containing water disposed at a level above said core with a vessel upper plenum extending upwardly from said water level for receiving steam generated by said core; a chimney including an annular chimney wall having a proximal end disposed adjacent to said core and a distal end disposed below said water level for channeling upwardly therein steam voids and water flow from said core, said chimney wall being spaced radially inwardly from said vessel to define a downcomer therebetween for recirculating said water flow from said chimney over said chimney wall distal end and downwardly through said downcomer and back to said core; and an annular partition wall spaced radially between said vessel and said chimney wall at said distal end to define the said chimney wall an annular collection chamber having an inlet for receiving a portion of said steam voids and water flow from said chimney, a steam outlet for discharging said steam voids as separated steam from said chamber to said upper plenum above said water level, and a flow outlet for discharging said water flow from said chamber into said downcomer. a top portion having a plurality of circumferentially spaced collection pipes disposed in flow communication between said chamber and said upper plenum to define a plurality of said steam outlets, respectively; a middle portion extending downwardly from said top potion; and a bottom portion extending downwardly from said middle portion to said chimney wall, and having a plurality of circumferentially spaced apertures defining a plurality of said flow outlets, respectively, disposed in flow communication with said chamber and said downcomer. a plurality of dampers, each disposed adjacent to a respective one of said chamber flow outlets, and positionable between closed and open positions for selectively controlling velocity of said water flow through said chamber; and means for positioning said dampers between said open and closed positions. 2. A steam separator according to claim 1 wherein said partition wall includes: 3. A steam separator according to claim 2 further including a lip extending from said partition top portion and spaced upwardly from said chimney wall distal end to define therebetween said inlet. 4. A steam separator according to claim 3 wherein said collection pipes include first collection pipes having bottom ends disposed coextensively with said partition top portion for discharging from said chamber a first portion of said steam voids, and second collection pipes having bottom ends disposed generally coextensively with said chimney wall distal end for discharging from said chamber a second portion of said steam voids. 5. A steam separator according to claim 4 wherein said partition wall is sized and configured so that a terminal rise velocity of said steam voids in said chamber is greater than a velocity of said water flow downwardly in said chamber for allowing said steam voids to rise inside said chamber for discharge by said first and second collection pipes. 6. A steam separator according to claim 5 wherein said partition middle portion is spaced radially outwardly form said chimney wall so that a width of said downcomer at said partition middle portion is less than a width of said downcomer downstream of said partition. 7. A steam separator according to claim 5 wherein said first and second collection pipes discharge said steam voids from said collection chamber adjacent to said vessel in said upper plenum for reducing average leaving velocities of said steam discharged from said water level for reducing water carryover in said steam. 8. A steam separator according to claim 5 wherein said partition top portion extends horizontally from said middle portion radially inwardly toward said chimney, and said lip extends downwardly from said top portion toward said chimney distal end for channeling said steam voids first portion into said first collection pipes. 9. A steam separator according to claim 5 wherein said partition top portion extends radially inwardly and downwardly from said middle portion toward said chimney ending in said lip, and said first collection pipe bottom ends are disposed adjacent to said middle portion at said top portion, and said second collection pipe bottom ends are disposed adjacent to said chimney wall distal end. 10. A steam separator according to claim 5 wherein said partition top portion extends radially inwardly and upwardly from said middle portion toward said chimney, and said lip extends downwardly from said top portion toward said chimney wall distal end for channeling said steam voids first portion into said first collection pipes. 11. A steam separator according to claim 10 wherein both said first and second collection pipes are disposed adjacent to said chimney. 12. A steam separator according to claim 11 wherein said chimney further includes a plurality of flow partitions spaced radially inwardly from said chimney wall and having a height at least as high as a height of said chimney wall distal end. 13. A steam separator according to claim 4 further including: 14. A steam separator according to claim 13 wherein said chamber flow outlets are sized so that when said dampers are positioned to a fully open position a velocity of said water flow through said chamber exceeds a terminal rise velocity of said steam voids in said chamber.
048329002
summary
BACKGROUND OF THE INVENTION The present invention is directed to a test tool for a nuclear reactor vessel level instrumentation system and, more particularly, the present invention is directed to a test tool for a computer controlled instrumentation system which measures fluid level in a pressurized water nuclear reactor and compensates for changes in measured values of core coolant fluid level due to fluid temperature changes, fluid pressure changes caused by core coolant pump operation, coolant outlet temperature changes, and core coolant inlet pressure changes to obtain an actual fluid level. The present invention interfaces with the reactor vessel level instrumentation system (RVLIS) 10 of FIG. 1 at the electrical field line terminal blocks and substitutes for or simulates various signals supplied by the fluid level monitoring system. The RVLIS equipment 10 is arranged in two identical, redundant systems; however, only one system is illustrated in FIG. 1. Each system 10 receives inputs from differential pressure cells 11-13, hydraulic isolators 14-16, resistance temperature detectors 17-23, pump status monitors 24, a wide range pressure sensor 25 and temperature hot sensors 26, and includes subsystems for sampling the various input signals and converting the values sampled into vessel fluid level using steam tables. An 8-bit or 16 bit microcomputer processing unit in the system 10 converts all the inputs into the vessel level and displays the level for plant operators. Capillary fluid impulse lines extend through containment wall 27 to the hydraulic isolators 14-16. The hydraulic isolators 14-16 provide hydraulic coupling, isolation of lines, and limit switch inputs to instrumentation system 10 when the isolators 14-16 are overranged, that is, experiencing too much pressure. When the isolators 14-16 are overranged, the system measurements will be in error. The differential pressure cells 11-13 measure the difference in fluid height between reference lines subject to system pressure, through the hydraulic isolators 14-16, located outside the containment wall and the fluid level in the reactor vessel 28. The impulse lines inside the containment wall 27 will be exposed to temperature increases which change the fluid density which must be taken into account in vessel level determination. Strap-on resistance temperature detectors 17-23 are located on each vertical run of separately routed impulse lines to determine the impulse line temperature. This temperature is used to correct the reference leg density contribution to the differential pressure measurement. That is, when temperature in the impulse lines changes, the density of the water changes, changing the height of water measured by cells 11-13. Changes in liquid density thus require changes in compensation for the differential pressure cell outputs. Another factor affecting reactor fluid level measurement is the status of reactor coolant pumps 29. Whenever the pumps 29 are on the differential pressure in the reactor vessel 28 is higher than when off, therefore, pump status across the core is provided by pump status monitors 24 to allow calculation of actual reactor fluid level. Depending upon which of four possible coolant pumps 29 are operating, the coolant differential pressure across the core varies which also varies the indicated fluid level within the vessel. The differential pressure across the core is measured by the wide range pressure sensor 25. Another factor that must be considered when calculating vessel fluid level is coolant fluid outlet temperature which is measured by temperature hot sensors 26. Whenever coolant temperature is high coolant density is low and the output of the differential pressure cells 11-13 must be compensated therefor. The 8 or 16 bit microprocessor within the RVLIS 10 samples the various sensors discussed above and displays vessel liquid level on a remote display 30 that is viewed by plant operators. The system 10 also includes a local display 31 which is used by maintenance technicians to test the system. The local display 31 is capable of not only displaying reactor vessel fluid level, but all of the various input signals used to calculate the fluid level. The reactor vessel level instrumentation system 10 of FIG. 1 can be purchased from Westinghouse Electric Corporation. The 8-bit microcomputer based system is called the RVLIS while the 16 bit microcomputer based system is called the RVLIS-86. Prior to the present invention, dummy inputs were supplied to the RVLIS by using edge connectors on the various circuit boards which bypassed all interface circuits and analog-to-digital converters. SUMMARY OF THE INVENTION It is an object of the present invention to allow testing of all inputs at the electrical field connection terminal blocks of a reactor vessel level instrumentation system (RVLIS). It is another object of the present invention to test all RVLIS circuits including interface and conversion circuits. It is still another object of the present invention to simulate changes in the input signals to the RVLIS. It is a further object of the present invention to provide a test tool which is suitable for training maintenance technicians and power plant operators. It is an additional object of the present invention to provide a test tool suitable for testing the RVLIS before installation. The present invention provides a tool which simulates all inputs for a reactor vessel level instrumentation system. The test tool includes a device for providing variable resistance values to simulate the inputs provided by resistance temperature detectors and which comprises resistance temperature detector potentiometers. The test tool also includes a device for simulating pump status signals and hydraulic isolator overranged signals which comprises status and limit switches. The present invention further includes a device for simulating temperature hot sensors, differential pressure cell sensors and pressure wide range sensors which comprise potentiometers. The present invention also includes a meter which allows the test tool operator to compare the value of the signal output by the test tool with the value measured and displayed by the instrumentation system. These, together with other objects and advantages, which will be subsequently apparent, reside in the details of construction and operation and more fully hereinafter described and claimed, reference being had to the accompanying drawings forming a part hereof, wherein like reference numerals refer to like parts throughout.
description
This application claims priority to and the benefit of U.S. Provisional Application Ser. No. 61/351,607 which was filed Jun. 4, 2010, entitled “EFFECTIVE ALGORITHM FOR WARMING A TWIST AXIS FOR COLD ION IMPLANTATIONS”, the entirety of which is hereby incorporated by reference as if fully set forth herein. The present invention relates generally to ion implantation systems, and more specifically to warming a twist axis seal and/or bearing used in an ion implantation system having one or more chilled components. In the manufacture of semiconductor devices and other products, ion implantation systems are used to implant dopant elements into work pieces (e.g., semiconductor wafers, display panels, glass substrates). These ion implantation systems are typically referred to as “ion implanters”. Absent countermeasures, during the ion implantation process energy can build up on the workpiece 28 in the form of heat as the charged ions collide with the workpiece. This heat can warp or crack the workpiece, which may render the workpiece worthless (or significantly less valuable) in some implementations. In addition, even if the workpiece is not rendered worthless, this undesired heating can cause the dose of ions delivered to differ from the dosage desired, which can alter the functionality from what is desired. For example, if a dose of 1×1017 atoms/cm3 are desired to be implanted in an extremely thin region just below the outer surface of the workpiece, unexpected heating could cause the delivered ions to diffuse out from this extremely thin region such that the dosage actually achieved is less than 1×1017 atoms/cm3. In effect, the undesired heating can “smear” the implanted charge over a larger region than desired, thereby reducing the effective dosage to less than what is desired. Other undesirable effects could also occur. In other instances it might be desirable to implant at a temperature below ambient temperature, to allow for desirable amorphization of the silicon wafer surface enabling ultra shallow junction formation in advanced CMOS integrated circuit device manufacturing. For these and other reasons, cooling systems have been developed to allow the chuck to be cooled to very low temperatures. Although cooling systems are known in some respects, such as in plasma processing apparatuses, it is extremely difficult to integrate a vapor cooling system into an ion implanter due to the mechanical density of components near the workpiece. For example, electrostatic chucks in ion implanters are often considerably more complicated that those used in less complicated plasma processing apparatuses. The inventors have developed techniques and systems for cooling electrostatic chucks in ion implantation systems, which can reduce undesired heating of workpieces undergoing implantation. Other methods for cooling an electrostatic chuck could comprise circulating a chilled fluid through the chuck at roughly, or slightly below, the temperature desired for implant. The inventors have found that upon cooling an electrostatic chuck, a rotation or “twist” of the electrostatic chuck may be adversely affected by cooling of the chuck, wherein seals and/or bearings are cooled and viscosity of fluids involved therein is deleteriously increased. Therefore, a method for heating a seal and/or bearing within cooled components of an ion implantation system is provided herein, wherein the seal and/or bearing maintains the desired propensity to rotate. Thus, the present invention overcomes the limitations of the prior art by providing a method, system, and apparatus for providing heat to a seal and/or bearing in a semiconductor processing system. Accordingly, the following presents a simplified summary of the invention in order to provide a basic understanding of some aspects of the invention. This summary is not an extensive overview of the invention. It is intended to neither identify key or critical elements of the invention nor delineate the scope of the invention. Its purpose is to present some concepts of the invention in a simplified form as a prelude to the more detailed description that is presented later. The present invention is directed generally toward a method for heating a seal and/or bearing in an ion implantation system, and in one particular example, to a system for heating a seal and/or bearing in an ion implantation workpiece scanning system. The ion implantation workpiece scanning system, for example, comprises a scan arm configured to rotate about a first axis and an end effector that is rotatably coupled to the scan arm and configured to selectively secure a workpiece. The end effector may be optionally chilled to enable low temperature ion implantation. The end effector is configured to rotate about a second axis, also called a twist axis, wherein the first axis and second axis are positioned a predetermined distance apart, and wherein the end effector comprises a clamping plate such as an electrostatic chuck. One or more cooling mechanisms can be provided for cooling the clamping plate. A bearing is positioned along the second axis, wherein the bearing rotatably couples the end effector to the scan arm, and a seal is positioned along the second axis, wherein the seal generally provides a pressure barrier between an external environment and an internal environment associated with an internal region of one or more of the scan arm and end effector. One or more of the bearing and seal can comprise a ferrofluidic seal. A heater assembly is optionally positioned proximate to the bearing and seal, wherein the heater assembly is configured to selectively provide a predetermined amount of heat to the bearing and seal, thereby decreasing the viscosity of the fluid, thus increasing a propensity of the end effector to rotate about the second axis. The method comprises selectively rotating and counter-rotating the end effector about the second axis by one or more of a twist motor operably coupled to the end effector and the swing arm (also called a scan arm), wherein torque is applied to the end effector through inertial forces associated with the end effector and the rotation of the scan arm. Thus, to the accomplishment of the foregoing and related ends, the invention comprises the features hereinafter fully described and particularly pointed out in the claims. The following description and the annexed drawings set forth in detail certain illustrative embodiments of the invention. These embodiments are indicative, however, of a few of the various ways in which the principles of the invention may be employed. Other objects, advantages and novel features of the invention will become apparent from the following detailed description of the invention when considered in conjunction with the drawings. The inventors have developed techniques and systems for cooling electrostatic chucks in ion implantation systems, which can reduce undesired heating of workpieces undergoing implantation. One such device is disclosed in Non-provisional Patent Application having Ser. No. 12/725,508 filed May 3, 2010, entitled “VAPOR COMPRESSION REFRIGERATION CHUCK FOR ION IMPLANTERS,” the contents of which is incorporated herein by reference in its entirety. Another method for cooling an electrostatic chuck comprises circulation of a chilled fluid through the electrostatic chuck at roughly, or slightly below, the temperature desired for implant. However, the inventors appreciate that upon cooling an electrostatic chuck, seals and/or bearings within the electrostatic chuck are also cooled, and a viscosity of fluids involved therein is deleteriously increased, therein adversely affecting a rotation or “twist” of the electrostatic chuck. The present invention is thus generally directed toward a scan arm in an ion implantation system, and more particularly, to a system, apparatus, and method for warming or heating a rotational interface in an ion implantation environment, such as a seal and bearing on an end effector configured to rotate about an axis on the scan arm. It will be appreciated that while heating may be particularly desirable in an ion implantation system that is adapted to provide low temperature implantation, the present invention may be equally adaptable to ion implantation systems that are not adapted to provide low temperature implantation. Accordingly, the present invention will now be described with reference to the drawings, wherein like reference numerals may be used to refer to like elements throughout. It should be understood that the description of these aspects are merely illustrative and that they should not be interpreted in a limiting sense. In the following description, for purposes of explanation, numerous specific details are set forth in order to provide a thorough understanding of the present invention. It will be evident to one skilled in the art, however, that the present invention may be practiced without these specific details. The present invention was generated as a solution to a problem that arose in adapting an ion implantation system to be configured to operate at temperatures lower than ambient temperature, wherein various moving components can freeze up, and potentially fail, or at least fail to perform adequately. Specifically, bearings and seals that contain ferro-fluids, oils, hydrocarbons, Fluorocarbons, or other types of grease, can require great amounts of torque to overcome the increase in viscosity as they cool down. The present invention overcomes this problem by advantageously utilizing friction to keep the seal and or bearing warm. Referring now to the figures, in accordance with one exemplary aspect of the present invention, FIG. 1 illustrates an exemplary ion implantation system 100, wherein the ion implantation system is operable to scan a workpiece 102 (e.g., a semiconductor substrate or wafer) relative to an ion beam 104, therein implanting ions into the workpiece. As stated above, various aspects of the present invention may be implemented in association with any type of ion implantation apparatus, including, but not limited, to the exemplary system 100 of FIG. 1. The exemplary ion implantation system 100 comprises a terminal 106, a beamline assembly 108, and an end station 110 that generally forms a process chamber 112, wherein the ion beam 104 is generally directed at the workpiece 102 positioned at a workpiece location 114. An ion source 116 in the terminal 106 is powered by a power supply 118 to provide an extracted ion beam 120 (e.g., an undifferentiated ion beam) to the beamline assembly 108, wherein the ion source comprises one or more extraction electrodes 122 to extract ions from the source chamber and thereby to direct the extracted ion beam toward the beamline assembly 108. The beamline assembly 108, for example, comprises a beamguide 124 having an entrance 126 proximate to the source 116 and an exit 128 proximate to the end station 110. The beamguide 124, for example, comprises a mass analyzer 130 (e.g., a mass analysis magnet) that receives the extracted ion beam 120 and creates a dipole magnetic field to pass only ions of appropriate energy-to-mass ratio or range thereof through a resolving aperture 132 to the workpiece 102. The ions passed through the mass analyzer 130 and exit the resolving aperture 132 generally define a mass analyzed or desired ion beam 134 having ions of the desired energy-to-mass ratio or range thereof. Various beam forming and shaping structures (not shown) associated with the beam line assembly 108 may be further provided to maintain and bound the ion beam 104 when the ion beam is transported along a desired beam path 136 to the workpiece 102. In one example, the desired ion beam 134 is directed toward the workpiece 102, wherein the workpiece is generally positioned via a workpiece scanning system 138 associated with the end station 110. The end station 110 illustrated in FIG. 1, for example, may comprise a “serial” type end station that provides a mechanical scanning of the workpiece within the evacuated process chamber 112, in which the workpiece 102 (e.g., a semiconductor wafer, display panel, or other workpiece) is mechanically translated through the beam path 136 in one or more directions via a workpiece scanning system 138. According to one exemplary aspect of the present invention, the ion implantation system 100 provides the desired ion beam 134 (e.g., also referred to as a “spot beam” or “pencil beam”) as being generally stationary, wherein the workpiece scanning system 138 generally translates the workpiece 102 in two generally orthogonal axes with respect to the stationary ion beam. It should be noted, however, that batch or other type end stations may alternatively be employed, wherein multiple workpieces 102 may be scanned simultaneously, and such end stations are contemplated as falling within the scope of the present invention. In another example, the system 100 may comprise an electrostatic beam scanning system (not shown) operable to scan the ion beam 104 along one or more scan planes relative to the workpiece 102. Accordingly, the present invention further contemplates any scanned or non-scanned ion beam 104 as falling within the scope of the present invention. In accordance with one embodiment of the present invention, the workpiece scanning system 138 of FIG. 1 comprises a scan arm 140, wherein the scan arm is configured to reciprocally scan the workpiece 102 with respect to the ion beam 104. The ion implantation system 100, for example, is further controlled by a controller 150, wherein functionality of the ion implantation system and workpiece scanning system 138 is controlled via the controller. FIG. 2 illustrates an ion implantation workpiece scanning system 200, wherein the scanning system comprises an exemplary scan arm 202 configured to rotate about a first axis 204 in accordance with another aspect of the invention. The scanning system 200 further comprises a chilled end effector 206 rotatably coupled to an end 208 of the scan arm 202 and configured to selectively secure a workpiece (not shown), wherein the chilled end effector is further configured to rotate about a second axis 210, also called a “twist axis”, wherein the first axis 204 and second axis are positioned a predetermined distance apart. The chilled end effector 206 comprises a clamping plate 212 (e.g., also called an electrostatic chuck) and one or more cooling mechanisms 214 configured to cool the clamping plate. It will be understood that while the present invention is described in connection with the ion implantation workpiece scanning system 200 of FIG. 2, wherein the exemplary scanning system comprises the exemplary scan arm 202 configured to rotate about the first axis 204 for translating the workpiece 102 of FIG. 1 through the ion beam 104, the invention is equally applicable to any ion implantation workpiece scanning system configured to laterally and/or arcuately translate the workpiece through the ion beam, and all such scanning systems are contemplated as falling within the scope of the present invention. Several exemplary aspects of the scan arm 202 and chilled end effector 206 are described in co-owned U.S. Pat. No. 7,560,705, entitled “Workpiece Handling Scan Arm for Ion Implantation System”, and U.S. Non-provisional Patent Application Ser. No. 12/725,508, entitled “Vapor Compression Refrigeration Chuck for Ion Implanters”, the contents of which are incorporated by reference herein, in their entireties. FIG. 3 illustrates an enlarged view of the end 208 of the scan arm 202 of FIG. 2, wherein various features of the present example are illustrated in greater detail. For example, the scan arm 202 comprises a twist head 216 configured to provide both cooling of the clamping plate 212 (e.g., an electrostatic chuck 213) and selectively rotate the clamping plate about the second axis 210. The twist head 216, for example, comprises an enclosure 218 that is generally fixed with respect to the scan arm 202. A wedge mount 220, for example, is further provided, wherein the wedge mount operably couples the end effector 206 to a rotatable shaft 222 of the twist head 216. The rotatable shaft 222 is operable to rotate about the second axis 208 with respect to the enclosure 218 of the twist head 216, thus providing rotation to the wedge mount 220 and associated clamping plate 212. In one example, as illustrated in FIG. 2, rotation 224 and counter-rotation 226 of the end effector 206 about the second axis 210 is utilized during ion implantation to achieve proper alignment of the workpiece 102 of FIG. 1. The rotation in a first rotational direction 224 and/or a second rotational direction 226 about the twist axis 210, for example, can also be implemented to implant ions into the workpiece 102 with a “twist angle” relative to the incident ion beam 134 of FIG. 1. In accordance with another exemplary aspect of the disclosure, FIG. 4 illustrates a portion 300 of another exemplary twist head 302, such as that illustrated in FIG. 3, wherein various components such as the clamping plate 212 and wedge mount 220 are removed for clarity. As illustrated in FIG. 4, a bearing assembly 314 is positioned along the second axis 210, wherein the bearing rotatably couples the end effector 206 to the scan arm 202 of FIG. 3. The bearing assembly 314, for example, comprises a cross roller-bearing held by a bearing retainer 315, wherein lubricating oil and/or grease is disposed therein for maintaining a low-friction lubrication of the bearing. A seal assembly 316 illustrated in FIG. 4 is further positioned along the second axis 210, wherein the seal assembly generally provides a pressure barrier between an internal environment 318 of the process chamber (e.g., the process chamber 112 of FIG. 1) and an external environment 320 (e.g., atmospheric) associated with an internal region of one or more of the scan arm 202 and end effector 206 of FIG. 3. The seal assembly 316 of FIG. 4, for example, comprises a ferrous liquid rotary seal assembly 322 (also called a ferroseal or ferro-fluidic seal) configured to provide a rotary, hermetic seal between the external environment 320 and internal environment 318. For example, the ferrous liquid rotary seal assembly 322 comprises a rotor 324 operably coupled to the chilled end effector 206 of FIG. 3, and is configured to rotate about the second axis 210 of FIG. 4. A stator 326 is further positioned along the second axis 210 and configured to rotate the rotor 324, such as by a plurality of azimuthally-oriented magnets (not shown). One or more passages 328 are provided, such as an annular region 330 between the rotor 324 and stator 328 wherein a ferrofluid 332, for example, resides within the one or more passages 328. Accordingly, the magnets of the stator 326 in the present example provide a magnetic field that crosses radially through the ferrofluid 332, and the magnetic flux returns through rotor 324 of the magnetic liquid rotary seal assembly 322 then back to the magnets of the stator 326. The ferrofluid 332, for example, is a type of oil with extremely fine iron particles disposed therein. The magnets of the stator 326, for example, cause the ferrofluid to align radially due to magnetization while sitting in magnetic field, thus providing a high degree of resistance to motion along the second axis 210 along this seal, thus providing a strong seal. Furthermore, a number of chambers (not shown) are provided in the annular region 330, wherein, for example, each of the chambers are operable to hold off 1-3 psi of pressure between the external environment 320 and internal environment 318. Accordingly, when a plurality of chambers provided, a sufficient sealing is provided to seal the internal environment 318 (e.g., atmosphere at the lower side of seal) from the external environment 320 (e.g., vacuum at the upper side of seal). Since the magnetic field is in the direction of the rotation of the end effector 206 of FIG. 3 (e.g., radially), generally free rotation with minimal resistance is possible in the internal environment 318 (e.g., vacuum), while maintaining the sealing from the external environment 320 (e.g., atmosphere). Lowering of the temperature of the chilled end effector 206 of FIG. 3, however, causes the viscosity of the fluid to increase. In order to achieve rotation of the chilled end effector 206, the rotor of a motor 333A, 333B of FIG. 4 is operably coupled thereto. The motor 333, for example, has a torque limit associated therewith that cannot be exceeded without the motor faulting. Thus, as the temperature of the chilled end effector 206 decreases (e.g., by internal cooling passages, etc., not shown), the viscosity of the ferrofluid and/or lubricating fluid in the seal assembly 316 increases, and the rotation can be deleteriously affected. Furthermore, when the motor 333 is idled, therein idling the rotation in the first rotational direction and second rotational direction 226 of the end effector 206 of FIG. 2 for a period of time, such as between ion implantations, a “chaining effect” can occur, wherein magnetic particles of the ferrofluid 332 align, therein becoming generally rigid (e.g., also called “super-alignment”). The alignment of the magnetic particles can occur at room temperatures, but the chaining effect is especially evident when the ferrofluid 332 is cold and the end effector 206 is idled. Thus, as a consequence of the chaining effect of the ferrofluid 332, the viscosity (e.g., a measure of the resistance of the ferrofluid being deformed by either shear stress or tensile stress) increases in a manner similar to an increase in viscosity due to low temperatures. Accordingly, as the viscosity increases, the ferrofluid 332 becomes generally “rigid”, thus leading to a larger torque that is required to rotate the motor 333. Therefore, in accordance with the present disclosure, internal friction within the ferroseal 322 (e.g., friction within the ferrofluid 332, itself) is utilized to warm up the seal, therein advantageously decreasing the viscosity of the ferrofluid. For example, the motor 333 is utilized to apply a tiny force or “jerk” in the first rotational direction 224 and/or second rotational direction 226 around the second axis 210 (the twist axis). For example, the motor 333 is rotated back and forth in the first and second rotational directions 224 and 226, wherein the initial rotations may not yield a significant amount of rotational motion about the second axis 210. A minor amount of angular rotation (e.g., 0.1 degree), for example, may be initially achieved from the first rotations of the motor 333. From a control standpoint, the motor 333 may produce an over-torque error, indicating that the motor is unable to achieve greater rotational motion. However, if the rotational motion is quickly reversed (e.g., reversing the rotation from the first rotational direction 224 to the second rotational direction 226, or vice-versa), an increased angular rotation can be successively achieved. For example, a first rotation of 0.1 degrees is made in the first rotational direction 224 is followed by a second rotation of 0.2 degrees in the second direction 226, followed by a third rotation 0.5 degrees in the first rotational direction, and so on. The increase in angular rotation, for example, is a function of the torque limit of the motor 333, wherein the motor 333 is rotated until it faults due to over-torque. Thus, in accordance with the present invention, the rotation and counter-rotation of the motor 333 in the first rotational direction 224 and second rotational direction 226 provides friction within the ferrofluid 332, itself (e.g., friction from the magnetic particles and lubricating oil of the ferrofluid), and thus, heat is advantageously provided internal to the ferroseal 322. The ferrofluid 332, for example, is confined in a minute volume 335 illustrated in FIG. 4 within the ferroseal 322. Thus, the friction provided by rotating the end effector 206 of FIG. 2 about the second axis 210 provides a large amount of heat relative to the volume of ferrofluid 332. Accordingly, the temperature of the ferrofluid 332 of FIG. 4 increases, thus decreasing the viscosity. The movement and decrease in viscosity breaks the chaining effect, and permits the ferroseal 322 to rotate more freely. By initially providing back and forth rotation (e.g., alternating first and second rotational directions 224 and 226 of rotation) in tenths of a degree, and incrementally increasing the rotation, after a certain number of rotations and counter-rotations (e.g., 10-20 alternating rotations about the second axis 210), it is possible to achieve 30-40 degrees of rotation in each direction. Accordingly, the friction induced by the rotation warms the ferrofluid 332 very effectively. The present invention contemplates the use of any motor associated with a ferroseal in an ion implantation system to provide incremental rotation and counter-rotation of the ferroseal, and any and all such use and/or apparatus involved in said use is contemplated as falling within the scope of the present invention. In accordance with yet another aspect of the invention, the scan arm 202 of FIG. 2 is utilized to rotate the end effector 206. When utilized for ion implantation, the scan arm 202 swings about the first axis 204 (also called the “fast scan axis”) like a pendulum, wherein a swing arm motor 336 is utilized to provide rotation and counter-rotation 338, 340 about the first axis. Kinematically, the end effector 206, for example, is free to rotate when the motor 333 is not energized. Thus, when the scan arm 202 is rotated about the first axis 204, inertia of the end effector 206 encourages the end effector to remain rotationally stationary with respect to a reference 342, such as ground. For example, in a frictionless situation where the scan arm 202 and end effector 206 are positioned vertically (e.g., the first axis 204 is at 0 degrees and the second axis 210 is at 180 degrees with respect to the first axis), due to inertia, a rotation of the scan arm by X degrees would result in a counter-rotation of the end effector by X degrees with respect to the scan arm, thus maintaining the orientation of the end effector with respect to the first axis. However, in practice, due at least in part to friction, when the end effector 206 is chilled and/or the chaining effect occurs within the ferroseal 322 of FIG. 4, the ferroseal will freeze the rotation of the end effector with respect to the scan arm 202. The present invention accordingly takes advantage of the friction in the ferroseal 322 to warm the ferrofluid 332, therein decreasing its viscosity and again permitting rotation of the end effector 206 with respect to the scan arm 202. For example, a predetermined amount of torque (e.g., a sudden impulse) associated with the first axis 204 if FIG. 2 is applied, thus providing a corresponding torque to the ferroseal 322 and ferrofluid 332 of FIG. 4 in order to induce friction internal to the ferrofluid, thus providing heat to the ferroseal. For example, first torque can be first applied to the second axis 210 of FIG. 2 by the motor 333 of FIG. 4, and if the first torque is insufficient to adequately rotate the end effector 206 about the second axis, a predetermined impulse of torque to the scan arm 202 (and thus, the first axis 204 as well as the second axis 210) induces torque to increase friction in order to break the chaining effect, increase temperature and decrease viscosity within the ferroseal 322 (e.g., to a lower viscosity at which the twist motor 333 is again operable without having a torque fault). When time constraints are a factor, for example, utilizing the twist motor 333 to provide the torque to the ferroseal 322 of FIG. 4 is advantageous, as rotating about the second axis 210 via the twist motor can be performed independent of the location of the scan arm 202, and can be expeditiously performed due to limited mass of the end effector 206). However, as stated previously, if the twist motor 333 is incapable of overcoming the viscosity and/or chaining effect within the ferroseal 322, the rotation of the scan arm 202 is advantageously implemented to provide the desired torque at the second axis 210 (e.g., the twist axis). The rotation of the scan arm 202, for example, is performed at the beginning of a series of ion implantations. During scanning associated with the ion implantations, the movement associated with the implant maintains a lower viscosity in the ferrofluid 332 of FIG. 4 and limits the delirious effects of the chaining effect. It is appreciated by the inventors that a period of time of non-rotation of the end effector 206 can be determined, wherein the viscosity of the ferrofluid 332 is considered to be acceptable for rotation within the predetermined period of time. Exceeding the predetermined period of time while remaining stationary in one eample, however, would result in the viscosity and/or chaining effect of the ferrofluid 332 increasing, thus prescribing the above-described viscosity-lowering techniques to permit acceptable rotation, once again. For example, when tuning the ion beam 134 of FIG. 1 or idling between implantations, a predetermined time period can transpire before ferrofluid 332 of FIG. 4 cools to a predetermined level, at which time, the twist motor 333 can no longer overcome friction and/or chaining effect. Accordingly, the scan arm 202 is moved to a position outside of ion beam 134 of FIG. 1, and the scan arm is rotated about the first axis 204, thus rotating the end effector 206 about the twist axis 210 as discussed above, thus further maintaining the viscosity of the ferrofluid 332 acceptably low. The predetermined time period, for example, is further dependent upon the temperature at which the implant is run, among other considerations. According to another exemplary aspect, a heater assembly 344 illustrated in FIG. 4 is positioned proximate to the bearing assembly 314 and seal assembly 316, wherein the heater assembly is configured to selectively provide a predetermined amount of heat to the bearing and seal, therein decreasing a viscosity of the lubricating fluid and increasing a propensity of the chilled end effector 206 of FIG. 2 to rotate about the second axis 210. The heater assembly 344, for example, is configured to provide energy (e.g., 100-120 W, up to or greater than 200 W), which is sufficient to locally heat the seal assembly 314, and thus keep the lubricating fluid (e.g., the ferrofluid 332) at a temperature where the viscosity is sufficient that viscous drag can be overcome by the torque allowable from the motor 333. The viscosity of the lubricating oil/grease in the bearing assembly 314 can be likewise decreased by the heater assembly 344. The heater assembly 344, for example, regionally heats chilled end effector 206, wherein the amount of heat provided by the heater assembly is controlled so as to provide the advantageous lower viscosity in the lubricating fluid, while not deleteriously affecting the desired cooling at the clamping plate 212 (e.g., the electrostatic chuck 213) or the workpiece 102 of FIG. 1. Accordingly, as some of the passageways utilized for the supply/return of cooling fluid to the clamping plate 212 of FIG. 3 are run at very low temps (e.g., −50/−60 C), the heater assembly 344 of FIG. 4 is operable to maintain the cooling fluid relatively cold while providing an acceptable amount of heat (e.g., 100 W) at the radius at which the ferroseal 322 is positioned. Thus, the structure of the end effector 206 reasonably insulates the cooling fluid (to remain cold) while warming the ferrofluid 332 and bearing regions. In accordance with yet another aspect of the present invention, an exemplary method 400 is illustrated in FIG. 5 for warming a twist axis. It should be noted that while exemplary methods are illustrated and described herein as a series of acts or events, it will be appreciated that the present invention is not limited by the illustrated ordering of such acts or events, as some steps may occur in different orders and/or concurrently with other steps apart from that shown and described herein, in accordance with the invention. In addition, not all illustrated steps may be required to implement a methodology in accordance with the present invention. Moreover, it will be appreciated that the methods may be implemented in association with the systems illustrated and described herein as well as in association with other systems not illustrated. As illustrated in FIG. 5, the method 400 begins with act 402, wherein a twist motor is activated. The twist motor, for example, comprises the twist motor 333 of FIG. 4, wherein one or more ferroseals 322 provide for the rotation of the end effector 206 of FIG. 2 in the first and second rotational directions 224, 226. In accordance with the method 400 of FIG. 5, rotation is verified in act 404, as well as verifying whether the twist motor has faulted due to over-torque, as discussed above. If the end effector rotates, but the twist motor faults, the direction of the twist motor is reversed in act 406, and the twist motor is again activated in act 402. If the end effector fails to rotate in act 404, a determination is made as to whether the rotation of the end effector is acceptable. If the rotation about the twist axis is unacceptable in act 408, then a reciprocation of the scan arm about the first axis (e.g., also called the scan arm axis) is initiated in act 410. The reciprocation of the scan arm “jerks” the end effector, as discussed above, causing it to twist about the twist axis (e.g., the second axis), due, at least in part, to inertial effects associated with the end effector. The determination is then again made in act 408 as to whether the rotation of the end effector about the twist axis is acceptable. If the rotation about the twist axis is determined to be acceptable, further methods, such as an ion implantation into a workpiece, may be practiced utilizing the ion implantation system. Likewise, if the determination in act 404 is such that the end effector rotates, but no fault associated with the twist motor is present, then the determination is made in act 408 as to whether the rotation is acceptable. Such an acceptable rotation indicates that the ferrofluid is warm and permits acceptable rotation of the end effector about the twist axis. Again, if the rotation is acceptable in act 408, the rotation of the twist axis is deemed to be acceptable, and the further methods may be practiced utilizing the ion implantation system. Accordingly, the present invention provides a mechanism for heating one or more of a bearing and seal associated with a rotation of a chilled end effector in an ion implantation system. Although the invention has been shown and described with respect to a certain preferred embodiment or embodiments, it is obvious that equivalent alterations and modifications will occur to others skilled in the art upon the reading and understanding of this specification and the annexed drawings. In particular regard to the various functions performed by the above described components (assemblies, devices, circuits, etc.), the terms (including a reference to a “means”) used to describe such components are intended to correspond, unless otherwise indicated, to any component which performs the specified function of the described component (i.e., that is functionally equivalent), even though not structurally equivalent to the disclosed structure which performs the function in the herein illustrated exemplary embodiments of the invention. In addition, while a particular feature of the invention may have been disclosed with respect to only one of several embodiments, such feature may be combined with one or more other features of the other embodiments as may be desired and advantageous for any given or particular application.
052710440
abstract
In a boiling water nuclear reactor, a reactor primary cooling water line is filled with water at the start-up time, and the inside of a pressure vessel is pressurized by a pressurized tank and at the same time control rods are withdrawn to thereby heat cooling water in a state of single-phase flow to high temperature. Succeedingly, the pressurization is released and the pressure of the pressure vessel is gradually approximated to the saturation pressure corresponding to the cooling water temperature to thereby make the cooling water transit into a state of two-phase flow, and thereafter the cooling water is heated by nuclear reaction in the state of two-phase flow to thereby obtain predetermined reactor running temperature and pressure. This prevents the flow fluctuation and the reduction of stability of core due to occurrence of unstable phenomena of low temperature two-phase flow at the start-up time of the reactor to thereby make it possible to perform stable starting-up of the reactor and to shorten the start-up time of the reactor to thereby realize improvement in economy as well as reliability.
047524340
abstract
A coupling device between a nuclear reactor control rod and an actuating mechanism has a gripper body having resilient gripping fingers, belonging to the mechanism and, on the bar, a terminal pommel for engagement by the fingers and a shoulder directed towards the pommel. The coupling device comprises an additional member, such as a sleeve, movable with respect to the gripper body between a position in which it allows resilient fingers to be released from the pommel and another position in which it locks the resilient fingers onto the pommel. The sleeve has an end face bearing on the shoulder of the pommel. A prestressed spring exerts a force tending to move the sleeve away from the fingers so as to hold the end face of the sleeve firmly applied against the shoulder when the fingers are locked on the pommel.
claims
1. A nuclear fuel assembly bottom nozzle comprising:a perforated plate to allow water to pass through the perforated plate;lateral faces; andat least one anti-debris element positioned on one of the lateral faces to block out debris likely to infiltrate between the bottom nozzle and another adjacent bottom nozzle,wherein in a free state, the at least one anti-debris element permanently projects from the lateral face on which the anti-debris element is positioned, the at least one anti-debris element being elastically deformable so as to retract towards the lateral face when a force is exerted on the at least one anti-debris element towards the lateral face. 2. The bottom nozzle according to claim 1 wherein the lateral face comprises a groove formed such that the at least one anti-debris element retracts into the groove when a force is exerted on the at least one anti-debris element towards the lateral face. 3. The bottom nozzle according to claim 2 wherein the at least one anti-debris element is elongated and has two lateral portions bearing on the lateral face and a central portion protruding from the lateral face, the two lateral portions moving away from each other when the force is exerted on the at least one anti-debris element towards the lateral face. 4. The bottom nozzle according to claim 3 wherein the two lateral portions are bearing on a bottom of the groove, the groove having a width larger than a distance between-free edges of the two lateral portions of the at least one anti-debris element in the free state, the central portion protruding through an opening of the groove emerging on the lateral face. 5. The bottom nozzle according to claim 4 wherein the opening has a width smaller than the distance between the free edges of the two lateral portions of the at least one anti-debris element in the free state. 6. The bottom nozzle according to claim 5 wherein the groove is defined by a slot formed in the lateral face and forming a bottom of the groove and at least one retention element extending overhanging from one edge of the slot, a free end of the retention element defining the opening. 7. The bottom nozzle according to claim 6 wherein two retention elements extending overhanging one another from opposite edges of the slot and defining the opening between the free edges. 8. The bottom nozzle according to claim 7 wherein a series of retention elements are spaced apart and distributed along the lateral portion in a direction of the length of the at least one anti-debris elements, each retention element keeping the lateral portion bearing on the lateral face and allowing sliding of the lateral portion on the lateral face. 9. The bottom nozzle according to claim 8 wherein the lateral portion comprises, on the free edge, notches receiving the retention elements ensuring the retention of the lateral portion on the lateral face. 10. The bottom nozzle according to claim 3 wherein the at least one anti-debris element comprises at least one flat lug extending a lateral portion in a direction of the length of the at least one anti-debris element and engaged under a retention element provided to keep the lateral portion bearing against the lateral face while allowing sliding of the lateral portion on the lateral face. 11. The bottom nozzle according to claim 1 wherein the at least one anti-debris element includes orifices for circulating water through the at least one anti-debris element. 12. A nuclear fuel assembly comprising a bundle of nuclear fuel rods and a maintenance frame of the fuel rods, the frame comprising an upper nozzle and a bottom nozzle between which the fuel rods extend, the bottom nozzle being the bottom nozzle according to claim 1.
051494922
description
DESCRIPTION OF THE PREFERRED EMBODIMENTS Referring to FIGS. 1-3, a reactor containment vessel 1 of a nuclear power plant comprises a drywell 2 charged with non-condensable gas wherein a reactor pressure vessel 3 supported on a floor by a pedestal 3A is contained and a wetwell 4 having a suppression chamber 5. An isolation condenser (emergency condenser) 6 is provided on an upper side of the reactor containment vessel 1. The reactor pressure vessel 3 has a main steam line 7 extending outward therefrom. The suppression chamber 5 is composed of a pool 5A and an air chamber 5B, and the suppression chamber 5 in which a suppression vent pipe 8 is provided is charged with pool water. The suppression vent pipe 8 is communicated with the drywell 2 at its one open end 8A and the other open end 8B is soaked in the pool 5A. The one open end 8A of the suppression vent pipe 8 is positioned at the substantially identical level with the main steam line 7 (see FIG. 1). The substantially identical level, as shown in FIG. 2, means that the main steam line 7 and the one open end 8A are respecively positioned in a same node (see Ring 2 - Level 5 shown in FIG. 2). The isolation condenser 6 is incorporated on the upper side of the reactor containment vessel 1. The isolation condenser 6 comprises a steam supply pipe 11 branched away and connected to the main steam line 7, a bundle of heat exchanger tubes 12 connected with the steam supply pipe 11, a condensate tank or pool 13 in which the heat exchanger tubes 12 are disposed, a return pipe 14 connecting between the heat exchanger tubes 12 and the reactor pressure vessel 3, a non-condensable gas vent pipe 22 connecting between an outlet portion 12B of the heat exchanger and the suppression chamber 4 and a first non-condensable gas vent pipe 20 connecting between the outlet portion 12B and a lower area 2A of the drywell 2. An open end of the non-condensable gas vent pipe 22 is submerged into the pool 5A to be positioned at a level upper than the other open end 8B of the suppression vent pipe 8. The heat exchanger tubes 12 have respectively an inlet portion 12A and the outlet portion 12B at each end thereof. The heat exchanger tubes 12 which respectively communicates between the steam supply pipe 11 and return pipe 14 through the inlet portion 12A and outlet portion 12B are soaked in the water charged in the condensate tank 13 so as to condense the steam introduced from the main steam line 7. The return pipe 14 having a return valve 15 is connected with the reactor pressure vessel 3 to return the condensed water thereto. The return valve 15 is constantly closed or actuated to be opened in accordance with a signal transmitted in response to an occurance of LOCA (Loss of Coolant Accident). The first non-condensable gas vent pipe 20 is provided in the isolation condenser 6. The first non-condensable gas vent pipe 20 is extended from and connected to the outlet portion 12B of the heat exchanger tubes 12. The first non-condensable gas pipe 20 having a first exhaust valve 21, and its open end 20A is opened at the lower area 2A of the drywell 2. The branch pipe 22 is branched away and connected to the first non-condensable gas vent pipe 20 between the outlet portion 12B and the first exhaust valve 21. The branch pipe 22 has a second exhaust valve 23, and its open end 22A which is extended into the suppression chamber 5 is soaked into the pool 5A to be positioned at an upper level than the other open end 8B of the suppression vent pipe 8. The first and second exhaust valves 21, 23, which are constantly closed to interrupt each communication in the first non-condensable gas vent pipe 20 and the branch pipe 22, are respectively actuated to be opened with a given time delay after a signal S responsive to LOCA has been transmitted and a pressure differential in the branch pipe 22. Of these first and second exhaust valves 21, 23, in their operative condition, the former communicates between the heat exchanger tubes 12 and the drywell 2 and the latter communicates between the heat exchanger tubes 12 and the suppression chamber 5. The first exhaust valve 21 is operatively connected with a LOCA signal delay means 19 and a pressure differential detective means 17 through a first control means 16. The second exhaust valve 23 is operatively connected with a LOCA signal delay means 19 through a second control means 18. The second exhaust valve 23 is actuated to be opened by the second control means 18 in accordance with a signal transmitted from the LOCA signal delay means 19. The LOCA signal delay means 19 is provided to delay a LOCA signal S for a given time and to transmit a given time delay signal to the second control means 18. The first exhaust valve 21 is actuated to be opened by the first control means 16 in accordance with the signal transmitted from the LOCA signal delay means 19 and a positive pressure differential in the end 22A of the non-condensable gas vent pipe (branch pipe) 22. The pressure detective means 17 is provided to detect pressure differential in the end 22A of the non-condensable gas vent pipe 22 and pressure differential becomes positive when the pool water 5A is flown into the open end 22A of the non-condensable gas vent pipe 22. The occurrence of Loss of Coolant Accident invites a level of the coolant to lower in the reactor pressure vessel 3. When the level of the coolant lowers below a given value, a signal of coolant level is transmitted to the return valve 15, thereby actuating the return valve 15 to be opened. A communication pipe 9 which is provided between the drywell 2 and the wetwell 4 has a vacuum breaker 10. The communication pipe 9 extends from the air chamber 5B of the suppression chamber 5 toward the drywell 2 to communicate therebetween via the vacuum breaker 10. An open end 9A of the communication pipe 9 is opened toward a lower area 2A of the drywell 2. The vacuum breaker 10 makes the communication pipe 9 constantly interrupt communication between the air chamber 5B and the drywell 2. The vacuum breaker 10 is a check valve which automatically opens when the pressure in the drywell becomes lower than that in the suppression chamber 5. The communication pipe 9, therefore, communicates between the air chamber 5B and the drywell 2 only when the pressure in the drywell 2 becomes lower than that in the air chamber 5B and the vacuum breaker -0 is actuated to be opened. FIG. 2 illustrates a schematic view of a noding analysis by a best estimate thermal-hydraulic code TRAC-BWR in the above first embodiment. The analysis system in FIG. 2 indicates that the drywell 2 is divided into six nodes (Ring 1 - Level 1, Ring 2 - Levels 1-5). The analysis system also indicates that the main steam line 7 and the one open end 8A of the suppression vent pipe 8 are respecively positioned in the same node (Ring 2-Level 5), that is, at the substantially identical level as descibed previously. The noding analysis by Best Estimate Thermal-Hydraulic Code TRAC-BWR is used as a method of analysis. In this analysis, a reactor containment vessel including a reactor pressure vessel is modeled as a cylindrical system (R-Z System) and such a cylindrical system is further divided into respective parts in area each called a cell. The noding analysis is to estimate hydraulic behavior in respective parts. In the analysis presented by FIG. 2, the cylindrical system is divided into six nodes called Level in its axial direction (Z) and into three nodes called Ring in the diametrical direction. FIG. 3 illustrates a distribution chart showing partial pressure of non-condensable gas in the drywell 2 on the basis of the analysis system shown in FIG. 2 when the main steam line 7 is broken. It is apparently understood that the partial pressure of the non-condensable gas in the upper portion of the drywell 2 (Ring 2 - Level 5) rapidly decreases during about several tens of seconds after the breaking of the main steam line 7. This shows that a quantity of non-condensable gas reduces to almost zero in the above-described node defined by Ring 2 - Level 5 where the steam supply pipe 11 of the isolation condenser 6 is provided. The following will describe an explanation of function relating to the first embodiment of this invention. If it happens by any possibility that the main steam line 7 is broken, the steam is released from the reactor pressure vessel 3 into the drywell 2 through the broken main steam line 7 to be mixed with the non-condensable gas. The pressure in the drywell 2 becomes greater than that in the suppression chamber 5. Most of the mixture in the upper area 2B of the drywell 2 is introduced into the suppression chamber 5 through the suppression vent tube 8. Most of the non-condensable gas, which exists in the upper area 2B of the drywell 2 before the break, is removed in about tens of seconds after the break of the main steam line 7. When the return valve 15 is opened for removing the decay heat, the steam in which most of the non-condensable gas has been removed is introduced into the heat exchanger tubes 12 of the isolation condenser 6 from the upper area 2B (see Node Ring 2 - Level 5 in FIG. 2) of the drywell 2 through the broken main steam line 7. At this time most of the non-condensable gas is removed from the steam in the upper area 2B of the drywell 2, so that it is possible to prevent the isolation condenser 6 from absorbing a large amount of non-condensable gas and to prevent the significant degradation of the condensation heat transfer in the heat exchanger tubes 12. Even if the non-condensable gas is excluded from the upper area 2B, it is a fact that extremely a little non-condensable gas exists in the steam. If the non-condensable gas resides even extremely a little, there is a possibility that the non-condensable gas may go on to accumulate in the heat exchanger tubes 12 because of the removal of the steam by concentration therein, thus inviting the degradation of the condensation heat transfer in the heat exchanger tubes 12. In this case, however, when the second valve 23 is opened by the second control means 18 for removing the decay heat, because the pressure in the drywell 2 is greater than that in the suppression chamber 5, the branch pipe 22 introduces the non-condensable gas with the steam in the heat exchanger tubes 12 into the suppression chamber 5. Accordingly, the non-condensable gas is adequately excluded from the heat exchanger tubes 12, thus preventing the accumulation of the non-condensable gas in the heat exchanger tubes 12 and enabling to obtain better cooling performance. When the drywell 2 is succesively cooled by the isolation condenser 6 and the pressure in the drywell 2 is equal to the pressure in the suppression chamber 5, while water in the pool 5A is flown into the open end 22A of the branch pipe 22, the branch pipe 22 is sealed by water at its open end 22A. In this case, the first control valve 21 is opened by the first control means 16 and then the non-condensable gas in the heat exchanger tubes 12 is exhausted through not the branch pipe 22 but the first non-condensable gas vent pipe 20 so as to be introduced in the lower area 2A of the drywell 2. It is, therefore, possible to avoid the accumulation of the non-condesable gas in the heat exchanger tubes 12. When the drywell 2 is further cooled by the isolation condenser 6 and the drywell 2 becomes lower in pressure, this causes the level of the pool 5A in the branch pipe 22 to rise, thus returning the non-condensable gas in the branch pipe 22 toward the side of the isolation condenser 6. The non-condensable gas in the branch pipe 22, however, is introduced to the drywell 2 through the first non-condensable gas vent pipe 20 in this case too. When the drywell 2 is successively cooled by the isolation condenser 6, the pressure in the drywell 2 becomes lower than the pressure in the suppression chamber 5. Then the vacuum breaker 10 opens and the non-condensable gas in the suppression chamber 5 is returned to the lower area 2A of the drywell 2 through the communication pipe 9. At this time, because non-condensable gas is greater in density than steam, the returned non-condensable gas is suppressed to rise in the drywell 2 to reside in the lower area 2A of the drywell 2. The returned non-condensable gas, therefore, does not intrude from the lower area 2A of the drywell 2 into the isolation condenser 6. FIG. 4 shows a second embodiment of a reactor containment vessel according to the present invention which is generally similar to the construction described above with reference to FIGS. 1 and 2, similar parts being coincident with the same reference numerals. In this embodiment, the isolation condenser 6 has a third exhaust valve 25 which is equipped between the outlet portion 12B and the branching point 26, instead of the first and second exhaust valves 21, 23 in the first embodiment. A non-condensable gas vent pipe 27 toward the lower area 2A of the drywell 2 has a larger flow resistance than the flow path consisted of the steam supply line 11 and the heat exchanger tubes 12. The third exhaust valve 25, which is constantly closed to interrupt communications in the non-condensable gas vent pipes 27,28, is actuated to be opened with a given time dalay after a signal S responsive to LOCA has been transmitted. The following explanation will describe function of the second embodiment of this invention. When the main steam line 7 is broken by any possibility, the steam is released from the reactor pressure vessel 3 into the drywell 2 through the broken main steam line 7 to be mixed with the non-condensable gas. Most of the non-condensable gas in the upper area 2B of the drywell 2 is introduced with the steam into the suppression chamber 5 through the suppression vent pipe 8. When the exhaust valve 25 is opened by the second control means 18 and the pressure in the drywell 2 is higher than that in the suppression chamber 5, the non-condensable gas in the heat exchanger tubes 12 is vented to the suppression chamber 5 through the non-condensable gas vent pipe 28. Since the flow resistance of the non-condensable gas vent pipe 27 toward the lower area 2A of the drywell 2 is larger than that of the flow path consisted of the steam supply line 11 and the heat exchanger tube 12, the bypass flow from the lower area 2A of the drywell 2 to the suppression chamber 5 through the non-condensable gas vent pipes 27,28 is well limited, compared with the flow through the heat exchanger tubes 12 and the non-condensable gas vent pipe 28. Thus accumulation of the non-condensable gas in the heat exchanger tubes 12 is avoided. When the drywell 2 is cooled further by the isolation condenser 6 and the pressure in the drywell 2 becomes almost equal to that in the suppression chamber 5, the exit of the non-condensable gas vent pipe 28 is sealed by the pool water 5A and stops venting the non-condensable gas. Even in this case, the non-condensable gas vent pipe 27 toward the lower area 2A of the drywell 2 vents the non-condensable gas. FIG. 5 shows a third embodiment of a reactor containment vessel according to the present invention which is generally similar to the construction described above with reference to FIGS. 1 to 4, similar parts being coincident with the same reference numerals. In this embodiment, the isolation condenser 6 has a second non-condensable gas vent pipe 30 which is extended from and connected with the outlet portion 12B of the heat exchanger tubes 12. The second non-condensable gas vent pipe 30 has a fourth exhaust valve 31, and its open end 30A is opened at the lower area 2A of the drywell 2. The fourth exhaust valve 31, which is constantly closed to interrupt a communication in the third non-condensable vent pipe 30, is actuated to be opened with a given time delay after a signal S responsive to LOCA has been transmitted. In an operative condition of the fourth exhaust valve 31, the second non-condensable gas vent pipe 30 communicates between the heat exchanger tubes 12 and the drywell 2. The fourth exhaust valve 31 is operatively connected with a LOCA signal delay means 19 through the second control means 18. The fourth exhaust valve 31 is actuated to be opened by the second control means 18 in accordance with the signal transmitted from the LOCA signal delay means 19. In the case of the breaking of the main steam line 7, when the isolation condenser 6 is actuated by opening of the return valve 15 and further cooling water is sufficiently supplied into the reactor pressure vessel 3, the pressure differential between the drywell 2 and suppression chamber 5 becomes less in accordance with a decrease of the steam released to the drywell 2. The non-condensable gas introduced in the heat exchanger tubes 12 is exhausted through the second non-condensable gas vent pipe 30 and introduced to the lower area 2A of the drywell 2. It should be understood that the present invention is not limited to the preferred embodiment described above and many other modifications and changes may be made without departing from the scope of the appended claim.
description
The present application claims the benefit of priority from U.S. Provisional Patent Application No. 60/639,316, filed Dec. 28, 2004, the entire content of which is incorporated herein by reference. The present invention relates to a lithographic apparatus, an illumination system and a filter system. A lithographic apparatus is a machine that applies a desired pattern onto a substrate, usually onto a target portion of the substrate. A lithographic apparatus can be used, for example, in the manufacture of integrated circuits (ICs). In that instance, a patterning device, which is alternatively referred to as a mask or a reticle, may be used to generate a circuit pattern to be formed on an individual layer of the IC. This pattern can be transferred onto a target portion (e.g. including part of, one, or several dies) on a substrate (e.g. a silicon wafer). Transfer of the pattern is typically via imaging onto a layer of radiation-sensitive material (resist) provided on the substrate. In general, a single substrate will contain a network of adjacent target portions that are successively patterned. Known lithographic apparatus include so-called steppers, in which each target portion is irradiated by exposing an entire pattern onto the target portion at one time, and so-called scanners, in which each target portion is irradiated by scanning the pattern through a radiation beam in a given direction (the “scanning”-direction) while synchronously scanning the substrate parallel or anti-parallel to this direction. It is also possible to transfer the pattern from the patterning device to the substrate by imprinting the pattern onto the substrate. In a lithographic apparatus, the size of features that can be imaged onto the substrate is limited by the wavelength of the projection radiation. To produce integrated circuits with a higher density of devices, and hence higher operating speeds, it is desirable to image smaller features. While most current lithographic projection apparatus employ ultraviolet light generated by mercury lamps or excimer lasers, it has been proposed to use shorter wavelength radiation, in the range of 5 to 20 nm, in particular around 13 nm. Such radiation is termed extreme ultra violet (EUV) or soft X-ray and possible sources include, for example, laser produced plasma sources, discharge plasma sources, or synchrotron radiation from electron storage rings. These types of radiation require that the beam path in the apparatus be evacuated to avoid beam scatter and absorption. Because there is no known material suitable for making a refractive optical element for EUV radiation, EUV lithographic apparatus must use mirrors in the radiation (illumination) and projection systems. Even multilayer mirrors for EUV radiation have relatively low reflectivities and are highly susceptible to contamination, further reducing there reflectivities and hence throughput of the apparatus. This may impose further specifications on the vacuum level to be maintained and may necessitate especially that hydrocarbon partial pressures be kept very low. In a typical discharge plasma source, plasma is formed by an electrical discharge. The plasma may then be caused to compress so that is becomes highly ionized and reaches a very high temperature, thereby causing the emission of EUV radiation. The material used to produce the EUV radiation is typically xenon or lithium vapor, although other gases such as krypton or tin or water, may also be used. However, these gases may have a relatively high absorption of radiation within the EUV range and/or be damaging to optics further downstream of the projection beam and their presence should therefore be minimized in the remainder of the lithographic apparatus. A discharge plasma source is disclosed, for example, in U.S. Pat. No. 5,023,897 and U.S. Pat. No. 5,504,795, both of which are incorporated herein by reference. In a laser produced plasma source, a jet of, for example, (clustered) xenon may be ejected from a nozzle, for example produced from an ink-jet like nozzle as droplets or thin wire. At some distance from the nozzle, the jet is irradiated with a laser pulse of a suitable wavelength for creating a plasma that subsequently will radiate EUV radiation. Other materials, such as water droplets, ice particles, lithium or tin, etc. may also be ejected from a nozzle and be used for EUV generation. In an alternative laser-produced plasma source, an extended solid (or liquid) material is irradiated to create a plasma for EUV radiation. Laser produced plasma sources are, for example, disclosed in U.S. Pat. No. 5,459,771, U.S. Pat. No. 4,872,189 and U.S. Pat. No. 5,577,092, each of which are incorporated herein by reference. During generation of EUV radiation, particles are released. These particles, hereinafter referred to as debris particles, include ions, atoms, molecules and small droplets. These particles should be filtered out of the EUV radiation, as these particles may be detrimental to the performance and/or the lifetime of the lithographic apparatus, in particular the illumination and projection system thereof. International Patent Application Publication No. WO 99/42904, incorporated herein by reference, discloses a filter that is, in use, situated in a path along which the radiation propagates away from the source. The filter may thus be placed between the radiation source and, for example, the illumination system. The filter includes a plurality of foils or plates that, in use, trap debris particles, such as atoms and microparticles. Also, clusters of such microparticles may be trapped by these foils or plates. These foils or plates are orientated such that the radiation can still propagate through the filter. The plates may be flat or conical and may be arranged radially around the radiation source. The source, the filter and the projection system may be arranged in a buffer gas, for example, krypton, whose pressure is about 0.5 torr. Contaminant particles then take on the temperature of the buffer gas, for example, room temperature, thereby sufficiently reducing the particles velocity before the end of the filter. This enhances the likelihood that the particles are trapped by the foils. The pressure in this known contaminant trap is about equal to that of its environment, when such a buffer gas is applied. International Patent Application Publication No. WO 03/034153, incorporated herein by reference, discloses a contaminant trap that includes a first set of foils and a second set of foils, such that radiation leaving the source first passes the first set of foils and than the second set of foils. The plates, or foils, of the first and second set define a first set of channels and a second set of channels, respectively. The two sets of channels are spaced apart leaving between them a space into which flushing gas is supplied by a gas supply. An exhaust system may be provided to remove gas from the contaminant trap. The pressure of the gas and the space between the two sets of channels may be relatively high so that debris particles are efficiently slowed down, further enhancing the likelihood that debris particles are trapped by the second set of foils. The first and second set of channels provide a resistance to the gas when the gas moves from the space between the two sets of channels in the channels of either the first or the second set. Hence, the presence of the gas is more or less confined to the space between the two sets of channels. Even though the platelets or foils are positioned such that radiation diverging from the radiation source can easily pass through the contaminant trap, the foils or platelets do absorb some EUV radiation and, therefore, some heat. Moreover, these foils are heated by colliding and impact of debris particles. This may result in a significant heating of the foils and heating of a supporting structure that supports the foils. This may lead to thermal expansion of the foils and supporting structure. As optical transmission of the contaminant trap is very important in a lithographic apparatus, deformation of a foil due to thermal expansion of the foil should be minimized. European Patent Application Publication No. EP 1 434 098 addresses this problem by providing a contamination barrier, i.e. a foil trap or contaminant trap, that includes an inner ring and an outer ring in which each of the foils or plates is slidably positioned at least one of its outer ends in grooves of at least one of an inner ring and outer ring of a supporting structure. By slidably positioning one of the outer ends of the foils or plates, the foils or plates can expand in a radial direction without the appearance of mechanical tension, and thus without thermally induced mechanical deformation of the plates or foil. The contamination trap may include a cooling system arranged to cool one of the rings to which the plate or foils are thermally connected. It is desirable to provide a lithographic apparatus having a filter system, an illumination system having a filter system, or a filter system itself, in which despite heating up of the filter system, an acceptable performance of the filter system in terms of its optical transmission remains possible. According to an aspect of the invention, there is provided a lithographic apparatus. The lithographic apparatus includes a radiation system configured to form a projection beam of radiation. The radiation system includes a radiation source that emits radiation, a filter system for filtering debris particles out of the radiation emitted by the radiation source, and an illumination system configured to form the radiation emitted by the radiation source into a conditioned radiation beam. The filter system includes a plurality of foils for trapping the debris particles. At least one foil of the plurality of foils includes at least two parts that have a mutually different orientation and that are connected to each other along a substantially straight connection line. Each of the two parts substantially coincides with a virtual plane that extends through a predetermined position that substantially coincides with the radiation source. The substantially straight connection line coincides with a virtual straight line that also extends through the predetermined position. The apparatus also includes a projection system configured to project the projection beam of radiation onto a substrate. According to an aspect of the invention, there is provided a radiation system configured to form a beam of radiation. The radiation system includes a radiation source that emits radiation, a filter system for filtering debris particles out of the radiation emitted by the radiation source, and an illumination system configured to form the radiation emitted by the radiation source into a conditioned radiation beam. The filter system includes a plurality of foils for trapping the debris particles. At least one foil of the plurality of foils includes at least two parts that have a mutually different orientation and that are connected to each other along a substantially straight connection line. Each of the two parts substantially coincides with a virtual plane that extends through a predetermined position that substantially coincides with the radiation source. The substantially straight connection line coincides with a virtual straight line that also extends through the predetermined position. According to an aspect of the invention, there is provided a filter system for filtering debris particles out of radiation emitted by a radiation source, and usable for lithography, in particular EUV lithography. The filter system includes a plurality of foils for trapping the debris particles. At least one foil of the plurality of foils includes at least two parts that have a mutually different orientation and that are connected to each other along a substantially straight connection line. Each of the two parts substantially coincides with a virtual plane that extends through a predetermined position that substantially coincides with the radiation source. The substantially straight connection line coincides with a virtual straight line that also extends through the predetermined position. Upon heating of the foils, the foils will thermally expand. The foils that comprise two parts having mutually different orientations, comprise effectively a predetermined line at which thermal expansion of both parts is effected. This predetermined line will be translated as a consequence of the thermal expansion of each part. This allows for a design of the foils wherein the thermal expansion is controllable and predictable. Furthermore, as the predetermined line, i.e. the straight connection line, coincides with a virtual straight line that also extends through the position from which radiation propagates, the translation of that line will only cause a minimal drop in optical transmission, if at all, caused by the thermal expansion of that foil. FIG. 1 schematically depicts a lithographic apparatus according to one embodiment of the invention. The apparatus comprises: an illumination system (illuminator) IL configured to condition a radiation beam B (e.g. UV radiation EUV radiation or X-ray radiation); a support structure (e.g. a mask table) MT constructed to support a patterning device (e.g. a mask) MA and connected to a first positioner PM configured to accurately position the patterning device in accordance with certain parameters; a substrate table (e.g. a wafer table) WT constructed to hold a substrate (e.g. a resist-coated wafer) W and connected to a second positioner PW configured to accurately position the substrate in accordance with certain parameters; and a projection system (e.g. a refractive projection lens system) PS configured to project a pattern imparted to the radiation beam B by patterning device MA onto a target portion C (e.g. comprising one or more dies) of the substrate W. The illumination system may include various types of optical components, such as refractive, reflective, magnetic, electromagnetic, electrostatic or other types of optical components, or any combination thereof, for directing, shaping, or controlling radiation. The support structure supports, i.e. bears the weight of, the patterning device. It holds the patterning device in a manner that depends on the orientation of the patterning device, the design of the lithographic apparatus, and other conditions, such as for example whether or not the patterning device is held in a vacuum environment. The support structure can use mechanical, vacuum, electrostatic or other clamping techniques to hold the patterning device. The support structure may be a frame or a table, for example, which may be fixed or movable as required. The support structure may ensure that the patterning device is at a desired position, for example with respect to the projection system. Any use of the terms “reticle” or “mask” herein may be considered synonymous with the more general term “patterning device.” The term “patterning device” as used herein should be broadly interpreted as referring to any device that can be used to impart a radiation beam with a pattern in its cross-section such as to create a pattern in a target portion of the substrate. It should be noted that the pattern imparted to the radiation beam may not exactly correspond to the desired pattern in the target portion of the substrate, for example if the pattern includes phase-shifting features or so called assist features. Generally, the pattern imparted to the radiation beam will correspond to a particular functional layer in a device being created in the target portion, such as an integrated circuit. The patterning device may be transmissive or reflective. Examples of patterning devices include masks, programmable mirror arrays, and programmable LCD panels. Masks are well known in lithography, and include mask types such as binary, alternating phase-shift, and attenuated phase-shift, as well as various hybrid mask types. An example of a programmable mirror array employs a matrix arrangement of small mirrors, each of which can be individually tilted so as to reflect an incoming radiation beam in different directions. The tilted mirrors impart a pattern in a radiation beam which is reflected by the mirror matrix. The term “projection system” as used herein should be broadly interpreted as encompassing any type of projection system, including refractive, reflective, catadioptric, magnetic, electromagnetic and electrostatic optical systems, or any combination thereof, as appropriate for the exposure radiation being used, or for other factors such as the use of an immersion liquid or the use of a vacuum. Any use of the term “projection lens” herein may be considered as synonymous with the more general term “projection system”. As here depicted, the apparatus is of a reflective type (e.g. employing a reflective mask). Alternatively, the apparatus may be of a transmissive type (e.g. employing a transmissive mask). The lithographic apparatus may be of a type having two (dual stage) or more substrate tables (and/or two or more mask tables). In such “multiple stage” machines the additional tables may be used in parallel, or preparatory steps may be carried out on one or more tables while one or more other tables are being used for exposure. The lithographic apparatus may also be of a type in which at least a portion of the substrate may be covered by a liquid having a relatively high refractive index, e.g. water, so as to fill a space between the projection system and the substrate. An immersion liquid may also be applied to other spaces in the lithographic apparatus, for example, between the mask and the projection system. Immersion techniques are well known in the art for increasing the numerical aperture of projection systems. The term “immersion” as used herein does not mean that a structure, such as a substrate, must be submerged in liquid, but rather only means that liquid is located between the projection system and the substrate during exposure. Referring to FIG. 1, the illuminator IL receives a radiation beam from a radiation source SO. In a path along which radiation propagates from the source SO towards the illuminator, a filter system FS is provided. The filter system FS substantially transmits the radiation and filters debris particles out of the radiation. The source and the lithographic apparatus may be separate entities, for example when the source is an excimer laser. In such cases, the source is not considered to form part of the lithographic apparatus and the radiation beam is passed from the source SO to the illuminator IL with the aid of a beam delivery system including, for example, suitable directing mirrors and/or a beam expander. In other cases the source may be an integral part of the lithographic apparatus, for example when the source is a mercury lamp. The source SO and the illuminator IL, together with the beam delivery system if required, may be referred to as a radiation system. The illuminator IL may include an adjuster for adjusting the angular intensity distribution of the radiation beam. Generally, at least the outer and/or inner radial extent (commonly referred to as σ-outer and σ-inner, respectively) of the intensity distribution in a pupil plane of the illuminator can be adjusted. In addition, the illuminator IL may comprise various other components, such as an integrator and a condenser. The illuminator may be used to condition the radiation beam, to have a desired uniformity and intensity distribution in its cross-section. The radiation beam B is incident on the patterning device (e.g., mask MA), which is held on the support structure (e.g., mask table MT), and is patterned by the patterning device. Having traversed the mask MA, the radiation beam B passes through the projection system PS, which focuses the beam onto a target portion C of the substrate W. With the aid of the second positioner PW and position sensor IF2 (e.g. an interferometric device, linear encoder or capacitive sensor), the substrate table WT can be moved accurately, e.g. so as to position different target portions C in the path of the radiation beam B. Similarly, the first positioner PM and another position sensor IF1 can be used to accurately position the mask MA with respect to the path of the radiation beam B, e.g. after mechanical retrieval from a mask library, or during a scan. In general, movement of the mask table MT may be realized with the aid of a long-stroke module (coarse positioning) and a short-stroke module (fine positioning), which form part of the first positioner PM. Similarly, movement of the substrate table WT may be realized using a long-stroke module and a short-stroke module, which form part of the second positioner PW. In the case of a stepper (as opposed to a scanner) the mask table MT may be connected to a short-stroke actuator only, or may be fixed. Mask MA and substrate W may be aligned using mask alignment marks M1, M2 and substrate alignment marks P1, P2. Although the substrate alignment marks as illustrated occupy dedicated target portions, they may be located in spaces between target portions (these are known as scribe-lane alignment marks). Similarly, in situations in which more than one die is provided on the mask MA, the mask alignment marks may be located between the dies. The depicted apparatus may be used in at least one of the following modes: 1. In step mode, the mask table MT and the substrate table WT are kept essentially stationary, while an entire pattern imparted to the radiation beam is projected onto a target portion C at one time (i.e. a single static exposure). The substrate table WT is then shifted in the X and/or Y direction so that a different target portion C can be exposed. In step mode, the maximum size of the exposure field limits the size of the target portion C imaged in a single static exposure. 2. In scan mode, the mask table MT and the substrate table WT are scanned synchronously while a pattern imparted to the radiation beam is projected onto a target portion C (i.e. a single dynamic exposure). The velocity and direction of the substrate table WT relative to the mask table MT may be determined by the (de-)magnification and image reversal characteristics of the projection system PS. In scan mode, the maximum size of the exposure field limits the width (in the non-scanning direction) of the target portion in a single dynamic exposure, whereas the length of the scanning motion determines the height (in the scanning direction) of the target portion. 3. In another mode, the mask table MT is kept essentially stationary holding a programmable patterning device, and the substrate table WT is moved or scanned while a pattern imparted to the radiation beam is projected onto a target portion C. In this mode, generally a pulsed radiation source is employed and the programmable patterning device is updated as required after each movement of the substrate table WT or in between successive radiation pulses during a scan. This mode of operation can be readily applied to maskless lithography that utilizes programmable patterning device, such as a programmable mirror array of a type as referred to above. Combinations and/or variations on the above described modes of use or entirely different modes of use may also be employed. FIG. 2 schematically depicts a filter system FS for filtering debris particles out of the radiation beam. The filter system shown in FIG. 2 is depicted as viewed from a predetermined position that is in use intended to substantially coincide with a source from which the radiation is generated. The filter system FS includes a plurality of foils F for trapping the debris particles. As will be discussed below, from this viewing position, the foils are seen as lines. FIG. 3 and FIG. 4 show one of these foils F, in, respectively, a perspective view and view similar to that of FIG. 2. Each of the foils F includes two parts FP1, FP2 that have a mutually different orientation. The two parts FP1, FP2 are connected to each other along a substantially straight connection line CL, which is more clearly shown in FIG. 3. Each of the two parts FP1, FP2 coincide with a virtual plane (not shown) that extends through the predetermined position from which the filter system FS is seen in FIG. 2. This is schematically indicated by the virtual straight lines VSL, shown in FIG. 3. As indicated earlier, this predetermined position is, in use, intended to substantially coincide with a source from which the radiation is generated. The source SO is schematically indicated in FIG. 3. The straight connection line CL also coincides with a virtual straight line VSL that extends through the predetermined position, i.e. through the position that is intended to substantially coincide with the source SO from which the radiation is generated. In use, radiation generated from the source, propagates through the filter system. Only a small portion of the radiation will hit the foils frontally and may as such be absorbed by the foil, thereby resulting in heating the foil. Debris particles, traveling along a path into which the radiation propagates, may be trapped by the foils F as their direction of velocity is likely to have a component towards one of the foils F. It is also possible to rotate the foil trap such that the foils intercept the debris particles when these particles travel through the channels C formed by the foils F. In addition to the absorbance of radiation, the foils F also heat up, due to the impact of these particles. The filter system FS includes a support S to which a first part FP1 of the two parts FP1, FP2 is connected at a first position P1 of the support S, while a second part FP2 of the two parts FP1, FP2 is connected at a second position P2 of the support S. In the embodiment shown in FIG. 2, the support S includes an inner ring IR and an outer ring OR. The inner ring IR and the outer ring OR are coaxial. A distance D between the first position P1 and the second position P2 is fixed. The foils F may be made of a material substantially including molybdenum. Also, the supports may be made of a material that substantially includes molybdenum. The foils F may be connected to the support S by soldering. The behavior of the foil trap shown in FIG. 2, when, in use, is as follows. Each part FP1, FP2 of a foil F expands when heated up. The expansion occurs substantially within a plane in which the respective part lies. The expansion of the foil F is accommodated for by a movement of the connection line substantially sideways with respect to the overall orientation of the foil. The extent to which the connection line moves is even more predictable when the distance D between position P1 and position P2 is fixed. Foil F when heated up is in FIG. 4 schematically shown by a dashed line. A new orientation of the foil F, when heated up, has become predictable due to the position of the connection line CL. As the straight connection line coincides with a virtual straight line that extends through the predetermined position which is in use intended to substantially coincide with a source from which the radiation is generated, and each of the two parts FP1, FP2 coincide with a virtual plane that extends through that predetermined position, a new position and orientation of the foil will only cause a minimal drop in optical transmission, if at all. Furthermore, it is possible, for example, to experimentally determine the thermal expansion and the new position of a foil when heated up, and to design the filter system such that when the filter system is exposed to the absorbance of EUV radiation and/or impact of debris particles, the foil adopts an orientation which allows for optimal transmission of (EUV) radiation. Each part of the two parts FP1, FP2 may coincide with a virtual plane that is a straight plane. The controllability and predictability would then be even more straight forward. However it is possible that each part, or one of the parts FP1, FP2 includes a curvature. The embodiment shown in FIGS. 2 and 4 is focused on a cylindrical or conical filter system FS, i.e. a filter system having a cylindrical or conical outer ring and possibly a cylindrical or conical inner ring. However, in principal, any other shape of the support and the filter system is possible. Fixation of the distance D between position P1 and position P2 of the support S is relative to the thermal expansion of the foil F. It is thus possible that the distance D may slightly increase due to expansion of the support, i.e. in this case inner ring IR and outer ring OR. Schematically is shown in FIG. 2 and 4 that the support may be cooled by a cooling system CS. For the sake of clarity, this cooling system CS is only shown to be present at the outer ring OR. It is, however, equally possible to provide a cooling system CS at the inner ring IR. FIGS. 5 and 6 show a part of an embodiment of a filter system FS according to the invention, that reveals in more detail a construction of the cooling system CS and the way in which this cooling system CS may work. In FIG. 5, foil F1 and foil F2 are part of a filter system FS for trapping debris particles. The filter system also includes a support, in FIG. 5 shown as part S1 and part S2. It is possible that part S1 and part S2 both belong to one ring-shaped support. FIG. 5 may be seen as showing a schematic cross-section of such a ring-shaped support. A symmetry axis SA is schematically represented by line L. Foil F1 and foil F2 may both be connected to an axis (not shown) of the support. In that case, this axis may coincide with line L. In an embodiment where the support, including support parts S1 and S2, is ring-shaped, symmetry axis SA may coincide with a virtual straight line that extends through a predetermined position that is intended to coincide with a source from which radiation is generated. It is further possible that foil F1 and foil F2 are connected, i.e. form together one foil. It is in such an embodiment possible that support S1 and support S2 are separated supports, that is, separated by the foil which is formed by connecting foil F1 and foil F2. For example, support S1 may represent a cross-section of an outer ring, while support S2 represents a cross-section of an inner ring. In that situation, line L does not represent a symmetry-axis. It is also possible that line L represents a plane of symmetry and that the filter system includes a plurality of foils which are parallel to each other. The filter system includes a cooling system CS. This cooling system CS may include parts CS1 and CS2. In cases where the respective support is ring-shaped, the respective cooling system CS may also be ring-shaped. Line L may in some embodiments thus also represent the symmetry axis of the cooling system CS. For a further description of the part of the filter system shown in FIG. 5, reference is only made to the upper part, i.e. above line L. The description of the upper part also holds for the lower part. The cooling system CS1 has a surface A1 that is arranged to be cooled. The cooling system CS1 and the support S1 are positioned with respect to each other such that a gap G is formed between the surface A1 of the cooling system CS1 and the support S1. The cooling system CS1 is further arranged to inject gas into the gap G. The gas and its flow direction is indicated by dotted arrows. The path P between an entrance position EP at which the gas enters the gap G and an exit position XP from which the gas exits the gap G forms in the embodiment shown in FIG. 5 a meandering path P. As the path P is a meandering path, gas injected into the gap experiences a large resistance when flowing from the entrance position EP towards the exit position XP. Such a meandering path provides resistance to leakage of gas from the gap G towards its surrounding. It is also possible that the path is a straight path. The resistance experienced by the gas when moving towards exit position XP is then lower, as compared to the embodiment shown. The support S1 may be provided with a recess R1 for holding the gas before the gas exits gap G. The pressure in this recess may be about 1000 Pa whereas the pressure of the surroundings may be about 10 Pa. The recess R1 may thus provide a buffer in which injected gas cools the support S1. The gap G may be such that a smallest distance between the surface A1 and the support S1 is in a range that varies from about 20 micrometers to about 200 micrometers. The gap may also be such that the smallest distance between the surface A1 and the support S1 is in a range that varies from about 40 micrometers to about 100 micrometers. The surface A1 of the cooling system CS1 is arranged to be cooled with a fluid. For this purpose, the cooling system CS1 may include a channel that extends in a subsurface of surface A1. In use, water, that is, relatively cool water, may enter channel entrance CEA and run through the channel C, and leave the channel at channel exit CX. In that case the subsurface of surface A1 will be cooled with water still about as cool as the water that enters the channel C at channel entrance CEA. The cooling system CS1 may also be arranged to cool the gas before injecting the gas into the gap G. Instead of having an entrance for water at a position indicated by channel entrance CEA, it may be advantageous to let water into the channel at a position indicated by CEG, so that water first runs along an injection channel IC through which in use gas is injected into the gap G. This allows for cooling the gas in the injection channel IC or for further keeping the gas cool in the injection channel in cases where the gas has been cooled before entering the injection channel IC. It is, of course, also possible that the injection channel IC and the surface A1 are cooled by independent cooling mechanisms. Instead of using water, any other suitable cooling medium may be used. Although not shown, it will be clear that entrances and exits of channel C are connected with supplies and exhausts, respectively, such that no water and/or any other cooling medium used for cooling the cooling system will enter the surroundings of the cooling system and/or the filter system. Gas injected via injection channel IC into the gap G may be Argon, or any other gas that has good cooling properties and is relatively inert. When the filter system is exposed to EUV radiation and filters debris particles out of the path along which the EUV radiation propagates towards a collection system, and the filter system rotates at about 3,000 rpm in a vacuum environment, the foils and their support(s) are likely to absorb about 1 kW of power as a result of absorption of EUV radiation and impact of debris particles on foils. Without wishing to be bound by any theory, it is indicated that it is possible to remove an amount of heat equal to about 1.3 kW when Argon gas is injected into the gap G such that a pressure of about 1000 Pa in the recess R1 is reached, the temperature difference between the support and the cooled surface of the cooling system CS1 is about 200K, and the surface A1 includes an area of about 1.26*10−2 m2. The heat transfer coefficient is in this consideration taken to be about 0.7 W/m2*K*Pa and the efficiency is assumed to be about 0.85. The shortest distance between the support S1 and the surface A1 in the gap is assumed to be between about 40 and about 100 micrometers. Pressure in the surroundings may in that case be about 10 mbar. In this assessment, the material of which the support is made, is assumed to be stainless steel having a thickness of about 2 cm and a diameter of about 200 mm. FIG. 6 depicts another part of a lithographic apparatus, illumination system, and filter system according to an embodiment of the invention. In this situation, the support S1 and S2 include parts of a ring-shaped support that is rotatably arranged around a symmetry axis SA and a cooling system CS, which may, in use, remain stationary with respect to the support of which parts S1 and S2 are schematically shown. The foils F1, F2 extend radially with respect to the symmetry axis SA. There may be one injection channel IC splitting in a part leading towards recess R1 and a part leading towards recess R2. Further structural features are the same as depicted in FIG. 5. The cooling system CS shown in FIG. 6 works the same as the cooling system shown in FIG. 5. It is possible that the support S1, S2 is rotatable due to a driving mechanism that transmits forces towards an outer ring (not shown) to which the foils F1, F2 in such an embodiment are connected. However, it also possible that the support S1, S2 are actually connected to cooling system CS via, for example thermally insulating connections, and that the cooling system CS drives rotation of the support S1, S2. In this latter embodiment it is not necessarily the case that the foils F1, F2 are connected to for example an outer ring. Although specific reference may be made in this text to the use of lithographic apparatus in the manufacture of ICs, it should be understood that the lithographic apparatus described herein may have other applications, such as the manufacture of integrated optical systems, guidance and detection patterns for magnetic domain memories, flat-panel displays, liquid-crystal displays (LCDs), thin-film magnetic heads, etc. The skilled artisan will appreciate that, in the context of such alternative applications, any use of the terms “wafer” or “die” herein may be considered as synonymous with the more general terms “substrate” or “target portion”, respectively. The substrate referred to herein may be processed, before or after exposure, in for example a track (a tool that typically applies a layer of resist to a substrate and develops the exposed resist), a metrology tool and/or an inspection tool. Where applicable, the disclosure herein may be applied to such and other substrate processing tools. Further, the substrate may be processed more than once, for example in order to create a multi-layer IC, so that the term substrate used herein may also refer to a substrate that already contains multiple processed layers. Although specific reference may have been made above to the use of embodiments of the invention in the context of optical lithography, it will be appreciated that the invention may be used in other applications, for example imprint lithography, and where the context allows, is not limited to optical lithography. In imprint lithography a topography in a patterning device defines the pattern created on a substrate. The topography of the patterning device may be pressed into a layer of resist supplied to the substrate whereupon the resist is cured by applying electromagnetic radiation, heat, pressure or a combination thereof. The patterning device is moved out of the resist leaving a pattern in it after the resist is cured. The terms “radiation” and “beam” as used herein encompass all types of electromagnetic radiation, including ultraviolet (UV) radiation (e.g. having a wavelength of or about 365, 355, 248, 193, 157 or 126 nm) and extreme ultra-violet (EUV) radiation (e.g. having a wavelength in the range of 5-20 nm). The term “lens”, where the context allows, may refer to any one or combination of various types of optical components, including refractive, reflective, magnetic, electromagnetic and electrostatic optical components. While specific embodiments of the invention have been described above, it will be appreciated that the invention may be practiced otherwise than as described. For example, the invention may take the form of a computer program containing one or more sequences of machine-readable instructions describing a method as disclosed above, or a data storage medium (e.g. semiconductor memory, magnetic or optical disk) having such a computer program stored therein. The descriptions above are intended to be illustrative, not limiting. Thus, it will be apparent to one skilled in the art that modifications may be made to the invention as described without departing from the scope of the claims set out below.
abstract
A system and method to compensate for the proximity effects in the imaging of patterns in a photolithography process. A light exposure of a photoresist layer is effectuated in predetermined patterns through an exposure mask having light-transmissive openings in correspondence to the predetermined patterns. The exposure mask has areas densely populated with the light-transmissive openings and areas sparsely populated with the light-transmissive openings. Light is attenuated through the densely populated light-transmissive openings by a different amount than through the sparsely populated light-transmissive openings.
description
This is the National Stage of PCT international application PCT/FR2019/050976, filed on Apr. 25, 2019 entitled “PACKAGING FOR THE TRANSPORT AND/OR STORAGE OF RADIOACTIVE MATERIALS, PERMITTING EASIER PRODUCTION AND IMPROVED HEAT CONDUCTIVITY”, which claims the priority of French Patent Application No. 1853746 filed Apr. 27, 2018, both of which are incorporated herein by reference in their entirety. The present invention relates to the field of packages for the transport and/or storage of radioactive materials, e.g. nuclear fuel or radioactive waste assemblies. More precisely, the present invention relates to a package comprising at its periphery an external radiation protection shell. From prior art, it is known to assemble an external radiation protection shell, around a side body of a package. The function sought with this shell lies in protection against gamma radiation, and/or neutron absorption in order to comply with regulatory radiological criteria about the package, when it is loaded with radioactive materials. This shell can be obtained by stacking unitary annular structures, as is for example known from document EP 2 041 753. In this embodiment, the axially stacked structures together define an external radial surface of the package, which is relatively easy to decontaminate and capable of meeting current decontamination requirements. A multitude of holes axially passes through each individual annular structure of the stack. The axial alignment of the holes passing through the different structures makes it possible to form a plurality of axial cavities, each extending over the entire length of the shell. These cavities are then filled with the radiation protection material, which then takes the form of a plurality of axial radiation protection strips circumferentially distributed in the shell. While this design achieves the purpose of easily decontaminating the external radial surface of the package, it however requires complicated assembly of the unitary annular structures. Indeed, these have to be perfectly angularly indexed in relation to each other in order to suitably reconstitute the axial cavities for housing the radiation protection strips. There are many other drawbacks resulting from this design, including a highly degraded heat conduction function within the shell. This is due to the fact that the axial strips partially overlap each other along the radial direction, in order to limit radiological leakage in this direction as much as possible. This overlap causes a noticeable complexification of the shape of the radial walls that define the axial cavities, thus creating poorly optimised radial heat conduction paths. Consequently, there is a need for optimising the design of existing packages in order to overcome the drawbacks described above. In order to meet this need, one object of the invention is a package for the transport and/or storage of radioactive materials, comprising the characteristics of claim 1. The invention thus proves advantageous in that it allows the preservation of an easy-to-decontaminate package external shell, made by the multiplicity of outer annular walls of the unitary structures, while improving heat conduction function by virtue of the radial heat conduction walls, which may show a more direct radial path. Furthermore, the inner annular wall in contact with the package side body enables heat exchanges between this side body and the unitary annular structure to be improved, by virtue of a large contact surface area. The integration of the inner annular wall into the unitary annular structure eliminates the need for a heat transfer plate to be fixedly assembled to the package side body between the same side body and the unitary annular structure. This inner annular wall, in addition to providing protection against gamma radiation, makes it easier to install and maintain radiation protection in the cavity by taking part in delimiting it. Further, the design provided greatly facilitates assembly of the external shell, as the formation of the cavities housing the radiation protection elements no longer requires an accurate angular indexing of the structures in relation to each other. Also, the radiation protection elements can advantageously be installed gradually, as the stacking of the unitary annular structures is carried out. Other advantages derive from the design peculiar to the invention, such as the improved radiation protection, which can now be annular, compared to the less efficient axial strips of prior art. It also becomes possible to locally adapt radiological performance of the material to the specific protection needs associated with the axial position of the material. Indeed, not all annular cavities that follow one another axially can be filled with the same radiation protection material. In this respect, in the centre of the package, for example, a material with a higher radiation protection capacity than another material used to fill the annular cavities located in the proximity of the axial ends of the package will be used. This results in a significant economic gain, while offering at the same time satisfactory radiation protection. This specificity proves to be all the more interesting as it is obtained without modifying thickness of the radiation protection elements, nor that of the annular cavities which receive them. Among other advantages provided by the invention, mention is also made of the improved quality control of the radiation protection, particularly when the protection is cast in situ. Indeed, it is possible to have visual access to the radiation protection installed in its cavity associated therewith, before it is closed by installing the directly consecutive structure in the stack. This visual access can advantageously take place over the entire perimeter of the radiation protection. Thus, in the event of non-compliance, the protection can be upgraded or reinstalled before closing the cavity in which it is housed. In addition, the invention has at least one of the following optional characteristics, taken alone or in combination. Each unitary annular structure is as a single piece, which makes it possible to limit manufacturing costs while maintaining the desired functionalities for this unitary annular structure. Said radiation protection element is a neutron protection element, and each unitary annular structure meets the following formula:0.02<n.E1/H<0.3with: n″ corresponding to the total number of unitary annular structures stacked; E1″ corresponding to the thickness of the radial heat conduction wall; and H″ corresponds to the height of the external shell. Indeed, surprisingly, it has been determined that the higher the n.E1/H ratio, the lower the maximum temperature observed in the neutron protection elements. This ratio is thus higher than 0.02, while remaining below 0.3 in order to keep sufficient neutron protection. The interval chosen for the n.E1/H ratio makes it possible to meet the thermal criterion, as well as the neutron protection criterion as a whole within the package, very satisfactorily. Preferably, the package also meets the following formula:n/H>2with “H” expressed in metres. With this dimensioning, the thickness E1 of the radial heat conduction walls is limited, so that locally observed neutron leakage at these walls becomes advantageously reduced. Also with the aim of locally reducing neutron leakage, in particular the neutron dose-equivalent rate at 2 metres from the external surface of the package external shell, each unitary annular structure preferably meets the following formula:L/E1<10with “L” corresponding to the radial spacing between the inner and outer annular walls. Surprisingly, it has been indeed determined that the thickness E1 of the radial heat conduction wall was a determining factor for the neutron dose-equivalent rate at 2 metres, by the way than the spacing L for which a threshold effect has also been detected, beyond which the increase in this spacing L no longer really affects the neutron dose-equivalent rate at 2 metres. Preferably, each unitary annular structure has a generally U-shaped half transverse cross-section, with the U-base formed by the radial heat conduction wall, and the two U-branches formed respectively by the outer and inner annular walls, the interior of the U forming the annular cavity housing said at least one radiation protection element. Preferably, for each U-shaped unitary annular structure, the two free ends of both outer and inner annular walls lie in the same transverse plane of the package. Other shapes are of course possible, such as an H-shape, which is also particularly easy to obtain, while offering high thermal conduction performance. The radial heat conduction wall of each unitary annular structure has, in a half transverse cross-section, the shape of a straight line segment, preferably oriented orthogonally to the central longitudinal axis. This specificity allows for an efficient heat transfer function, as the radial wall then forms a direct heat conduction path. Alternatively, the straight line segment could be tilted differently to the longitudinal centre line. The heat conduction path would then be less direct, but the radiation protection would be more effective. According to another embodiment, the radial heat conduction wall of each unitary annular structure has, in a half transverse cross-section, at least one axial level change between a wall radially outer portion and a wall radially inner portion. Again, this provides better radiation protection, as no radial leakage occurs via the radial heat conduction walls. In each annular cavity, the radiation protection element(s) forms a protective ring extending over 360°. This ring extends continuously or discontinuously, and in the latter case obtained with several protective elements arranged end to end, circumferential overlapping areas are preferably provided at the junction between these elements. In each annular cavity, each radiation protection element is an element cast in the cavity, or a prefabricated element arranged in the cavity. At least several, and preferably all, of said unitary annular structures are identical. This allows for greater ease of manufacture. On the other hand, for at least some of them, the annular structures may have different geometries to adapt the volume of the annular cavities and the radiation protection housed therein to the local need for radiation protection. Each unitary annular structure has a half transverse cross-section with a constant shape, still for ease of manufacture. In any half transverse cross-section of each unitary annular structure, the radial heat conduction wall has the same thickness. This enables a uniform thermal performance to be provided in the radial direction. The number of unitary annular structures is between 10 and 50, and the height of the external radiation protection shell formed by the stacking of these structures is between 1 and 4 m. Another object of the invention is a method for manufacturing such a package for the transport and/or storage of radioactive materials; comprising the repetition of the following successive steps of: installing one of the unitary annular structures in the stack around the side body; installing each radiation protection element in the annular cavity partly defined by the unitary annular structure installed in the preceding step. Thus, when the unitary annular structures have to be heated before being installed in the stack, it may be advantageous to wait for the annular structure assembled around the side body to cool down, before installing each radiation protection element. These radiation protection elements are then not exposed to any risk of thermal degradation. Another object of the invention is a method for manufacturing such a package for the transport and/or storage of radioactive materials, comprising the repetition of the following successive steps of: installing each radiation protection element in the annular cavity partly defined by one of the unitary annular structures; installing, in the stack around the side body, the unitary annular structure mentioned in the preceding step, equipped with each radiation protection element. This implementation provides very easy assembly for the package components, by virtue in particular of the sequencing of steps and the possibility of manufacturing the radiation protection means separately from the package side body, or even at a different manufacturing site. It also allows easy verification of the compliance of the radiation protection elements, before installing the associated annular structure around the package side body. In the event of failure of one of the radiation protection elements, it can be upgraded or replaced, still before the associated installing annular structure around the side package body. Further advantages and characteristics of the invention will appear in the detailed non-limiting description below. Firstly, with reference to FIGS. 1 and 2, a package 1 for the storage and/or transport of radioactive materials, such as nuclear fuel assemblies or radioactive waste (not represented) is represented. This package 1 is shown in a vertical storage position, in which its longitudinal central axis 2 is vertically oriented. It rests on a package bottom 4, opposite to a removable lid 6 along the height direction 8, parallel to the longitudinal axis 2. Between the bottom 4 and the lid 6, package 1 has a side body 10 extending about the axis 2 and internally defining a housing 12 for radioactive materials. This housing may form a containment enclosure 12 for the radioactive material, for example, arranged in a storage basket also located in the containment enclosure. Alternatively, the containment enclosure is defined entirely by a canister, installed in the aforesaid housing 12. The latter is closed axially upwards by the lid 6 and downwards by the bottom 4. The side body 10 can be made in one piece, as shown in FIG. 1, or in several concentric ferrules. Around the side body 10, the package 1 includes an external radiation protection shell 14, peculiar to the present invention. The shell 14 is made using the axial stack of a plurality of unitary annular structures 16, for example provided in a number n between 10 and 50, over a cumulative height “H” of about 1 to 4 m. This height “H” of the external shell 14 substantially corresponds to that of the housing 12 along the direction 8. In this preferred embodiment, all the structures 16 stacked along the axis 2 are identical, each of which is integral with and in contact with an external radial surface 18 of the side body 10. At one of the ends of the stack, corresponding to the lower end in FIG. 1, the last structure 16 can nevertheless be coated with a closing plate 20. With reference now to FIGS. 3 to 5, the design of one of the unitary annular structures 16, shown in its position as assumed when it is on the package in a vertical position, with the lid facing upwards as in FIGS. 1 and 2, will be detailed. The structure 16 is preferably made in one piece. In other words, the annular structure 16 is made as a single piece, for example by forging and machining, or even by casting, preferably by iron casting. These techniques make it possible to limit production costs. The structure 16 has a generally U-shaped half transverse cross-section with its base oriented upwards. A reverse orientation with the base pointing downwards would of course be contemplatable, without departing from the scope of the invention. This half transverse cross-section retains a constant shape regardless of the cross-sectional plane along the circumferential direction of this structure 16. The U-base forms a radial heat conduction wall 22. It assumes the shape of a straight line segment which is preferably orthogonal to the axis 2, for a more direct conduction path to the outside of the package. This wall 22 has the same thickness “E1” in any half transverse cross-section. This thickness “E1” is for example between 5 and 40 mm, preferentially between 15 and 25 mm. As will be described subsequently, its thickness is correlated with the number of structures 16, in particular with the aim that all the radial walls together can discharge a determined quantity of heat released by the radioactive materials. The internal end of the radial heat conduction wall 22 is to be in contact and integral with the external radial surface 18 of the side body 10. At its opposite end, i.e. the external end, the radial wall 22 is integral with an outer annular wall 24. In a half transverse cross-section, this wall 24 takes the form of a straight line segment parallel to axis 2, projecting downwards from the external end of the radial wall 22. By way of indicating purposes, it is noted that the thickness “E2” of the wall 24 is essentially dependent on its capacity to absorb gamma radiations generated by neutrons, when the latter are absorbed within the radiation protection, if the latter is a neutron protection as will be described hereafter. The thickness “E2” may be between 5 and 40 mm, and preferably between 15 and 25 mm. Finally, at its internal end, the radial wall 22 is integral with an inner annular wall 26 forming a second U-branch. The inner annular wall 26 is also in contact with and integral with the external radial surface 18 of the side body 10. The contact is preferably a surface contact over the entire inner surface of the annular internal wall 26. The securement is made, for example, by shrink-fitting, as will be described hereafter. Alternatively, the contact can be simply a sliding contact between the inner annular wall 26 and the internal end of the radial heat conduction wall 22 which extends axially therefrom, on the one hand, and the external radial surface 18 of the side body 10, on the other hand. In the half transverse cross-section, the wall 26 also takes the form of a straight segment parallel to the axis 2, which projects downwards from the internal end of the radial wall 22. As an indication, it is noted that the thickness “E3” of the wall 26 is dictated in particular by its ability to limit gamma radiations. The greater its thickness, the more the thickness of the side body 10 can be reduced. The manufacturing costs of the assembly formed by the side body 10 and the external shell 14 can then be reduced, since the cost of the inner parts of the annular structures 16, which are preferably made of cast iron, is lower than that of the body 10, which is preferably made of forged steel. By virtue of the design of these unitary annular structures 16, when stacked around the side body 10, they form annular cavities housing radiation protection elements. More precisely, again with reference to FIG. 1, each annular cavity 30 is delimited by two directly consecutive structures 16 in the stack. In the preferred embodiment described, the cavity 30 is radially closed outwards by the outer annular wall 24 of one of both directly consecutive annular structures 16 and radially closed inwards by the inner annular wall 26 of the same annular structure 16. In addition, the annular cavity 30 is axially closed upwards by the radial wall 22 of this same structure 16, and axially closed downwards by the radial wall 22 of the directly consecutive annular structure 16 in the stack, which seals the opening between the two U-branches of the first structure 16. Once the annular structures are stacked, the outer annular walls 24 are adjacent along the direction 8, and form together an external radial surface of the package which is substantially continuous and easy to decontaminate. The annular cavities 30 thus follow one another along the axis 2, by being filled completely or almost completely with a radiation protection material. As previously discussed, this may be a material for protection against gamma radiation, and/or a neutron absorption material aiming at meeting regulatory radiological criteria about the package when it is loaded with radioactive materials. Preferably, it is a neutron absorption material, comprising neutron absorbing elements on the one hand and hydrogen elements on the other hand. For information only, it is reminded that by “neutron-absorbing elements”, it is intended elements with an effective cross-section greater than 100 barns for thermal neutrons. As indicative examples, these are elements of the boron, gadolinium, hafnium, cadmium, indium type, etc. It is also reminded that hydrogen (light atom) is to slow down neutrons so that they can then be absorbed by neutron-absorbing elements. Thus, the temperature criterion has to be fulfilled essentially to avoid a substantial loss of hydrogen, which could be detrimental to neutron shielding functions, throughout the package's service life. For dimensioning the various package components, it is first of all ensured that each structure 16 meets the following formula:0.02<n.E1/H<0.3 By remaining below 0.3, this ratio allows sufficient neutron protection to be maintained in the cavities 30. Moreover, by being higher than 0.02, this ratio surprisingly makes it possible to maintain the neutron protection material at a reasonable maximum temperature, limiting accelerated ageing risks. This ratio thus offers a very satisfactory compromise in terms of thermal conduction and neutron protection as a whole. It is also noted that in the formula n.E1/H, as well as in the formula L/E1<10 described hereafter and also including the thickness E1, the latter corresponds to the average thickness when it is not constant along the radial heat conduction wall 22. To improve the neutron protection criterion, locally at the radial heat conduction walls 22, the package is such that it meets the following formula: n/H>2, “H” being here expressed in metres. With this dimensioning, the thickness E1 of the radial walls 22 is limited and locally observed neutron leakage is thereby reduced. Still with the aim of locally reducing neutron leakage, in particular the neutron dose-equivalent rate at 2 metres from the external surface of the shell 14, each structure 16 preferably meets the following formula:L/E1<10with “L” corresponding to the radial spacing between the inner and outer annular walls 26, 24. It is additionally set out that this distance L preferably also substantially corresponds to the radial length of the neutron protection. More generally, it is stated that the annular cavity is filled entirely or largely by the neutron protection, preferably over at least 90% of its total volume. This geometrical condition limits thickness E1 of the radial wall 22, which is a determining factor for the neutron dose-equivalent rate at 2 metres. A dimensioning of the annular structure 16 with such a ratio greater than or equal to 10 would result in a high radial length of the shell 14 to fulfil the neutron dose-equivalent rate criterion at 2 metres, and therefore a substantial overall mass of the package. This is at least partly explained by the fact that from a given radial length of the neutron protection, a threshold effect occurs and the increase in this length has only little effect on the neutron dose-equivalent rate at 2 metres. In each cavity 30, the radiation protection material is, for example, in the form of one or more cast elements, preferably a single continuous ring cast over 360° in the cavity 30. Alternatively, it may be in the form of one or more prefabricated elements arranged in the cavity 30. In the latter case depicted in FIG. 1a, a neutron protection ring 34 is discontinuously formed by means of several protection elements 32 arranged end-to-end. In order to limit radiological leakage along the radial direction, these latter elements 32 preferably have circumferential overlapping areas 36 at their circumferential ends ensuring junction between these individual elements. FIG. 6 represents a first method for manufacturing the package 1, as for the steps relating to assembling the external radiation protection shell 14 around the side body 10. This method consists in repeating two successive steps. The first of these two steps consists in installing one of the unitary annular structures 16 in the stack around the side body 10, even though its annular cavity 30 is not yet filled with the radiation protection element(s). This step is depicted by arrow 36 in FIG. 6. In order to carry out this insertion, the structure 16 can be heated beforehand, for example to a temperature in the order of 200° C. It is brought into contact with the rest of the stack so as to close the cavity 30 of the structure 16, which has been previously installed in the stack, and which is filled with the radiation protection material. Once the structure has cooled down, e.g. to a temperature below 160° C., it adheres by shrink-fitting to the external radial wall 18 of the side body, via the internal end of the radial wall 22 and via the inner annular wall 26. The radiation protection material can then be installed in the annular cavity 30 of the structure 16 cooled without any risk of thermal degradation of this material. In this respect, it is noted that these steps are carried out with the package 1 in a vertical position, but with its bottom oriented upwards so that each cavity 30 to be filled is open upwardly. The material is installed by casting or by arranging prefabricated elements in the cavity 30, then the radiation protection thus obtained is inspected before repeating these same two first and second steps. FIG. 7 represents a second method for manufacturing the package 1, as for the steps relating to assembling the external radiation protection shell 14 around the side body 10. This method consists in repeating two successive steps. The first of these two steps consists here in installing each radiation protection element in the annular cavity 30 partly defined by one of the unitary annular structures 16, not yet installed in the stack. This step can advantageously be carried out on a different site from the one on which the stacking of the annular unit structures 16 is carried out. The quality of the radiation protection elements can be inspected before installing the structure 16 around the body 10, this operation corresponding to the second step. This insertion of the structure 16, equipped with its radiation protection, can also be carried out by heating, as described previously. In the embodiment just described, each unitary annular structure 16 has a generally U-shaped half transverse cross-section, with the U-base formed by the radial wall 22, and the two U-branches formed respectively by the outer annular wall 24 and the inner annular wall 26. In addition, the two free ends of the two annular walls 24, 26 lie in the same transverse plane of the package. Nevertheless, the free ends of the two annular walls 24, 26 may be offset axially from each other without departing from the scope of the invention. Keeping the free ends of the two annular walls 24, 26 in the same transverse plane makes it easier to cast the neutron protection into the annular cavity 30. With reference now to FIG. 8, alternative embodiments are shown in which the half transverse cross-section of the structures is different. In FIG. 8, this is an H whose central bar 22 is substantially orthogonal to the axis 2, to form the radial heat conduction wall. The two lateral H-branches are thus oriented along the direction 8, and they take part in delimitating two directly consecutive annular cavities 30, arranged on either side of the central bar 22 of this H. In other words, each cavity 30 is radially delimited outwards by part of the outer wall 24 (the external lateral H-branch) of one of the structures 16, and by part of the outer wall 24 of the structure 16 directly consecutive in the stack. Each annular cavity 30 is also radially delimited inwards by part of the inner wall 26 (the internal lateral H-branch) of one of the structures 16, and by part of the inner wall 26 of the structure 16 directly adjacent in the stack. Here too, the two lateral branches could be axially offset from each other, without departing from the scope of the invention. FIG. 9 represents another alternative embodiment previously discussed, in which the unitary structure 16 with a generally u-shaped half transverse cross-section no longer has a base 22 substantially orthogonal to the axis 2, but this base 22 is tilted with respect to the same axis 2 by an angle “A” other than 90°. This angle can preferably be between 20 and 70°. The base 22 forming the radial heat conduction wall can be a tilted straight line segment, connecting the ends of the two annular walls 24, 26. Alternatively, as shown in FIG. 9, only a central part of this base 22 of the can be a straight segment, or even a curved portion, and the two connecting ends 40 can be rounded. Finally, FIGS. 10 and 11 represent another alternative embodiment, in which the radial heat conduction wall 22 of each unitary annular structure 16 has a different shape. It is no longer straight and radial as in the previous embodiments, but comprises, in a half transverse cross-section, at least one axial level change 22c between a wall radially outer portion 22a and a wall radially inner portion 22b. This embodiment, like the previous one, enables improvement of the radiation protection, as no radial leakage occurs via the radial heat conduction walls 22. The axial level change 22c takes the form of a riser oriented parallel to axis 2, and substantially centered between both portions 22a, 22b. The axial offset observed between these two portions 22a, 22b is found between the annular portions 24, 26, so that when stacking structures 16, the two-level radial wall 22 suitably seals the opening defined between the offset annular portions 24, 26 of structure 16 previously installed in the stack. Of course, various modifications may be made by the person skilled in the art to the invention just described, only by way of non-limiting examples and according to the scope defined by the appended claims. In particular, the various alternatives may be combined with each other.
description
The present application claims priority from Japanese Patent Application No. 2012-029276 filed Feb. 14, 2012. 1. Technical Field The present disclosure relates to target supply devices, for example, as used in EUV light generation devices. 2. Related Art In recent years, semiconductor production processes have become capable of producing semiconductor devices with increasingly fine feature sizes, as photolithography has been making rapid progress toward finer fabrication. In the next generation of semiconductor production processes, microfabrication with feature sizes at 60 nm to 45 nm, and further, microfabrication with feature sizes of 32 nm or less will be required. In order to meet the demand for microfabrication with feature sizes of 32 nm or less, for example, an exposure apparatus is needed which combines a system for generating EUV light at a wavelength of approximately 13 nm with a reduced projection reflective optical system. Three kinds of systems for generating EUV light are known in general, which include a Laser Produced Plasma (LPP) type system in which plasma is generated by irradiating a target material with a laser beam, a Discharge Produced Plasma (DPP) type system in which plasma is generated by electric discharge, and a Synchrotron Radiation (SR) type system in which orbital radiation is used to generate plasma. A target supply device according to one aspect of the present disclosure may include a target supply device body including a nozzle having a through-hole through which a target material is discharged, a piezoelectric member having a first surface and a second surface and connected to the target supply device body at the first surface, the piezoelectric member being configured such that a distance between the first surface and the second surface changes in accordance with an externally supplied electric signal, an elastic member having a first end and a second end and connected to the second surface of the piezoelectric member at the first end, the elastic member being configured such that a distance between the first end and the second end extends or contracts in accordance with an externally applied force, and a regulating member configured to regulate a distance between the second end of the elastic member and the target supply device body. A target supply device according to another aspect of the present disclosure may include a target supply device body including a nozzle having a through-hole through which a target material is discharged, an elastic member having a first end and a second end and connected to the target supply device body at the first end, the elastic member being configured such that a distance between the first end and the second end extends or contracts in according with an externally applied force, a piezoelectric member having a first surface and a second surface and connected to the second end of the elastic member at the first surface, the piezoelectric member being configured such that a distance between the first surface and the second surface changes in accordance with an externally supplied electric signal, and a regulating member configured to regulate a distance between the second surface of the piezoelectric member and the target supply device body. Hereinafter, selected examples of the present disclosure will be described in detail with reference to the accompanying drawings. The examples to be described below are merely illustrative in nature and do not limit the scope of the present disclosure. Further, the configuration(s) and operation(s) described in each example are not all essential in implementing the present disclosure. Note that like elements are referenced by like reference numerals and characters, and duplicate descriptions thereof will be omitted herein. Contents 1. Overview 2. Overview of EUV Light Generation System 2.1 Configuration 2.2 Operation 3. Target Supply Device Including Vibration Device 3.1 Configuration 3.2 Operation 4. Examples of Vibration Device 4.1 First Example 4.2 Second Example 4.3 Third Example 4.4 Fourth Example 5. Mounting Location of Vibration Device 5.1 First Example 5.2 Second Example 5.3 Third Example In an LPP-type EUV light generation apparatus, a target may be outputted from a target supply device toward a plasma generation region inside a chamber, and this target may be irradiated with a pulse laser beam in the plasma generation region. Then, the target may be turned into plasma, and EUV light may be emitted from the plasma. To output a target from a target supply device, a nozzle of the target supply device may be pressurized by a piezoelectric member to vibrate. In order to provide sufficient vibration to the target supply device, a pressure may be applied in advance to the piezoelectric member. However, when a pressure applied to the piezoelectric member changes, the trajectory or the speed of a target outputted from the target supply device may change. Further, a pressure to be applied in advance to the piezoelectric member may vary for each target supply device, and in turn the trajectory or the speed of a target outputted from the target supply device may vary for each target supply device. According to one or more examples of the present disclosure, a first end of an elastic member may be connected to a piezoelectric member to be connected to a target supply device body, and a distance between a second end of the elastic member and the target supply device body may be controlled. Accordingly, a variation in a pressure applied in advance to the piezoelectric member may be suppressed. FIG. 1 schematically illustrates a configuration of an exemplary LPP-type EUV light generation system. An EUV light generation apparatus 1 may be used with at least one laser apparatus 3. Hereinafter, a system that includes the EUV light generation apparatus 1 and the laser apparatus 3 will be referred to as an EUV light generation system 11. As shown in FIG. 1 and described in detail below, the EUV light generation system 11 may include a chamber 2 and a target supply device 26. The chamber 2 may be sealed airtight. The target supply device 26 may be mounted onto the chamber 2, for example, to penetrate a wall of the chamber 2. A target material to be supplied by the target supply device 26 may include, but is not limited to, tin, terbium, gadolinium, lithium, xenon, or any combination thereof. The chamber 2 may have at least one through-hole or opening formed in its wall, and a pulse laser beam 32 may travel through the through-hole or opening into the chamber 2. Alternatively, the chamber 2 may have a window 21, through which the pulse laser beam 32 may travel into the chamber 2. An EUV collector mirror 23 having a spheroidal surface may be provided in the chamber 2. The EUV collector mirror 23 may have a multi-layered reflective film formed on the spheroidal surface thereof. The reflective film may include a molybdenum layer and a silicon layer, which are alternately laminated. The EUV collector mirror 23 may have a first focus and a second focus, and may be positioned such that the first focus lies in a plasma generation region 25 and the second focus lies in an intermediate focus (IF) region 292 defined by the specification of an external apparatus such as an exposure apparatus 6. The EUV collector mirror 23 may have a through-hole 24 formed at the center thereof, and a pulse laser beam 33 may travel through the through-hole 24 toward the plasma generation region 25. The EUV light generation system 11 may further include an EUV light generation controller 5 and a target sensor 4. The target sensor 4 may have an imaging function and detect at least one of the presence, the trajectory, the position, and the speed of a target 27. Further, the EUV light generation system 11 may include a connection part 29 for allowing the interior of the chamber 2 to be in communication with the interior of the exposure apparatus 6. A wall 291 having an aperture may be provided in the connection part 29, and the wall 291 may be positioned such that the second focus of the EUV collector mirror 23 lies in the aperture formed in the wall 291. The EUV light generation system 11 may also include a laser beam direction control unit 34, a laser beam focusing mirror 22, and a target collector 28 for collecting targets 27. The laser beam direction control unit 34 may include an optical element (not separately shown) for defining the direction into which the pulse laser beam 32 travels and an actuator (not separately shown) for adjusting the position and the orientation or posture of the optical element. With continued reference to FIG. 1, a pulse laser beam 31 outputted from the laser apparatus 3 may pass through the laser beam direction control unit 34 and be outputted therefrom as a pulse laser beam 32 after having its direction optionally adjusted. The pulse laser beam 32 may travel through the window 21 and enter the chamber 2. The pulse laser beam 32 may travel inside the chamber 2 along at least one beam path from the laser apparatus 3, be reflected by the laser beam focusing mirror 22, and strike at least one target 27 as a pulse laser beam 33. The target supply device 26 may be configured to output the target(s) 27 toward the plasma generation region 25 in the chamber 2. The target 27 may be irradiated with at least one pulse of the pulse laser beam 33. Upon being irradiated with the pulse laser beam 33, the target 27 may be turned into plasma, and rays of light 251 including EUV light may be emitted from the plasma. At least the EUV light included in the light 251 may be reflected selectively by the EUV collector mirror 23. EUV light 252, which is the light reflected by the EUV collector mirror 23, may travel through the intermediate focus region 292 and be outputted to the exposure apparatus 6. Here, the target 27 may be irradiated with multiple pulses included in the pulse laser beam 33. The EUV light generation controller 5 may be configured to integrally control the EUV light generation system 11. The EUV light generation controller 5 may be configured to process image data of the target 27 captured by the target sensor 4. Further, the EUV light generation controller 5 may be configured to control at least one of the timing at which the target 27 is outputted and the direction into which the target 27 is outputted. Furthermore, the EUV light generation controller 5 may be configured to control at least one of the timing at which the laser apparatus 3 oscillates, the direction in which the pulse laser beam 31 travels, and the position at which the pulse laser beam 33 is focused. It will be appreciated that the various controls mentioned above are merely examples, and other controls may be added as necessary. FIG. 2 is a partial sectional view illustrating an exemplary configuration of an EUV light generation apparatus including a target supply device according to one implementation of the present disclosure. FIG. 3 is a sectional view illustrating a target supply device shown in FIG. 2 and peripheral components thereof. As shown in FIG. 2, a laser beam focusing optical system 22a, the EUV collector mirror 23, the target collector 28, an EUV collector mirror mount 41, plates 42 and 43, a beam dump 44, a beam dump support member 45 may be provided inside the chamber 2. The plate 42 may be attached to the chamber 2, and the plate 43 may be attached to the plate 42. The EUV collector mirror 23 may be attached to the plate 42 through the EUV collector mirror mount 41. The laser beam focusing optical system 22a may include an off-axis paraboloidal mirror 221, a flat mirror 222, and holders 223 and 224 for the respective mirrors 221 and 222. The off-axis paraboloidal mirror 221 and the flat mirror 222 may be mounted to the plate 43 through the respective mirror holders 223 and 224 such that a pulse laser beam reflected sequentially by the mirrors 221 and 222 is focused in the plasma generation region 25. The beam dump 44 may be fixed to the chamber 2 through the beam dump support member 45 to be positioned in an extension of a beam path of a pulse laser beam reflected by the flat mirror 222. The target collector 28 may be provided in an extension of a designed trajectory of a target 27. The target supply device 26 may be mounted to the chamber 2. As shown in FIG. 3, the target supply device 26 may include a reservoir 61, a target controller 52, a pressure adjuster 53, an inert gas cylinder 54, a temperature controller 55, heater power supplies 56a through 56c, a PZT power supply 58, and a vibration device 59. The reservoir 61, which corresponds to a target supply device body, may be configured to store a target material in a molten state. The reservoir 61 may have a through-hole 61c through which the target material may be discharged. The reservoir 61 may include a first portion 61a and a second portion 61b. The first portion 61a may be larger in diameter than the second portion 61b. A heater 57a and a temperature sensor 57d may be provided on the first portion 61a to heat the target material and to monitor the temperature of the target material. A heater 57b, a temperature sensor 57e, a heater 57c, and a temperature sensor 57f may be provided on the second portion 61b. The heater 57b and the temperature sensor 57e may be provided toward the first portion 61a, and the heater 57c and the temperature sensor 57f may be provided toward the through-hole 61c. A through-hole 2a may be formed in the wall of the chamber 2. The diameter of the through-hole 2a may be smaller than the outer diameter of the first portion 61a and larger than the outer diameter of the second portion 61b. The reservoir 61 may be fixed to the wall of the chamber 2 in a state where the second portion 61b is inserted into the through-hole 2a from the exterior of the chamber 2. Thus, the first portion 61a may be located outside the chamber 2, and the second portion 61b may be located inside the chamber 2. The target controller 52 may be configured to output control signals to the pressure adjuster 53, the temperature controller 55, and the PZT power supply 58, respectively. The inert gas cylinder 54 may be connected to the pressure adjuster 53 through a pipe, and the pressure adjuster 53 may be in communication with the interior of the reservoir 61 through another pipe. The temperature controller 55 may be connected to each of the heater power supplies 56a through 56c through a signal line. The heater power supplies 56a through 56c may be connected to the heaters 57a through 57c through respective wires. Each of the temperature sensors 57d through 57f may be connected to the temperature controller 55 through a signal line. Wires for connecting the heater power supplies 56b and 56c to the respective heaters 57b and 57c and wires for connecting the temperature sensors 57e and 57f to the temperature controller 55 may pass through the wall of the chamber 2 through a feedthrough 91. The vibration device 59 may include a piezoelectric member 60. The piezoelectric member 60 may include a piezoelectric material such as lead zirconate titanate (PZT). The PZT power supply 58 may be connected to the piezoelectric member 60 through a wire, and the wire may pass through the wall of the chamber 2 through a feedthrough 92. Referring back to FIG. 2, a beam steering unit 34a and the EUV light generation controller 5 may be provided outside the chamber 2. The beam steering unit 34a may include high-reflection mirrors 341 and 342 and holders 343 and 344 for the respective mirrors 341 and 342. The temperature controller 55 may control currents to be passed through the heaters 57a through 57c by the respective heater power supplies 56a through 56c in accordance with a control signal from the target controller 52. As the heaters 57a through 57c are supplied with current to emit heat, the target material stored in the reservoir 61 may be heated to a temperature equal to or higher than its melting point. When tin is used as a target material, its melting point is 232° C. Here, the vicinity of the through-hole 61c may be brought to a temperature higher than that of the rest of the reservoir 61 so that generation of a deposit around the through-hole 61c is suppressed. For example, temperatures Td, Te, and Tf detected by the respective temperature sensors 57d, 57e, and 57f may be controlled to satisfy a relationship of Tf>Te>Td≧Tm, where Tm is the melting point of a target material. The pressure adjuster 53 may be configured to adjust a pressure of the inert gas supplied from the inert gas cylinder 54 in accordance with a control signal from the target controller 52. The inert gas introduced into the reservoir 61 may pressurize the molten target material inside the reservoir 61. As the molten target material is pressurized by the inert gas, a jet of the target material may be discharged through the through-hole 61c formed at the leading end of the second portion 61b. The PZT power supply 58 may be configured to apply an AC voltage to the piezoelectric member 60 to cause the piezoelectric member 60 to deform cyclically in accordance with a control signal from the target controller 52. Thus, the piezoelectric member 60 may apply vibration to the reservoir 61. The vibration applied to the reservoir 61 may be propagated to at least the vicinity of the through-hole 61c. Then, the jet of the target material may be divided into a plurality of droplets to serve as targets 27. According to the Rayleigh-Taylor instability theory, when a jet of a target material having a diameter d and flowing at a speed v is disturbed by a vibration at a frequency f, if the frequency f satisfies a predetermined condition, a group of droplets of a substantially equal size is produced at the frequency f. The frequency f at this time is called a Rayleigh frequency. For example, when the diameter of the through-hole 61c in the reservoir 61 is 6 μm and the pressure of the inert gas is adjusted to 12.5 MPa by the pressure adjuster 53, the piezoelectric member 60 of the vibration device 59 may apply a vibration to the reservoir 61 at a frequency in a range from 1.25 MHz to 3.3 MHz. Alternatively, when the diameter of the through-hole 61c is 15 μm and the adjusted pressure of the inert gas is 1 MPa, the piezoelectric member 60 may apply a vibration to the reservoir 61 at a frequency in a range from 14 kHz to 420 kHz. A target 27 outputted into the chamber 2 as described above may be supplied to the plasma generation region 25 inside the chamber 2. A pulse laser beam from the laser apparatus 3 may be reflected by the high-reflection mirrors 341 and 342, and may enter the laser beam focusing optical system 22a through the window 21. The pulse laser beam that has entered the laser beam focusing optical system 22a may be reflected sequentially by the off-axis paraboloidal mirror 221 and the flat mirror 222 to be focused on the target 27 in the plasma generation region 25. FIG. 4A is a front view illustrating a first example of a vibration device. FIG. 4B is a sectional view of the vibration device shown in FIG. 4A, taken along IVB-IVB plane. A vibration device 59 may include a piezoelectric member 60, a fixing member 62, an intermediate member 63, a plunger screw 64, and a holding unit 65. The fixing member 62 may include bolts 62a and 62b that are screwed and fixed into the reservoir 61 at respective leading ends thereof. The intermediate member 63 may include a plate portion 63c and a protrusion 63d protruding from a first surface of the plate portion 63c. Through-holes 63a and 63b may be formed in the plate portion 63c, and the bolts 62a and 62b are inserted respectively into the through-holes 63a and 63b. There may be spaces between the surfaces of the bolts 62a and 62b and the inner wall of the respective through-holes 63a and 63b. The protrusion 63d may be in contact with the reservoir 61. The piezoelectric member 60 may be provided on a second surface of the plate portion 63c. The piezoelectric member 60 may be sandwiched and fixed between a holding member 66 and the intermediate member 63. That is, the piezoelectric member 60 may be connected to the intermediate member 63 at a first surface thereof and to the holding member 66 at a second surface thereof. The piezoelectric member 60 may be configured such that the distance between the first and second surfaces thereof changes in accordance with a voltage from the PZT power supply 58 (see FIG. 3). The holding unit 65 may include leg portions 65a and 65b and a holding plate 65g integrally formed with the leg portions 65a and 65b. Through-holes 65c and 65d may be formed in the leg portions 65a and 65b, respectively, into which the respective bolts 62a and 62b may be inserted. There may be spaces between the surfaces of the bolts 62a and 62b and the inner wall of the through-holes 65c and 65d, respectively. The holding unit 65 and the intermediate member 63 may be sandwiched and fixed between bolt heads 62c and 62d of the bolts 62a and 62b and the reservoir 61. An internally threaded through-hole 65e may be formed in the holding plate 65g, and the plunger screw 64 may be screwed into the internally threaded through-hole 65e. The plunger screw 64 may include an exterior part 64a serving as a regulating member, a spring 64b, and a pin 64c. An external thread may be formed around the exterior part 64a, and the exterior part 64a may be screwed into the through-hole 65e in the holding plate 65g. A bolt head 64e may be formed at a first end of the exterior part 64a. A cylindrical hollow space may be formed inside the exterior part 64a, and this hollow space may open at a second end of the exterior part 64a. The spring 64b may be housed in the hollow space inside the exterior part 64a. The spring 64b may have a first end positioned toward the second end of the exterior part 64a and a second end positioned toward the bolt head 64e. The first end of the spring 64b may be connected the pin 64c that in turn is connected to the holding member 66. A part of the pin 64c may be inserted into the hollow space inside the exterior part 64a and the remaining part thereof may be exposed through the opening formed therein to be in contact with the holding member 66. The pin 64c may be movable along an axial direction of the exterior part 64a. As the pin 64c moves, the distance between the first and second ends of the spring 64b may change. The direction in which the spring 64b extends or contracts, the direction in which the pin 64c moves, and the direction in which the piezoelectric member 60 deforms may substantially coincide with one another. By adjusting an amount in which the exterior part 64a is screwed into the holding unit 65, the distance between the second end of the spring 64b and the reservoir 61 may be controlled. Then, the length of the spring 64b may be adjusted, and compressive stress of the spring 64b may be adjusted. Therefore, a pressure applied to the piezoelectric member 60 by the spring 64b through the pin 64c and the holding member 66 may be adjusted. In this way, a variation in the pressure applied to the piezoelectric member 60 may be suppressed, and a variation in the trajectory or the speed of a target outputted from the target supply device may be suppressed. A resonance frequency of the spring 64b may differ from a vibration frequency of the piezoelectric member 60 determined by an AC voltage from the PZT power supply 58 (see FIG. 3). The resonance frequency of the spring 64b may be significantly lower than the vibration frequency of the piezoelectric member 60. Then, the vibration of the piezoelectric member 60 may be propagated to the reservoir 61. As stated above, the reservoir 61 may be heated to a temperature equal to or higher than the melting point of the target material. For example, the reservoir 61 may be heated to a temperature in a range from 232° C. to 370° C. However, when the piezoelectric member 60 is formed of PZT, the Curie point thereof is generally in a range from 150° C. to 350° C., and thus overheating of the piezoelectric member 60 should be prevented. Therefore, a cooling water flow channel 63e may be formed inside the intermediate member 63. The cooling water flow channel 63e may be connected to a cooling device 93 and a pump 94. A fluid such as water cooled in the cooling device 93 may be circulated by the pump 94, and thus the temperature of the intermediate member 63 and the piezoelectric member 60 may be adjusted to a temperature equal to or lower than the boiling point of the fluid. Further, in order to prevent the intermediate member 63 and the piezoelectric member 60 from being overheated by heat conducted from the reservoir 61, an area of contact between the intermediate member 63 and the reservoir 61 may be small. Accordingly, the protrusion 63d of the intermediate member 63 may have a small area at the leading end thereof which comes into contact with the reservoir 61. The area of contact between the intermediate member 63 and the reservoir 61 may be smaller than a sectional area of the piezoelectric member 60 along a plane parallel to its first and second surfaces. FIG. 5 is a sectional view illustrating a second example of a vibration device. In the second example, a vibration device 59 may include an adjusting bolt 64f serving as a regulating member and a spring 64g in place of the plunger screw 64 of the first example as shown in FIG. 4B. The bolt head 64e may be formed at a first end of the adjusting bolt 64f. A second end of the adjusting bolt 64f may be screwed into the holding plate 65g, and may be in contact with the holding member 66 through the holding plate 65g. A protrusion 64d may be formed at the second end of the adjusting bolt 64f, and the protrusion 64d may be fitted into a recess formed in the holding member 66. The spring 64g may be provided between the piezoelectric member 60 and the intermediate member 63 with a receiving member 66a being provided between the piezoelectric member 60 and the spring 64g. The receiving member 66a and the intermediate member 63 may include cylindrical hollow members 67a and 67b, respectively, each having an opening at a leading end thereof. The inner diameter of the cylindrical hollow member 67a may be slightly larger than the outer diameter of the cylindrical hollow member 67b, and the cylindrical hollow member 67b may be inserted into the cylindrical hollow member 67a. As an amount in which the adjusting bolt 64f is screwed into the holding member 65 is adjusted, the cylindrical hollow member 67b may move inside the cylindrical hollow member 67a at an amount substantially the same as the aforementioned adjustment amount, and thus the spring 64g may extend or contract. The direction in which the spring 64b extends or contracts and the direction in which the piezoelectric member 60 deforms may substantially coincide with each other. In the second example as well, by adjusting the amount in which the adjusting bolt 64f is screwed into the holding member 65, the distance between the second end of the piezoelectric member 60 and the reservoir 61 may be controlled, and the length of the spring 64g may be adjusted. Accordingly, a pressure applied to the piezoelectric member 60 by the spring 64g may be adjusted. FIG. 6 is a sectional view illustrating a third example of a vibration device. In the third example, a vibration device 59 may include a holding plate 65h, the adjusting bolt 64f, and springs 64j and 64k, in place of the plunger screw 64 and the holding unit 65 of the first example shown in FIG. 4B. The through-holes 65c and 65d may be formed in the holding plate 65h, and the bolts 62a and 62b serving as regulating members are inserted into the respective through-holes 65c and 65d with slight spaces therebetween. Thus, the holding plate 65h may be movable along the bolts 62a and 62b. The springs 64j and 64k may be provided between the holding plate 65h and the bolt heads 62c and 62d of the respective bolts 62a and 62b. The positions of first ends of the respective springs 64j and 64k may be regulated by the bolt heads 62c and 62d. The bolt head 64e may be formed at the first end of the adjusting bolt 64f. The second end of the adjusting bolt 64f may be screwed into the holding plate 65h, and may be in contact with the holding member 66 through the holding plate 65h. The direction in which the springs 64j and 64k extend or contract and the direction in which the piezoelectric member 60 deforms may substantially coincide with each other. In the third example as well, by adjusting an amount in which the adjusting bolt 64f is screwed into the holding plate 65h, the length of the springs 64j and 64k may be adjusted. Accordingly, a pressure applied to the piezoelectric member 60 by the springs 64j and 64k through the holding plate 65h, the adjusting bolt 64f, and the holding member 66 may be adjusted. FIG. 7A is a plan view illustrating a fourth example of a vibration device. FIG. 7B is a sectional view of the vibration device shown in FIG. 7A, taken along VIIB-VIIB plane. FIG. 7C is another sectional view of the vibration device shown in FIG. 7A, taken along VIIC-VIIC plane. In the fourth example, a vibration device 59 may include the adjusting bolt 64f serving as a regulating member and disc springs 64m and 64n in place of the plunger screw 64 of the first example (see FIG. 4B). The disc springs 64m and 64n may be stacked in series between a disc spring holder 64p and a disc spring receiver 64q. The bolt head 64e may be formed at the first end of the adjusting bolt 64f. The second end of the adjusting bolt 64f may be screwed into the holding plate 65g, and may be in contact with the disc spring holder 64p through the holding plate 65g. The piezoelectric member 60 may be provided between the disc spring receiver 64q and the intermediate member 63. The direction in which the disc springs 64m and 64n extend or contract and the direction in which the piezoelectric member 60 deforms may substantially coincide with each other. By using the disc springs 64m and 64n, the dimension of the vibration device 59 in the direction in which the disc springs 64m and 64n extend or contract may be adjusted. In the fourth example as well, by adjusting an amount in which the adjusting bolt 64f is screwed into the holding unit 65, the disc springs 64m and 64n may extend or contract. Thus, a pressure applied to the piezoelectric member 60 may be adjusted. An amount in which the adjusting bolt 64f is screwed into the holding unit 65 may be regulated with a washer 64h and a shim 64i provided between the bolt head 64e and the holding plate 65g. The protrusion 63d of the intermediate member 63 may be fitted into a recess formed in the reservoir 61. Accordingly, the position of the intermediate member 63 relative to the reservoir 61 may be stabilized. FIG. 8A is a bottom view illustrating a first example of a target supply device. FIG. 8B is a sectional view of the target supply device shown in FIG. 8A, taken along VIIIB-VIIIB plane. In the first example, a target supply device body may include a reservoir 61d and a nozzle member 61e having a fine through-hole 61c formed therein. The nozzle member 61e may be fixed to the lower end of the reservoir 61d through a nozzle fixing member 61f. The heater 57a may be provided on the outer surface of the reservoir 61d, a heater 57g may be provided on the outer surface of the nozzle fixing member 61f, and a heater 57h may be provided on the bottom surface of the nozzle fixing member 61f. An inert gas may be supplied into the reservoir 61d through a pipe 53a connected at the upper end of the reservoir 61d. Thus, a jet of a target material may be discharged through the through-hole 61c. The vibration device 59 may be fixed toward the upper end of the reservoir 61d. A plurality of vibration devices 59 may be arranged symmetrically about the axis of the reservoir 61d as shown in FIG. 8A. Alternatively, the vibration device 59 may be provided singly. A vibration applied to the vicinity of the upper end of the reservoir 61d by the vibration device 59 may be propagated to the nozzle member 61d through the rigid reservoir 61d. Accordingly, the jet of the target material may be divided into a plurality of droplets. FIG. 9A is a bottom view illustrating a second example of a target supply device. FIG. 9B is a sectional view of the target supply device shown in FIG. 9A, taken along IXB-IXB plane. In the second example, the vibration device 59 may be fixed on the outer surface of the nozzle fixing member 61f next to the heater 57g. A vibration applied to the nozzle fixing member 61f by the vibration device 59 may be propagated to the nozzle member 61e through the rigid nozzle fixing member 61f. Accordingly, the jet of the target material may be divided into a plurality of droplets. With the second example, since the propagation path of the vibration from the vibration device 59 to the nozzle member 61e is shorter than that in the first example, the vibration may be propagated to the nozzle member 61e with ease. FIG. 10A is a bottom view illustrating a third example of a target supply device. FIG. 10B is a sectional view of the target supply device shown in FIG. 10A, taken along XB-XB plane. In the third example, the vibration device 59 may be fixed on the bottom surface of the nozzle fixing member 61f next to the heater 57f. The vibration applied to the nozzle fixing member 61f by the vibration device 59 may be propagated to the nozzle member 61e through the rigid nozzle fixing member 61f. Accordingly, the jet of the target material may be divided into a plurality of droplets. The above-described examples and the modifications thereof are merely examples for implementing the present disclosure, and the present disclosure is not limited thereto. Making various modifications according to the specifications or the like is within the scope of the present disclosure, and other various examples are possible within the scope of the present disclosure. For example, the modifications illustrated for particular ones of the examples can be applied to other examples as well (including the other examples described herein). The terms used in this specification and the appended claims should be interpreted as “non-limiting.” For example, the terms “include” and “be included” should be interpreted as “including the stated elements but not limited to the stated elements.” The term “have” should be interpreted as “having the stated elements but not limited to the stated elements.” Further, the modifier “one (a/an)” should be interpreted as “at least one” or “one or more.”
abstract
A control rod drive mechanism for use in a nuclear reactor including a reactor core disposed in a pressure vessel, including a control rod configured for insertion into the reactor core, a lead screw, the control rod being secured to the bottom end of the lead screw, a drive mechanism including a torque tube having a top end and a bottom end, a pair of segment arms that are pivotably mounted to the torque tube, a pair of roller nuts, each roller nut being rotatably secured to the bottom end of a respective segment arm, and a drive motor including a stator and a rotor secured to the top end of the torque tube that includes a plurality of permanent magnets embedded therein, wherein the stator defines a central bore in which the rotor is disposed, and a latch coil assembly including a latch coil, wherein the latch coil assembly defines a central bore in which the top ends of the segment arms are disposed radially-inwardly of the latch coil.
summary
abstract
Welding repairs are performed in an underwater environment adjacent the bottom head of the nuclear reactor vessel. To provide a dry welding environment, segments of a lower caisson are passed through the core plate holes and assembled along the interior surface of the bottom head. The assembled segments are held down by brackets and sealed to one another and to the bottom head by a water curable polymer. An upper caisson is passed through the core plate hole to sealingly engage the lower caisson. The caissons are pumped dry and welding equipment is passed through the caissons to effect weld repairs about the stub tube or along the bottom head cladding.
039792587
summary
The invention relates to nuclear reactors of the kind which are charged with spherical reaction elements and in which control rods are arranged to be thrust directly into the charge. In the operation of nuclear reactors which are charged with a bulk charge consisting of spherical reaction elements the problem arises that control rods in the reflector are not in themselves sufficient to keep the reactor, for example a power reactor, operating below the critical temperature. It is therefore necessary to provide extra control rods which are thrust either directly or indirectly into the bulk charge. Using the indirect method the rods are for example guided in bores in graphite noses which themselves penetrate into the charge. Using the direct method, on the other hand, each control rod is thrust directly into the charge of spherical reaction elements, for example by means of a piston working in a pneumatic cylinder. During the penetration of the rod into the charge a rounded nose on the rod thrusts the spherical elements sideways out of the way. During deeper penetration of the rod the spherical reaction elements can become stressed mechanically so greatly that they are damaged. A further disadvantage is that repeated penetration and withdrawal of the rods results in an undesired compacting of the charge. Furthermore the control rods themselves may be stressed sideways to an undesired degree. With the aim of reducing the mechanical stresses which are applied to the reaction elements and to the control rods when the rods are thrust into the charge of the elements, according to the invention, in a reactor of the kind described, each control rod which is arranged to be thrust directly into the charge has at least one screw thread on its external surface. The effect obtained is that during penetration of the rods into the charge each rod rotates, drive being applied tangentially to the rod as a result of the charge acting on the thread as the rod is advanced. The rotational driving effect is increased by the fact that the reaction elements, which are mainly graphite, have a high coefficient of friction because they are immersed in an ambient atmosphere of pure helium. The rotation of the control rods enable them to penetrate the charge more easily, the reaction elements being pushed aside by the threads. The elements and rods are stressed less than in conventional reactors of this type, and the charge also remains more loosely packed. During the penetration of the rods into the charge the greatest mechanical stress is applied to the elements which are most directly in line with the axes of the rods, the worst case occurring when a rod axis passes through the centre of a sphere. An advantageous construction in accordance with the invention comprises each control rod having a thread on its leading section having a greater pitch than a thread on the remaining length of the rod. With this arrangement, the leading section of each rod penetrates the charge relatively easily, the greater pitch thread causing only slight rotation, but as the rod penetrates deeper and resistance becomes greater, the trailing thread of lesser pitch causes the rotation to increase and thus facilitate penetration. The leading section which is thus rotated more than its own thread would otherwise cause tends to push the deeper elements sideways and upwards which tends to loosen the path for the rod. Preferably the axial length of the thread of greater pitch is limited to the ratio of 360.degree. to the number of threads. This limitation ensures that the part of the rod which has a thread of lesser pitch is considerably longer than the part where the thread has a greater pitch. This ensures that the rod rotates sufficiently rapidly. A further advantage provided by the construction in accordance with the invention is that, due to the rotation, the control rods penetrate straighter into the charge and are therefore subjected to less bending stress. It should be observed that this effect is obtained without it being necessary to provide any external drive for rotating the rods and consequently there are no extra sealing and lubricating problems. As an alternative to having a helical thread on the leading section of each control rod, this section may be provided with a number of ribs extending axially along the section, the screw thread or threads being on the remaining length of the rod. This arrangement makes it even easier to drive the rod initially into the upper layers of the charge, without fracturing or excessively abrading the reaction elements and facilitates control of the rod movement. When the rod initially penetrates the charge it does not yet rotate, the ribs near the nose of the rod allowing the nose to push the upper elements aside with the least possible friction. When the rod penetrates deeper into the charge the thread or threads on the longer upper part of the rod automatically brings the rod into rotation, the ribs on the leading end of the rod loosening the spheres in the deeper and more densely packed region of the charge. The ribs may be formed integrally with the rod or may be welded on. A similar effect may be obtained if the leading section of each control rod has an oval or polygonal cross section rather than having distinct ribs.
claims
1. A system for exhibiting Cherenkov radiation comprising: a beam of traveling charged particles; and a photonic crystal structure that receives said beam of charged particles, said charged particles move in said photonic crystal structure so that Cherenkov radiation is produced at all velocities without requiring resonances in the effective material constants of said photonic crystal structure. 2. The system of claim 1 , wherein said beam of charged particles comprise of an electron beam. claim 1 3. The system of claim 2 , wherein said photonic crystal structure comprises of output waveguides where said Cherenkov radiation outputs said photonic crystal structure. claim 2 4. The system of claim 3 , wherein said Cherenkov radiation is received by one or more photomultipliers. claim 3 5. The system of claim 4 , wherein said dispersion of charges particles are absorbed by an absorber. claim 4 6. The system of claim 3 , wherein said electron beam is formed by a cathode-anode arrangement. claim 3 7. The system of claim 6 , wherein said Cherenkov radiation is tunable by frequency. claim 6 8. The system of claim 7 , wherein said frequency is tunable by scaling the photonic crystal structure. claim 7 9. The system of claim 2 , wherein said photonic crystal structure comprises of no output waveguides. claim 2 10. The system of claim 2 , wherein said beam of traveling charged particles travels in an all-air channel of said photonic crystal structure. claim 2 11. A method of exhibiting Cherenkov radiation comprising: providing a beam of charged particles; and providing a photonic crystal structure that receives said beam of charged particles, said charged particles moving in said photonic crystal structure so that Cherenkov radiation is produced at all velocities without requiring resonances in the effective material constants of said photonic crystal structure. 12. The method of claim 11 , wherein said charged particles comprise of an electron beam. claim 11 13. The method of claim 12 , wherein said photonic crystal structure comprises of output waveguides where said Cherenkov radiation outputs said photonic crystal structure. claim 12 14. The method of claim 13 , wherein said Cherenkov radiation is received by one or more photomultipliers. claim 13 15. The method of claim 14 , wherein said dispersion of charges particles are absorbed by an absorber. claim 14 16. The method of claim 13 , wherein said electron beam is formed by a cathode-anode arrangement. claim 13 17. The method of claim 16 , wherein said Cherenkov radiation is tunable by frequency. claim 16 18. The method of claim 17 , wherein said frequency is tunable by scaling the photonic crystal structure. claim 17 19. The method of claim 12 , wherein said beam of traveling charged particles travels in an all-air channel of said photonic crystal structure. claim 12 20. The method of claim 12 , wherein said photonic crystal structure comprises no output waveguides. claim 12
summary
abstract
A system for cooling an X-ray tube in a CT machine comprising a heat sink for drawing heat away from the X-ray tube and a collimator connected to the heat sink and adapted to collimate the X-rays emitted by the X-ray tube and “focus” those X-rays on an X-ray detector, the heat sink body being formed out of the same material as the emitter of the X-ray tube, such that the emitter opening of the X-ray tube will remain aligned with both the heat sink window and the collimator opening even when the emitter of the X-ray tube undergoes thermal expansion.
059268573
claims
1. An armor with rollers enabling a user to move in all positions by rolling on a hard and smooth surface, while constantly varying his bearing points on the surface, the armor comprising: a pair of rigid gauntlets each extending from beyond the user's hand to the user's elbow and having a first roller at an end beyond the user's hand and a second roller near the user's elbow; and a pair of rigid leg pads each having a third roller near the user's knee joint. wherein each of the pair of rigid leg pads has a pair of third rollers near the user's knee joint, the pair of third rollers having a common rotation axis, and each of the pair of gauntlets has a pair of second rollers near the user's elbow, the pair of second rollers having a common rotation axis. a pair of rigid leg pads each having a first roller near the user's knee joint; a pair of shoes fitted with roller skates; and a jacket extending down to the user's pelvis, a front of the jacket having at least three swivel-mounted second rollers. 2. The armor with rollers according to claim 1, 3. The armor with rollers according to claim 1, further comprising a jacket extending down to the user's pelvis, a front of the jacket having at least three swivel-mounted fourth rollers. 4. The armor with rollers according to claim 3, wherein the jacket further comprises a dorsal part having at least three swivel-mounted fifth rollers. 5. The armor with rollers according to clam 3, wherein the fourth rollers are mounted on an abdominal frame and the fifth rollers are mounted on a dorsal frame, the abdominal frame and the dorsal frame being fitted to the jacket by means of flexible ties. 6. The armor with rollers according to claim 4, wherein the dorsal part of the jacket has fixed to a bottom part thereof two buttock support rollers. 7. The armor with rollers according to claim 3, wherein the jacket has at least two rails mounted to one of the front of the jacket and the dorsal part of the jacket. 8. An armor with rollers enabling a user to move in all positions by rolling on a hard and smooth surface, while constantly varying his bearing points on the ground, the armor comprising: 9. The armor with rollers according to claim 8, wherein the jacket further comprises a dorsal part having at least three swivel-mounted third rollers. 10. The armor with rollers according to claim 9, wherein the second rollers are mounted on an abdominal frame and the third rollers are mounted on a dorsal frame, the abdominal frame and the dorsal frame being fitted to the jacket by means of flexible ties. 11. The armor with rollers according to claim 9, wherein the dorsal part of the jacket has fixed to a bottom part thereof two buttock support rollers. 12. The armor with rollers according to claim 8, wherein the jacket has at least two rails mounted to one of the front of the jacket and the dorsal part of the jacket. 13. The armor with rollers according to claim 6, wherein the buttock support rollers have rotational axes that are fixed with relation to the bottom part of the dorsal part of the jacket. 14. The armor with rollers according to claim 11, wherein the buttock support rollers have rotational axes that are fixed with relation to the bottom part of the dorsal part of the jacket.
046844929
description
Referring now to the figures of the drawings in detail and first, particularly, to FIG. 1 thereof, there is seen a cross-sectional view of the upper part of a biological shield 1 of a containment 2, and an opened reactor pressure vessel 3 with a repair fixture 4 according to the invention in place. In the representation of FIG. 1, a support ring 5 and a sealing box 6 of the repair fixture 4 have been lowered into the flooded reactor pressure vessel 3 on a traverse 7 which is suspended from a crane harness 8 of the reactor building. The traverse 7 is lowered into the reactor pressure vessel 3 far enough for support props 9 to 11 of the support ring 5 shown in FIGS. 1 and 2 to sit on an upper rim 12 of a core barrel 13. In this position, the sealing box 6 mounted on the traverse 7 covers a feedwater distributor 14 toward the right side of FIG. 1. The sealing box 6 has a rim 15 provided with a peripheral gasket 16 which abuts the inner surface of the wall of the reactor pressure vessel 3. Three remaining feedwater distributors 17 to 19 shown in FIG. 2 are not covered. Lateral props 20 to 23, which are adjustable by means of setting cylinders 24 to 27, brace the support ring 5 against the inner surface of the wall of the reactor pressure vessel 3. A pump 28 is attached to the support ring 5, below the sealing box 6. The pump 28 has a suction nipple 29 which is connected by a hose 30 with the interior of the sealing box. A float switch 31 is disposed in the sealing box and is inserted into the circulation caused by the pump 28. An outflow nipple 32 of the pump 28 is secured through a non-illustrated check valve and discharges directly below the pump. FIGS. 1 and 3 show that the sealing box 6 is connected with the support ring ring 5 through four booms 33 to 36. These booms in turn are displaceable parallel to the axis of symmetry 39 of the support ring, i.e. vertically in two vertical guides 37, 38 attached to the support ring 5 at the top and bottom thereof. FIGS. 1 and 3 also show that the booms 33 to 36 are not only adjustable in height along the guides 37, 38 on both sides of the sealing box 6, but can also be pivoted about these guides. The height or vertical adjustment occurs through the use of the hydraulic cylinders 40, 41 attached on the support ring, parallel to the guides. In order to pivot the booms 33 to 36, two additional hydraulic cylinders 42, 43 are disposed on the support ring. The hydraulic fluid for the cylinders may be pure water to prevent contamination of the flooded pressure vessel. As seen in FIG. 3, each of the cylinders 42, 43 have piston rods which engage the pivotable end of one of the booms 33, 35. The upper and lower ends of the sealing box 6 each carry two guide tracks 44, 45, each of which are displaceably guided in the ends of the booms 33 to 36, although only two tracks are shown. The sealing box 6 is displaced radially relative to the support ring 5, by simultaneously swinging the booms in opposite directions. However, since the sealing box 6 is displaceable along the guide tracks 44, 45 relative to the booms 33, 35 toward both sides, a slide block 46 with a bent guide track 47 can be screwed to the support ring 5 above the sealing box. A pin 48 fastened to the sealing box engages in the bent guide track 47. The pin 48 which is displaceable along the bent guide track 47 of the slide block 46, not only permits the sealing box 6 to be advanced radially during the pivoting of the booms 33 to 36, but simultaneously permits it to be displaced laterally and thus guided laterally past the inserts. FIG. 4 shows how the gasket or sealing ring 16 is attached to the rim 15 of the sealing box 6 by a clamping ring 49. FIG. 4 shows a substantially L-shaped contour of the sealing ring 16, which has a fillet 50 in vicinity of the abutment surface. The fillet 50 divides the sealing surface of the sealing ring into a narrow sealing edge 16' and a greatly extended sealing lip 16". The narrow sealing edge will be more easily deformed or pressed into unevennesses by the pressing force of the sealing box, while the sealing lip is preferably applied through the use of the pressure difference. In addition, the sealing lip is pressed against the wall of the reactor pressure vessel by a spring blade 63. Primary shutdown controls 51', 52' of a water-cooled nuclear reactor, which are disposed outside the biological shield 1 and which are connected to the reactor pressure vessel 3, may have to be repaired or replaced. Heretofore, it was necessary to remove the fuel elements from the core barrel to evacuate these primary shutdown controls, so that the reactor pressure vessel 3 could be evacuated to the extent that the feedwater distributors 14, 17, 18, 19 or the conduit connections would lie above the water surface and could be evacuated. According to the invention, after removal of the pressure vessel cover and of the other inserts, it is sufficient to lower the support ring 5 with the sealing boxes 6 attached thereto with the aid of the crane harness 8 of the reactor building, into the flooded reactor pressure vessel 3. This is done until the support props 9, 10, 11 of the support ring 5 rest on the upper rim 12 of the core barrel 13. In this defined position, the lateral props 20, 21, 23 distributed over the periphery of the support ring can be brought into abutment with the inner surface of the wall of the reactor pressure vessel 3, through the use of the setting cylinders 24 to 27, which are actuated by pressurized water. The support ring 5 is then fixed in height as well as relative to the axis of symmetry 39 of the reactor pressure vessel 3. The booms 33 to 36 carrying the sealing box can therefore be displaced along the vertical guides 37, 38 with the vertical hydraulic cylinders 40, 41, until the sealing box is vertically centered on the feedwater distributors 14, 17, 18, 19 to be covered. By then swinging the booms 33 to 36 apart by means of the hydraulic cylinders 42, 43 disposed below the sealing box, the sealing box 6 can be pushed radially outwardly over one of the feedwater distributors 14, 17, 18, 19 and against the inner surface of the wall of the reactor pressure vessel 3, until its peripheral rim having the gasket 16 attached thereto is applied against the inner surface of the wall of the reactor pressure vessel. As soon as this is done, the pump 28 which is suspended from the support ring below the sealing box 6 can be turned on and the sealing box can be pumped empty. The water then also runs out of the feedwater distributor 14 and the other covered conduits including conduit connections 14' and the primary shutdown control 51' connected thereto and the water runs into the sealing box 6. The water then runs from the sealing box through the outflow nipple 32 of the pump 28 into the open, flooded reactor pressure vessel 3, and air flows into the sealing box from a venting hose 53. After complete evacuation of the sealing box 6 as well as the conduits discharging therein, the pump 28 automatically turns off through the float switch 31 disposed in the sealing box 6. If an intrusion of water or a sufficient amount of leakage water has accumulated in the sealing box, the float switch 31 switches the pump on again, if necessary. In some boiling water reactors, guide rods for other inserts extend upward adjacent the feedwater distributors. The guide rods can hinder the radial abutment of the sealing box. In such cases, the sealing box 6 can be lifted over these obstacles by means of the vertical hydraulic cylinders 40, 41 before it abuts against the pressure vessel wall. Alternatively, the sealing box 6 can be moved past the obstacle laterally, by using the slide block 46 adapted to the respective reactor type, if this is easier to perform. The sealing box may also be supported by rotating the entire support ring 5 by means of the crane harness 8, unless the traverse 7 is non-rotationally guided in the reactor pressure vessel. In this way, such obstacles can be by-passed with the sealing box or the sealing box can go behind the obstacles if the distance from the wall is sufficient. The primary shutdown controls 51', 52' evacuated in the above described manner can thus be removed without first having to remove the fuel elements 13' from the core barrel 13, and the water level in the reactor pressure vessel 3 lowered. After completed servicing or replacement of the primary shutdown controls and after flooding by actuation of the hydraulic cylinders 42, 43, pivoting the booms 33 to 36, the sealing box can be pulled off the wall of the reactor pressure vessel again and can be moved out of the reactor pressure vessel by the crane harness 8, together with the support ring 5. If the traverse 7 is not secured against rotation in the reactor pressure vessel 3, the other feedwater distributors 17 and 19, which are usually offset relative to each other by 90.degree., and the conduit connections, can be serviced or replaced in the described manner one after the other. This is done by respective rotation of the traverse 7, with the support ring 5 attached thereto, about a corresponding angle and by renewed lowering. However, if the traverse is secured against rotation, the sealing box 6 as well as the grommets, guides and slide blocks on the support ring 5 must instead be repositioned, so that the traverse 7 need not be rotated about the axis of symmetry 39 of the reactor pressure vessel 3 and instead the support ring 5 may be rotated about the axis of symmetry and can be suspended from the traverse 7 again. For this reason, these structural mambers are secured to the support ring to be unscrewed and rescrewed. Transportation to and from the site as well as storage of the support ring are also considerably simplified in the case of a divided, bolted support ring. Due to the fact that the inside diameter of the support ring is unobstructed and its clearance is adapted to the diameter of the rim of the core barrel and adjusted thereto during the repair of the primary shutdown controls, the crane of the reactor building remains free for the duration of the repair or replacement. Thus, for example, fuel elements can be repositioned in the meantime. The down time of the nuclear reactor is therefore greatly shortened in addition. Naturally, the sealing box can not only be used to evacuate certain conduits discharging into the reactor pressure vessel when the vessel is flooded, but also for the purpose of checking and repairing any desired wall areas of the reactor pressure vessel by various methods which would otherwise not be usable. Thus, not only can light sources and television cameras be lodged in the sealing box in order to view the covered wall areas, but remote controllable eddy current probes and devices for carrying out the color penetration method which could otherwise not be used in flooded wall sections, may also be installed in the sealing box. Lastly, the sealing box, which is already pressed firmly against the wall of the reactor pressure vessel as a result of hydrostatic pressure, offers a useful platform for operating remote controllable grinding, milling and welding machines in the sealing box. Thus, all kinds of repairs can be carried out under television control and without appreciable radiation exposure. In this connection, it is especially helpful if windows 54 to 60, 61 and 62 are inserted in the wall of the sealing box 6, as indicated in FIGS. 1 and 3, for external observation by additional television cameras and/or light sources. In the repair fixture 4 shown as an example in FIGS. 1 to 3, the slide block 46 could be replaced by a hydraulic main cylinder. On the other hand, the two hydraulic cylinders 40, 41 responsible for the vertical displacement of the sealing box could be replaced by slide blocks 40a, 41a adapted to the local condition of the respective reactor type. The slide blocks raise the booms during pivoting as far as is appropriate for that reactor type. The positive guiding of the sealing box by slide blocks or guide cams prevents incorrect manipulations.
description
(1) Field of the Invention This invention relates to a radiographic apparatus for acquiring fluoroscopic images of an object under examination by emitting radiation thereto, and more particularly to a radiographic apparatus having a radiation grid for removing scattered radiation generated in the object. (2) Description of the Related Art Medical institutions have radiographic apparatus installed therein for picking up fluoroscopic images of patients. As shown in FIG. 15, such a radiographic apparatus 51 includes a top board 52 for supporting a patient M, a radiation source 53 for emitting radiation, and a radiation detector 54 for detecting the radiation. The radiation detector 54 has a radiation grid 55 placed on a radiation incidence plane (detecting plane) thereof for removing scattered radiation produced from the patient M. The radiation grid 55 has elongated strips of absorbing foil arranged as in a blind. When the scattered radiation strikes on the absorbing foil strips, most of the radiation is absorbed by the absorbing foil instead of reaching the radiation detector 54. Thus, the scattered radiation is absorbed and removed by the radiation grid 55. The radiation grid 55 allows clear fluoroscopic images to be acquired free of the scattered radiation, but gives rise to the following problem. That is, shadows of the absorbing foil strips will fall on the radiation detector 54. Then, a striped pattern with dark pixel values will be reflected on a fluoroscopic image, which worsens visibility of the fluoroscopic image. Some conventional radiographic apparatus are constructed to remove this striped pattern by image processing. That is, a conventional radiographic apparatus carries out a frequency analysis of a fluoroscopic image to remove the striped pattern. The striped pattern appearing on the fluoroscopic image has a plurality of dark lines arranged at constant intervals. When a frequency analysis is conducted on the fluoroscopic image, components the striped pattern will collect at a certain frequency. A fluoroscopic image free of the striped pattern will be acquired when a frequency inverse transform is carried out after a process for removing the frequency components. Such construction is described in detail in Japanese Unexamined Patent Publications No. 2000-83951 and No. 2002-257939. Such a striped pattern removing method can be used also for a synchronous radiation grid. The synchronous radiation grid will be described hereinafter. The radiation detector 54 has detecting elements arranged in a matrix form on the detecting plane thereof for detecting radiation. The synchronous radiation grid is a radiation grid manufactured by arranging absorbing foil strips so that the pitch of the shadows of the absorbing foil strips falling on the radiation detector 54 may be an integral multiple of the pitch of the detecting elements (see Japanese Unexamined Patent Publications No. 2000-83951 and No. 2002-257939). When the synchronous radiation grid is used with a radiation source and a radiation detector set to a standard position, there will occur no moire due to interference between the pitch of the detecting elements and the pitch of the shadows of the absorbing foil strips. Thus, a fluoroscopic image can be acquired with less disturbance on the image due to the shadows of the absorbing foil strips. Even if the synchronous radiation grid is used, a striped pattern, though less conspicuous, still appears on the fluoroscopic image. This will be removed by frequency analysis. However, the conventional radiographic apparatus has the following drawback. According to the conventional radiographic apparatus, it is difficult to remove completely the striped pattern appearing on a fluoroscopic image. As shown in FIG. 15, where the radiation source 53 and radiation detector 54 are supported by a C-arm 57, a rotation of the C-arm 57 can incline the radiation source 53 and radiation detector 54 as maintained in the same relative position. When picking up images using such a radiographic apparatus, the operation is carried out while inclining the C-arm 57. The C-arm 57 can bend at this time to shift the relative position of the radiation source 53 and radiation detector 54 slightly. This will shift the position of the shadows of the absorbing foil strips appearing on the detecting plane of the radiation detector 54. The conventional type radiation grid has the absorbing foil strips arranged in order with spacers such as of graphite interposed between the absorbing foil strips. These spacers absorb radiation to some extent. The synchronous radiation grid has nothing between adjacent absorbing foil strips, but it is hollow there to lessen the absorption of radiation. On the other hand, the absorbing foil strips can be distorted to deviate from accurate linearity because of the hollow structure. That is, winding shadows of the absorbing foil strips will be projected to the detecting plane of the radiation detector 54. Even so, the shadows of the absorbing foil strips are located in the middles in a transverse direction of the detecting elements. The shadow of each absorbing foil strip does not cover the detecting element pairs adjoining in the transverse direction, but appears in the fluoroscopic image as a vertical line having a width of one pixel. If an image is picked up with the C-arm inclined from this state, the shadow of each absorbing foil strip will move in the transverse direction. Since the shadow of each absorbing foil strip is winding, the shadow will partly cover or will not cover adjacent detecting elements from the transverse direction. Then, the shadows of the absorbing foil strips appear as a complicated striped pattern on the fluoroscopic image. It is difficult to remove such a striped pattern by frequency analysis. The striped pattern becomes complicated, and difficult to remove uniformly by frequency analysis. This invention has been made having regard to the state of the art noted above, and its object is to provide a radiographic apparatus which can reliably remove a striped pattern superimposed on a fluoroscopic image due to shadows of absorbing foil strips of a radiation grid falling on a radiation detector. The above object is fulfilled, according to this invention, by a radiographic apparatus for acquiring radiological images, comprising a radiation source for emitting radiation; a radiation detector having a detecting plane with radiation detecting elements arranged in a matrix form thereon for detecting the radiation; an image generating device for generating images based on detection signals outputted from the radiation detector; a radiation grid placed to cover the detecting plane of the radiation detector, and having absorbing foil strips extending longitudinally and arranged transversely; a grid image storage device for storing a plurality of grid images picked up while varying positions in a transverse direction of the radiation source and the radiation detector, without an object under examination interposed between the radiation source and the radiation detector, the grid images having shadows of the radiation grid reflected thereon; an original image storage device for storing an original image picked up with the object under examination interposed between the radiation source and the radiation detector, the original image having a fluoroscopic image of the object under examination and the shadows of the absorbing foil strips of the radiation grid reflected thereon; a selecting device for selecting one grid image having a pattern most similar to a pattern of the shadows of the radiation grid reflected on the original image, from the plurality of grid images stored in the grid image storage device; and an eliminating device for eliminating the shadows of the absorbing foil strips from the original image based on the grid image selected by the selecting device; wherein the positions of the radiation grid and the radiation detector are determined such that, when the radiation source and the radiation detector are in a standard position, an arrangement pitch of the shadows of the absorbing foil strips appearing on the detecting plane of the radiation detector as a result of a radiation beam being emitted from the radiation source and blocked by the radiation grid is an integral multiple of an arrangement pitch in a transverse direction of the radiation detecting elements, and the shadows of the absorbing foil strips appear without covering transversely adjacent pairs of the detecting elements. The radiographic apparatus according to this invention includes a synchronous radiation grid synchronized with the arrangement of the detecting elements of the radiation detector. That is, the arrangement pitch of the absorbing foil strips of the radiation grid is determined based on the arrangement pitch of the radiation detecting elements. Consequently, there occurs no moire due to interference between the arrangement of the shadows of the absorbing foil strips and the arrangement of the radiation detecting elements when the radiation source and the radiation detector are in the most frequently used standard position. This realizes generation of radiological images with improved visibility. However, such synchronous radiation grid is liable to distortion of the absorbing foil strips. When the absorbing foil strips are distorted, it is difficult to predict a pattern of the shadows of the radiation grid appearing on the original image, and to remove the shadows of the radiation grid from the original image. So, in this invention, a plurality of grid images are picked up beforehand, and a grid image most similar to the pattern of the shadows of the radiation grid appearing on the original image is selected, which is used to eliminate the pattern of the shadows of the radiation grid from the original image. That is, the pattern of the shadows of the radiation grid can be removed accurately from the original image after predicting in what shape the shadows of the radiation grid are reflected on the original image. In the above radiographic apparatus, it is preferred that the grid images stored in the grid image storage device have been picked up while shifting the position of the radiation detector relative to the radiation source in the transverse direction. According to the above construction, the grid images can be picked up with increased appropriateness. When the positional relationship between the radiation source and radiation detector shifts in the transverse direction, a major change will occur with the pattern of the shadows of the radiation grid appearing on the original image. So, in the above construction, the grid images are picked up beforehand while shifting the position of radiation detector relative to the radiation source in the transverse direction. Then, even if the positional relationship between the radiation source and radiation detector shifts in the transverse direction at the time of original image acquisition, the pattern of the shadows of the radiation grid appearing on the original image can be selected from the already procured grid images. This allows the pattern of the shadows of the radiation grid appearing on the original image to be predicted accurately. In the above radiographic apparatus, it is preferred that the grid images stored in the grid image storage device have been picked up without anything placed between the radiation source and the radiation grid. According to the above construction, the grid images can be picked up with increased appropriateness. With this construction, since only the shadows of the radiation grid are reflected on the grid images, the pattern of the shadows of the radiation grid appearing on the original image is expressed accurately. In the above radiographic apparatus, it is possible that the grid images stored in the grid image storage device have been picked up with a phantom, which generates scattered rays, placed between the radiation source and the radiation grid. According to the above construction, the grid images can be picked up with increased appropriateness. The original image includes scattered ray components generated from the object under examination, and the pattern of the shadows of the radiation grid appearing on the original image also changes under the influence of scattered rays. Therefore, the shadows of the radiation grid appearing on the original image can be grasped with increased accuracy by picking up the grid images in a state of scattered rays being generated. This arrangement enables acquisition of grid images that anticipate the influence of scattered rays. It is preferred that the above radiographic apparatus further comprises a profile generating device for generating profiles each having pixel values arranged in a row in the transverse direction of the radiation grid, based on images each having pixel values arranged in two dimensions; wherein the selecting device is arranged to select the one grid image using an original image profile generated from the original image, and grid profiles generated from the grid images. The above arrangement represents details of a method of selecting a grid image. That is, similarity between the original image and grid images is determined without using the images per se, and is determined using profiles showing characteristics of the shadows of the radiation grid reflected on the images. The profiles have pixel values arranged in a row, whereby the profiles can be compared at high speed. Therefore, also in an examination in which original images are picked up continuously, the shadows of the radiation grid can be removed from the original images reliably. In the above radiographic apparatus, it is preferred that the profile generating device is arranged to generate an estimated profile from the original image profile when the radiation grid is not reflected on the original image, and generate a profile for comparison by subtracting the estimated profile from the original image profile, wherein the selecting device is arranged to select one grid image by selecting a grid profile most similar to the profile for comparison. The above arrangement represents details of a method of selecting a grid image. That is, the original image has an image of the object under examination and the shadows of the radiation grid overlapping each other. When the profile of the original image is used as it is for comparison of the profiles, the components of the object image superimposed on the profile of the original image will baffle a determination of similarity between the profiles. In view of such a situation, this invention generates, from the original image profile, a profile for comparison without the object image reflected on the original image, and by using this for comparison with the grid profiles, a determination is made of similarity between the profiles. Consequently, the shadows of the radiation grid reflected on the original image can be grasped with increased reliability. In the above radiographic apparatus, it is preferred that the selecting device is arranged to determine similarity between the profile for comparison and the grid profiles by a correlational method. The above arrangement represents a specific method of determining similarity between the profiles. By carrying out comparison using the correlational method which obtains correlation coefficients of both profiles, the shadows of the radiation grid reflected on the original image can be grasped with increased reliability. The radiographic apparatus according to this invention includes a synchronous radiation grid which is liable to distortion of the absorbing foil strips. When the absorbing foil strips are distorted, it is difficult to remove the shadows of the radiation grid from the original image. So, in this invention, a plurality of grid images are picked up beforehand, and an appropriate grid image is selected therefrom, which is used to eliminate the pattern of the shadows of the radiation grid from the original image. According to this invention, the pattern of the shadows of the radiation grid can be removed accurately from the original image. It is preferred that the above radiographic apparatus further comprises a C-arm for supporting the radiation source and the radiation detector. The above represents a specific construction of this invention. With this construction, the radiation source and radiation detector can be moved while maintaining a positional relationship therebetween. In the above radiographic apparatus, it is preferred that the radiation grid is a synchronous grid with an arrangement of the absorbing foil strips synchronized with an arrangement of the detecting elements of the radiation detector. The above represents a specific construction of this invention. This invention is applicable to what is called a synchronous grid. An embodiment of this invention will be described hereinafter. X-rays in the embodiment correspond to the radiation in this invention. <Construction of X-ray Apparatus> As shown in FIG. 1, an X-ray apparatus 1 in Embodiment 1 includes a top board 2 for supporting a patient M, an X-ray tube 3 disposed below the top board 2 for emitting X-rays, a flat panel detector (FPD) 4 disposed above the top board 2 for detecting X-rays, an X-ray tube controller 6 for controlling a tube current and tube voltage of the X-ray tube 3, a C-arm 7 for supporting the X-ray tube 3 and FPD 4, a strut 8 for supporting the C-arm 7, a C-arm moving mechanism 21 for moving the C-arm 7, and a C-arm movement controller 22 for controlling the C-arm moving mechanism 21. The X-ray tube 3 corresponds to the radiation source in this invention. The FPD 4 corresponds to the radiation detector in this invention. By the C-arm moving mechanism 21, the C-arm 7 is rotatable as well as movable vertically and horizontally. That is, the C-arm 7 is rotatable along an imaginary circle VA along which the curved C-arm 7 extends as shown in FIG. 2A, and is also rotatable to move opposite ends thereof along an imaginary circle VB on a plane perpendicular to a projecting direction (the direction A along the body axis) in which the opposite ends of the C-arm 7 project from the strut 8 as shown in FIG. 2B. An X-ray grid 5 is provided to cover an X-ray detecting plane of the FPD 4. FIG. 3 is a perspective view illustrating the construction of the X-ray grid 5 in Embodiment 1. As shown in FIG. 3, the X-ray grid 5 in Embodiment 1 has absorbing foil strips 5a extending longitudinally. The absorbing foil strips 5a are arranged transversely and, when seen as the entire X-ray grid 5, are arranged as in a blind. The arrangement pitch is 400 μm, for example. The absorbing foil strips 5a are formed of a molybdenum alloy, a tantalum alloy or the like which absorbs X-rays. The X-ray grid 5 corresponds to the radiation grid in this invention. An FPD shift mechanism 23 is provided to move the FPD 4 toward and away from the X-ray tube 3. This can adjust an enlargement ratio of a patient image falling on the FPD 4. An FPD shift controller 24 is provided to control the FPD shift mechanism 23. When the FPD 4 is moved by the FPD shift mechanism 23, the X-ray grid 5 will also be moved therewith. A positional relationship between the FPD 4 and X-ray grid 5 will be described. FIG. 4 shows a positional relationship between the X-ray grid 5/FPD 4 and the X-ray tube 3. X-rays Dx are emitted from a focus P of the X-ray tube 3. Although the absorbing foil strips 5a of FIG. 3 appear to be arranged in parallel, they are in practice arranged at slightly varied angles to align with the X-rays Dx emitted. The positional relationship in which shadows S of the absorbing foil strips 5a fall on the middles of the detecting elements 4a of the FPD 4 is called a standard position. As shown in FIG. 5, the detecting elements 4a which detect X-rays are arranged longitudinally and transversely on the X-ray detecting plane of the FPD 4. When X-rays are emitted from the X-ray tube 3, shadows S of the absorbing foil strips 5a of the X-ray grid 5 will fall on certain of the detecting elements 4a of the FPD 4. At this time, as shown in FIG. 5, the shadows S are located at the middles in the transverse direction of the certain detecting elements 4a. Therefore, the shadows S of the absorbing foil strips 5a appear without covering detecting elements 4a adjoining these detecting elements 4a in the transverse direction. Moreover, the arrangement pitch in the transverse direction of the shadows S is an integral multiple (fourfold in the embodiment) of the arrangement pitch of the detecting elements 4a of the FPD 4. Thus, the positions of the absorbing foil strips 5a over the FPD 4 and the arrangement pitch in the transverse direction of the absorbing foil strips 5a are determined with reference to each of the positions of the shadows S and detecting elements 4a and the arrangement pitch in the transverse direction of the detecting elements 4a. The X-ray grid 5 with such arrangement pitch of the absorbing foil strips 5a adjusted to the arrangement pitch of the detecting elements 4a is called a synchronous X-ray grid. The detecting elements 4a correspond to the radiation detecting elements in this invention. However, when the C-arm 7 rotates as in FIG. 2, the shadows S of the absorbing foil strips 5a of the X-ray grid 5 will shift from the middles in the transverse direction of the detecting elements 4a of the FPD 4. The X-ray tube 3 is a heavy object, and the C-arm 7 supporting the X-ray tube 3 is liable to bend. This bending becomes larger as the X-ray tube 3 moves farther away from the strut 8. The state of the FPD 4 and shadows S shown in FIG. 5 occurs when the X-ray tube 3 is directly under the FPD 4 as shown in FIG. 1. Also when the FPD shift mechanism 23 moves the FPD 4 toward or away from the X-ray tube 3, the shadows S of the absorbing foil strips 5a of the X-ray grid 5 will shift from the middles in the transverse direction of the detecting elements 4a of the FPD 4. This is because the enlargement ratio of the X-ray grid 5 on the FPD 4 will be changed when the FPD 4 is moved toward or away from the X-ray tube 3. The FPD 4 and shadows S are in the state shown in FIG. 5 when the X-ray tube 3 and FPD 4 are at a predetermined standard distance from each other. The situation where the X-ray tube 3 and FPD 4 in Embodiment 1 are in the standard position refers to a situation where the X-ray tube 3 is directly under FPD 4 as shown in FIG. 1 and the X-ray tube 3 and FPD 4 are at the standard distance from each other. See FIG. 4 for specific illustration. At this time, the shadows S are located at the middles in the transverse direction of certain detecting elements 4a as shown in FIG. 5. As shown in FIG. 1, the X-ray apparatus 1 according to Embodiment 1 includes an image generating unit 11 for generating various images, a profile generating unit 12 for generating a profile c for comparison, a grid image selecting unit 13 for selecting one of grid images G, an eliminating unit 14 for removing shadows of the X-ray grid 5 from an original image P0, a console 31 for inputting operator's instructions, a display unit 32 for displaying corrected images, and an information storage unit 33 for storing a variety of information. The eliminating unit 14 corresponds to the eliminating device in this invention. The image generating unit 11 corresponds to the image generating device in this invention. The profile generating unit 12 corresponds to the profile generating device in this invention. The grid image selecting unit 13 corresponds to the selecting device in this invention. The information storage unit 33 corresponds to the grid image storage device and original image storage device in this invention. The X-ray apparatus 1 according to Embodiment 1 includes also a main controller 34 for performing overall control of the components 6, 11, 12, 13, 14, 22 and 24. The main controller 34 has a CPU, and realizes the above components by executing various programs. The above components may be divided into arithmetic units which perform their functions. The information storage unit 33 serves to store grid images G and original image P0 described hereinafter. This information storage unit 33 stores all of various parameters referred to for control of the X-ray apparatus 1, such as a tube voltage, tube current and pulse width used in control by the X-ray tube controller 6, for example. The grid images G will now be described. The grid images G are images of the X-ray grid 5 provided for the FPD 4 which are picked up with X-rays, and 189 such images are stored in the information storage unit 33. These grid images G are acquired with the X-ray tube 3 and FPD 4 detached from the C-arm 7. That is, the grid images G are acquired by emitting X-rays toward the FPD 4 with the X-ray grid 5 attached thereto. A method of picking up the grid images G will be described. When picking up the grid images G, as shown in FIG. 6, the X-ray tube 3 for emitting X-rays is placed on a stage 25 which moves the X-ray tube 3 in the transverse direction of the X-ray grid 5. With this arrangement, the X-ray tube 3 can be moved in the transverse direction relative to the FPD 4 from the state of the X-ray tube 3 and FPD 4 being in the standard position. The stage 25 can also move the X-ray tube 3 toward and away from the FPD 4. Therefore, the X-ray tube 3 can be moved toward or away from the FPD 4, from the state of the X-ray tube 3 and FPD 4 being in the standard position. Thus, the stage 25 for receiving the X-ray tube 3 acts as an XY stage movable in two perpendicular directions. How the grid images G are picked up will be described. To pick up a grid image G, X-rays are first emitted from the X-ray tube 3. Then, shadows of the X-ray grid 5 fall on the FPD 4. The image generating unit 11 receives detection data from the FPD 4, and generates a grid image G having the shadows of the X-ray grid 5. This grid image G is stored in the information storage unit 33. Such image pickup is carried out a plurality of times while sliding the X-ray tube 3 in the transverse direction. That is, the X-ray tube 3 is moved in the transverse direction by the stage 25, and after once stopping the movement, an image of the X-ray grid 5 is picked up again. Such movement and image pickup of the X-ray grid 5 are repeated to pick up one grid image G after another. How the X-ray tube 3 is moved during the operation for picking up the grid image G will be described. It is assumed that FIG. 6 shows the positional relationship between the X-ray tube 3 and FPD 4 in the standard position. Sign P in the figure denotes the focus of an X-ray beam emitted from the X-ray tube 3. Since the grid images G are picked up successively while moving the X-ray tube 3, the position of the focus of the X-ray tube 3 relative to the FPD 4 also shifts in successive steps. The focal position of the X-ray tube 3 at the time an X-ray grid image G is picked up is one of positions P11-P47 shown in FIG. 7. To pick up X-ray grid images, while the focus of the X-ray tube 3 is first moved in the transverse direction from the standard position indicated by P24, image pickup is carried out in the seven focal positions indicated by A2 in FIG. 7. Then, after the focus of the X-ray tube 3 is moved toward the FPD 4, image pickup is carried out while the focus is moved in the transverse direction. Consequently, an X-ray grid image is picked up in each of the focal positions P11-P17 indicated by A1 in FIG. 7. Similarly, after the focus of the X-ray tube 3 is moved away from the FPD 4, image pickup is carried out while the focus is moved in the transverse direction, to pick up an X-ray grid image in each of the seven focal positions indicated by A3 or A4 in FIG. 7. For simplicity of description, FIG. 7 shows the case of image pickup through four layers each including seven focal positions, to pick up X-ray grid images in a total of 28 focal positions. In an actual operation of Embodiment 1, image pickup is carried out through 21 layers each including nine focal positions, to pick up X-ray grid images in a total of 189 focal positions. The acquired X-ray grid images G will be described briefly. When the X-ray tube 3 is in the standard position (when the focus of the X-ray tube 3 is at P24 in FIG. 7), the shadows S of the absorbing foil strips 5a fall on the FPD 4 as shown in the left portion of FIG. 8. At this time, an X-ray grid image G presents dark lines with the width of one pixel as shown in the right portion of FIG. 8. Since the absorbing foil strips 5a are twisted or bent, their shadows S are distorted to some extent. When the absorbing foil strips 5a are twisted, for example, the shadows S have varying widths in the transverse direction. Under the influence of this variation, the dark lines appearing on the X-ray grid image G have a partial unevenness of darkness as shown in the right portion of FIG. 8. When the X-ray tube 3 is moved from the standard position, the shadows S of the absorbing foil strips 5a will fall on the FPD 4 as transversely shifted from the state in FIG. 8, as shown in the left portion of FIG. 9. Since the shadows S of the absorbing foil strips 5a are distorted, the shadows S partly straddle or do not straddle the detecting elements 4a in the transverse direction. The X-ray grid image G at this time presents dark lines of complicated shape as shown in the right portion of FIG. 9. Where the shadows S straddle the detecting elements 4a, the shadows S are distributed to the transversely adjacent detecting elements 4a, resulting in dark lines having a width corresponding to two pixels on the X-ray grid image G. Where the shadows S do not straddle the detecting elements 4a, the width of the dark lines appearing on the X-ray grid image G remains to be that of one pixel. The X-ray grid image G, after information concerning a positional relationship between the X-ray tube 3 and FPD 4 at the time of image pickup is applied thereto by the image generating unit 11, is outputted to the profile generating unit 12. The profile generating unit 12 converts the X-ray grid image G in the form of a two-dimensional image into one-dimensional data. That is, the profile generating unit 12, as shown in FIG. 10, averages pixel values located in each line in the longitudinal direction among the pixel values constituting the X-ray grid image G, and generates a grid profile g with the average values arranged in the transverse direction. In another method of generating the grid profile g, a group of pixel values arranged in one row in the transverse direction may be extracted by selecting a certain position in the longitudinal direction of the X-ray grid image G as indicated by arrow a in FIG. 10. The profile generating unit 12 stores the X-ray grid image G and the grid profile g generated based thereon, as related to each other, in the information storage unit 33. Thus, 28 (actually 189) X-ray grid images G will be stored, together with grid profiles g related thereto, in the information storage unit 33. <Operation of X-ray Apparatus> Operation of the X-ray apparatus 1 will be described next. In carrying out an examination with the X-ray apparatus 1 in Embodiment 1, as shown in FIG. 11, images of the X-ray grid 5 are picked up to acquire a plurality of grid images G beforehand (grid imaging step S1). Then, a patient M is placed on the top board 2, and an original image P0 of the patient M is acquired (patient imaging step S2). A profile c for comparison is acquired based on the original image P0 (comparison profile generating step S3). Then, a comparison is made between the profile c for comparison and the grid images G (their profile data, to be exact), to select a grid image G having the striped pattern most similar to that of the shadows of the X-ray grid 5 appearing on the original image P0 (grid image selecting step S4). Finally, the striped pattern of the shadows of the X-ray grid 5 is eliminated from the original image P0 using the selected grid image G (eliminating step S5). These steps will be described in order hereinafter. <Grid Imaging Step S1 and Patient Imaging Step S2> First, images of the X-ray grid 5 are picked up with the X-ray tube 3 placed on the stage 25. It is sufficient to execute this step once before shipment of the X-ray apparatus 1. Therefore, an actual examination of the patient M begins when the patient M is placed on the top board 2 and an X-ray beam is emitted toward the patient M (patient imaging step S2). The FPD 4 detects the X-ray beam transmitted through the patient M, and outputs detection data to the image generating unit 11. The image generating unit 11, by arranging the detection data in two dimensions, for example, acquires an original image P0 showing both a fluoroscopic image of the patient M and shadows of the X-ray grid 5. <Comparison Profile Generating Step S3: Generation of Original Image Profile p> The original image P0 is outputted to the profile generating unit 12. The profile generating unit 12 generates an original image profile p, which is a profile of the original image P0, in the same way as generating the grid profiles g. The original image profile p is acquired by averaging pixel values or extracting from a pixel value group arranged in one row in the transverse direction of the original image P0. The original image profile p, as shown in the upper portion of FIG. 12A, consists of a superimposition of two parts which are components derived from the shadows S of the absorbing foil strips 5a shown with slashes, and components derived from the fluoroscopic image of the patient M shown with halftone dots. <Comparison Profile Generating Step S3: Extraction of Areas A> The profile generating unit 12 extracts only pixels from areas A of the original image profile p shown in the upper portion of FIG. 12A. The areas A will be described. Assume that areas B are where the shadows S of the absorbing foil strips 5a fall when the X-ray tube 3 and FPD 4 are in the standard position. The areas A are areas spaced from the areas B by two pixels in the transverse direction on the original image profile p. The middle portion of FIG. 12A expresses pixel values of the extracted areas A in a bar chart. The areas A are areas positively free from the shadows S of the absorbing foil strips 5a, as described hereinafter. If, on comparison, differences in pixel value are found among the areas A, such differences are not caused by the shadows S of the absorbing foil strips 5a, but are due to components of the fluoroscopic image of the patient M. That is, the profile generating unit 12 extracts only pixels from the areas A, and this results in extraction of a pixel group including only components of the patient image reflected on the original image profile p. The reason why the areas A include only components of the patient image will be explained. FIG. 13 is a schematic view of shadows of the absorbing foil strips 5a appearing on the original image P0. When the X-ray tube 3 and FPD 4 are in the standard position, as shown in the upper portion of FIG. 13, the shadows S of the absorbing foil strips 5a appear in the areas B having the width of one pixel. The areas B occur repeatedly in the transverse direction, forming a striped pattern when the original image P0 is seen as a whole. In FIG. 13, the original image P0 consists of a superimposition of two parts which are components derived from the shadows S of the absorbing foil strips 5a shown with slashes, and components derived from the fluoroscopic image of the patient M (components of the patient image) shown with halftone dots. The fluoroscopic image of the patient M shown with halftone dots, in practice, is that of internal organ tissue, bone tissue or the like of the patient M. When the relative position between the X-ray tube 3 and FPD 4 shifts from the standard position, as shown in the lower portion of FIG. 13, the shadows S of the absorbing foil strips 5a will appear as protruding from the areas B. However, the shadows S of the absorbing foil strips 5a will never appear in the areas A shown in FIG. 13. To whatever extent the positional relationship between the X-ray tube 3 and FPD 4 may change during the operation to pick up an image of the patient M, the distance of movement in the transverse direction of the shadows S of the absorbing foil strips 5a on the original image P0 is less than a distance corresponding to one pixel. Thus, the shadows S of the absorbing foil strips 5a will not move to the areas A spaced from the areas B by two pixels in the transverse direction. Its reason will be explained. The relative position between the X-ray tube 3 and FPD 4 is shiftable about 2 mm by bending of the C-arm 7. When in the standard position, the distance between the X-ray tube 3 and FPD 4 is about 1,000 mm, and the distance between the X-ray grid 5 and FPD 4 is 20 mm. Based on these figures, when the relative position between the X-ray tube 3 and FPD 4 shifts 2 mm in the transverse direction of the X-ray grid 5, the shadows S of the absorbing foil strips 5a will move 40 μm in the transverse direction. The width in the transverse direction of the shadows S of the absorbing foil strips 5a is about 30 μm. The width in the transverse direction of the detecting elements 4a arranged on the detecting plane of FPD 4 is 100 μm, although there are certain variations. It may therefore be said that the shadows S of the absorbing foil strips 5a present in the areas B of the original image P0 can never move as far as the areas A at a distance of 100 μm or more. <Comparison Profile Generating Step S3: Generation of Estimated Profile sp> After extracting the areas A, the profile generating unit 12 interpolates pixel values in order to grasp components of the patient image over the entire area of the original image profile p. That is, the profile generating unit 12, using the pixel values of the areas A, estimates pixel values for the three pixels which should lie between adjacent areas A. The method carried out by the profile generating unit 12 for estimating pixel values may be a spline interpolation method, for example. The lower portion of FIG. 12A shows a state of the time when this operation is finished. In the lower portion of FIG. 12A, the pixel values estimated by the profile generating unit 12 are shown as bars without halftone dots for distinction. FIG. 12B shows this estimated profile sp expressed as one-dimensional data. The estimated profile sp is formed only of fluoroscopic image components of the patient M shown with halftone dots. <Comparison Profile Generating Step S3: Generation of Profile c for Comparison> The profile generating unit 12, by subtracting the estimated profile sp from the original image profile p, generates the profile c for comparison for use in profile comparison. The profile c for comparison is formed only of components of the shadows S of the absorbing foil strips 5a shown with slashes as in FIG. 12C. <Grid Image Selecting Step S4> The profile c for comparison is outputted to the grid image selecting unit 13. This profile c for comparison expresses a pattern of the shadows of the X-ray grid 5 appearing on the original image P0. There should be, in the information storage unit 33, grid profiles g having similar patterns to the profile c for comparison. The grid image selecting unit 13 selects a grid profile g having the most similar pattern to that of the profile c for comparison. Details of an operation for selection of a grid profile g will be described. The grid image selecting unit 13 first selects grid images G roughly. Distance information of the X-ray tube 3 and FPD 4 has already been sent to the grid image selecting unit 13 from the FPD shift controller 24. The grid image selecting unit 13 selects one of A1-A4 in FIG. 7 to which the position of the X-ray tube 3 relative to the FPD 4 was close at the time the original image P0 was picked up. Assume that the grid image selecting unit 13 selects A1 at this time, for example. The grid image selecting unit 13 reads from the information storage unit 33 the seven X-ray grid images G (their profile grid profiles g, to be exact) picked up when the focus of the X-ray tube 3 in the positions of A1. The grid image selecting unit 13 selects one X-ray grid image G from the seven X-ray grid images G through comparison between the profiles g and c. Specifically, the grid profiles g of the seven X-ray grid images G are successively applied to the profile c for comparison, to obtain correlation coefficients h and select a grid image G. These correlation coefficients h are indexes showing degrees of coincidence between the grid profiles g and the profile c for comparison. The larger correlation coefficient h shows the higher degree of coincidence. The grid profiles g and the profile c for comparison express patterns of the shadows of the X-ray grid 5 appearing on the grid images G and original image P0. Therefore, a large correlation coefficient h of the profiles indicates that the pattern of the shadows of the X-ray grid 5 appearing on a grid image G is similar to the pattern of the shadows of the X-ray grid 5 appearing on the original image P0. A method by which the grid image selecting unit 13 acquires the correlation coefficients h will be described. Each grid profile g, which has pixel values aligned in a row, can be expressed by the following formula:g={g1, g2, . . . gn} Similarly, the profile c for comparison has pixel values aligned in a row, and can be expressed by the following formula:c={c1, c2, . . . cn} The grid image selecting unit 13 derives the correlation coefficients h from the following equation. The correlation coefficients h have scalar values.h=(g1c1+g2c2+ . . . +gncn)/(g12+g22+ . . . +gn2)1/2·(c12+c22+ . . . +cn2)1/2 The grid image selecting unit 13 obtains correlation coefficients h for the seven grid profiles g, respectively. Then, the grid image selecting unit 13 selects a grid profile g having the largest correlation coefficient h, and selects a grid image G corresponding to this grid profile g. It means that the grid image selecting unit 13 selects one of the grid images G having the most similar pattern to the pattern of the shadows of the X-ray grid 5 appearing on the original image P0. The correlation coefficient h is a quotient resulting from the division of an inner product of the profiles g and c by a predetermined value. The denominator used at this time is provided in order to normalize the value of the inner product. Next, a specific example of correlation coefficients h will be given. For example, when two profiles g and c are completely the same, the value of correlation coefficient h will be 1. As the two profiles g and c become progressively different, the correlation coefficient h approaches 0. A method of determining similarity between images using such a correlation coefficient h is called a correlational method. The subsequent description will be made on an assumption that the grid image selecting unit 13 has selected a grid image Ga from the grid images G. <Eliminating Step S5> The grid image selecting unit 13 outputs the selected grid image Ga to the eliminating unit 14. The eliminating unit 14 superimposes a reverse pattern of the grid image Ga on the original image P0, thereby to generate a corrected image P1 with the pattern of the shadows of the X-ray grid 5 removed from the original image P0. The grid image Ga has the same pattern as the pattern of the shadows of the X-ray grid 5 on the original image P0. By superimposing the two patterns, the shadows of the X-ray grid 5 are accurately eliminated from the original image P0. This corrected image P1 is displayed on the display unit 32 to complete the examination. As described above, the X-ray apparatus 1 in Embodiment 1 includes the synchronous X-ray grid 5 synchronized with the arrangement of detecting elements 4a of the FPD 4. The arrangement pitch of the absorbing foil strips 5a of the X-ray grid 5 is determined based on the arrangement pitch of the detecting elements 4a. Consequently, there occurs no moire due to interference between the arrangement of the shadows of the absorbing foil strips 5a and the arrangement of the detecting elements 4a when in the most frequently used standard position. This realizes generation of X-ray images with improved visibility. However, such synchronous X-ray grid 5 is liable to distortion of the absorbing foil strips 5a. When the absorbing foil strips 5a are distorted, it is difficult to predict a pattern of the shadows of the X-ray grid 5 appearing on the original image P0, and to remove the shadows of the X-ray grid 5 from the original image P0. So, in Embodiment 1, a plurality of grid images G are picked up beforehand, and a grid image G most similar to the pattern of the shadows of the X-ray grid 5 appearing on the original image P0 is selected, which is used to eliminate the pattern of the shadows of the X-ray grid 5 from the original image P0. That is, according to the construction of Embodiment 1, the pattern of the shadows of the X-ray grid 5 can be removed accurately from the original image P0 after grasping in what shape the shadows of the X-ray grid 5 are reflected on the original image P0. According to the construction of Embodiment 1, the grid images G can be picked up with increased appropriateness. When the positional relationship between the X-ray tube 3 and FPD 4 shifts in the transverse direction, a major change will occur with the pattern of the shadows of the X-ray grid 5 appearing on the original image P0. So, in the above construction, the grid images G are picked up beforehand while shifting the position of FPD 4 relative to the X-ray tube 3 in the transverse direction. Then, even if the positional relationship between the X-ray tube 3 and FPD 4 shifts in the transverse direction at the time of original image acquisition, the pattern of the shadows of the X-ray grid 5 appearing on the original image P0 is already procured as grid images G. This allows the pattern of the shadows of the X-ray grid 5 appearing on the original image P0 to be predicted accurately. According to the construction of Embodiment 1, the grid images G can be picked up with increased appropriateness. In Embodiment 1, the grid images G are picked up with nothing but the X-ray grid 5 interposed between the X-ray tube 3 and FPD 4. Since only the shadows of the X-ray grid 5 are reflected on the grid images G, the pattern of the shadows of the X-ray grid 5 appearing on the original image P0 is expressed accurately. According to the construction of Embodiment 1, similarity between the original image P0 and grid images G is determined without using the images per se, and is determined using profiles showing characteristics of the shadows of the X-ray grid 5 reflected on the images. The profiles according to Embodiment 1 have pixel values arranged in a row, whereby the profiles can be compared at high speed. Therefore, also in an examination in which original images P0 are picked up continuously, the shadows of the X-ray grid 5 can be removed from the original images P0 reliably. The original image P0 has a patient image and the shadows of the X-ray grid 5 overlapping each other. When the profile of the original image P0 is used as it is for comparison of the profiles, the components of the patient image superimposed on the profile of the original image P0 will baffle a determination of similarity between the profiles. In view of such a situation, Embodiment 1 generates, from the original image profile p, a profile c for comparison without the patient image reflected on the original image P0, and uses this for comparison with the grid profiles g. In this way, a determination is made of similarity between the shadows of the X-ray grid 5 reflected on the original image P0 and the grid images G. Consequently, the shadows of the X-ray grid 5 reflected on the original image P0 can be grasped with increased reliability. By carrying out comparison using the correlational method which obtains correlation coefficients of both profiles as in Embodiment 1, the shadows of the X-ray grid 5 reflected on the original image P0 can be grasped with increased reliability. As is clear from the description using FIG. 7, this invention is applicable regardless of whether the distance between the FPD 4 and X-ray tube 3 is longer or shorter than the distance corresponding to the standard position in FIG. 4. This invention is not limited to the foregoing embodiment, but may be modified as follows: (1) In Embodiment 1, grid images G are picked up without placing anything but the X-ray grid 5 between the X-ray tube 3 and FPD 4. This invention is not limited to this arrangement. As shown in FIG. 14, grid images G may be picked up with a plate-like phantom Ph, which generates scattered rays, placed between the X-ray tube 3 and FPD 4. The original image P0 includes scattered ray components generated from the patient M, and the pattern of the shadows of the X-ray grid 5 appearing on the original image P0 also changes under the influence of scattered rays. Therefore, the shadows of the X-ray grid 5 appearing on the original image P0 can be grasped with increased accuracy by picking up grid images G in a state of scattered rays being generated. This modified arrangement enables acquisition of grid images G that anticipate the influence of scattered rays. (2) In the foregoing embodiment, the X-ray apparatus 1 includes one C-arm 7, but this invention is not limited to this. This invention may be applied to a biplane system having two C-arms 7. (3) The foregoing embodiment provides a medical apparatus. This invention is applicable also to apparatus for industrial use and for the nuclear field. (4) X-rays used in the foregoing embodiment are an example of radiation in this invention. Therefore, this invention can be adapted also for radiation other than X-rays. This invention may be embodied in other specific forms without departing from the spirit or essential attributes thereof and, accordingly, reference should be made to the appended claims, rather than to the foregoing specification, as indicating the scope of the invention.
summary
description
1. Field of the Invention The present invention relates, in general, to a spacer grid for nuclear fuel rods and, more particularly, to a spacer grid for dual-cooled nuclear fuel rods, capable of supporting the dual-cooled nuclear fuel rods constituting a nuclear fuel assembly used in the core of a light water reactor. 2. Description of the Related Art A spacer grid for nuclear fuel rods is a principal component of a nuclear fuel assembly used in the core of a light water reactor, and stably positions a plurality of nuclear fuel rods, which constitute the nuclear fuel assembly, up to the end of their viable period under severe conditions in a preset space of the reactor core. Meanwhile, a coolant flows around the nuclear fuel assembly at high speed. Thus, this coolant may cause the nuclear fuel rods to experience fluid-induced vibration. The spacer grid for nuclear fuel rods functions to inhibit such fluid-induced vibration of the nuclear fuel rods. FIG. 1 is a schematic front view illustrating a conventional nuclear fuel assembly. FIG. 2 is a schematic top plan cross-sectional view taken along line I-I′ of FIG. 1. FIG. 3 is a schematic perspective view illustrating a spacer grid constituting the nuclear fuel assembly of FIG. 1. FIG. 4 is a schematic top plan view illustrating the spacer grid of FIG. 3. FIG. 5 is a schematic perspective view illustrating a unit spacer grid strap of the spacer grid of FIG. 3. Referring to FIGS. 1 through 5, the nuclear fuel assembly 10 includes fuel rods 11, an upper end fitting 12, a lower end fitting 13, guide tubes 14, and spacer grids 15. Each fuel rod 11 includes a cylindrical uranium sintered compact (called a cylindrical uranium pellet) in a zirconium alloy cladding tube. The uranium pellet causes a nuclear fission reaction which generates high-temperature heat. Each guide tube 14 adjusts the output of a reactor core, and is used as a passage for a control rod which moves up and down in order to stop the nuclear fission reaction. Each spacer grid 15 is usually formed of zircaloy, and includes nuclear fuel rod cells into which the nuclear fuel rods are inserted, and guide tube cells into which the guide tubes are inserted. Each nuclear fuel rod cell of the spacer grid is configured so that a total of two spacer grid springs 28 and a total of four dimples 29 support the nuclear fuel rod 11 at a total of six supporting points, wherein the two spacer grid springs 28 are located one by one on two respective faces of the nuclear fuel rod cell, and the four dimples 29 are located in pairs on upper and lower sides of each spacer grid spring 28 on the other two faces of the nuclear fuel rod cell. If the springs 28 and the dimples 29 are too low in elasticity, it is difficult to arrange the nuclear fuel rod 11 at a preset position, so that there is a possibility that supportability of the nuclear fuel rod 11 will become unsound. In contrast, if the springs 28 and the dimples 29 are too high in elasticity, defects such as scratches may occur on a surface of the nuclear fuel rod 11 as a result of excessive frictional resistance occurring when the nuclear fuel rod 11 is inserted into the nuclear fuel rod cell. Further, it is impossible to properly cover lengthwise growth of the nuclear fuel rod 11, which is caused by neutron irradiation occurring during operation of the nuclear reactor, so that the nuclear fuel rod 11 becomes bent, i.e. the nuclear fuel rod 11 can exhibit the phenomenon of flexure. When bent, the nuclear fuel rod 11 approaches or contacts other neighboring nuclear fuel rods 11, so that the coolant channel between the nuclear fuel rods is narrowed or blocked. Here, the coolant rapidly flows from bottom to top of the reactor core in an axial direction through sub-channels 25, each of which is surrounded by four nuclear fuel rods 11 or by three nuclear fuel rods 11 and one guide tube 14. In other words, the sub-channel 25 refers to a space that is surrounded by the nuclear fuel rods 11, and particularly to a passage whose circumference has spaces which allow a fluid to freely move to the adjacent fluid channels. As described above, when the coolant channel is narrowed or blocked, the heat generated from the nuclear fuel rod is not effectively transmitted to the coolant, thereby increasing a temperature of the nuclear fuel rod. As such, the possibility of generating departure from nucleate boiling (DNB) is increased, which is the main cause of a reduction of nuclear fuel output. The upper end fitting 12 and the lower end fitting 13 function to fix and support the nuclear fuel assembly 10 to and on upper and lower structures of the reactor core. The lower end fitting 13 includes a filter for filtering foreign materials flowing in the reactor core (i.e. a foreign material filter, not shown). FIG. 6 is a schematic top plan cross-sectional view illustrating a dual-cooled nuclear fuel rod, and FIG. 7 is a schematic top plan view illustrating a nuclear fuel assembly into which the annular nuclear fuel rods of FIG. 6 are inserted. Referring to FIGS. 6 and 7, the dual-cooled nuclear fuel rod has an annular structure instead of a cylindrical structure, and is disclosed in U.S. Pat. Nos. 3,928,132 and 6,909,765. The dual-cooled nuclear fuel rod 30 having the annular structure includes an annular pellet 31, an inner cladding tube 32 installed on the inner circumference of the pellet 31, and an outer cladding tube 33 installed on the outer circumference of the pellet 31. The dual-cooled nuclear fuel rod 30 having this structure allows the coolant to flow in the outside as well as in the inside of the dual-cooled nuclear fuel rod 30, so that double heat transfer can occur so that the center of the dual-cooled nuclear fuel rod 30 can be maintained at a low temperature. In addition, the dual-cooled nuclear fuel rod 30 increases a heat transfer area to allow high burnup and output to be obtained. As described above, when the center temperature of the dual-cooled nuclear fuel rod 30 is kept low, the possibility of the fuel being damaged as a result of the center temperature of the dual-cooled nuclear fuel rod 30 increasing is lowered, so that the safety margin of the dual-cooled nuclear fuel rod 30 can be increased. However, in order to be structurally compatible with an existing pressurized light water reactor core, the position of each guide tube 14 cannot be changed in the nuclear fuel assembly 10, and the dual-cooled nuclear fuel rod 30 has an outer diameter greater than that of an existing cylindrical nuclear fuel rod. As such, a gap between the dual-cooled nuclear fuel rods is considerably narrowed compared to that between the cylindrical nuclear fuel rods. For example, in the case where the nuclear fuel assembly is configured to have the dual-cooled nuclear fuel rods inserted in a 12×12 array, the gap between the dual-cooled nuclear fuel rods is reduced from 3.35 mm, which is the existing gap, to about 1.24 mm. Thus, due the narrow gap between the dual-cooled nuclear fuel rods, the spacer grids that have been developed up to now cannot be used for the dual-cooled nuclear fuel rods 30 without being changed. In detail, the thickness, 0.475 mm, of a unit spacer grid strap of the existing spacer grid is subtracted from the gap, 1.24 mm, between the dual-cooled nuclear fuel rods, and than the obtained result is divided into halves again. As a result, the gap between the unit spacer grid strap and the nuclear fuel rod merely amounts to about 0.383 mm. It is impossible to design the spring having rigidity and hydraulic characteristics (mainly, pressure loss) of the existing spacer grid by applying the shape and supporting point of the existing spring within a gap which is as narrow as this. Further, the channel of the coolant is reduced by this narrow gap, so that a cooling function of the coolant is reduced. Accordingly, the present invention has been made keeping in mind the above problems occurring in the related art, and example embodiments of the present invention are directed toward providing a spacer grid for nuclear fuel rods, capable of properly supporting and cooling the nuclear fuel rods in spite of a gap between the nuclear fuel rods which is reduced by an increase in outer diameter of each nuclear fuel rod. According to an aspect of the present invention, there is provided a unit spacer grid strap. The unit spacer grid strap includes: a plurality of first convexities protruding in one direction so as to be placed in contact with a nuclear fuel rod; a plurality of second convexities alternating with the first convexities, protruding in the other direction so as to be in contact with another nuclear fuel rod; and a plurality of joints, each of which connects the first convexity and the second convexity. Here, each of the first and second convexities may include: an intermediate support continuously connected with the joint between the first convexity and the second convexity; an upper support spaced apart from the intermediate support, and continuously connected with one of the two neighboring joints; and a lower support spaced apart from the intermediate support, and continuously connected with the other of the two neighboring joints. Further, the upper support and the lower support may have point symmetry with respect to a middle point of the intermediate support. The intermediate support, the upper support, and the lower support may have a corrugated shape in a long-axial direction. Each of the first and second convexities may include a middle face and a pair of inclined faces so as to form a symmetric line with respect to a longitudinal central axis of the middle face, and each inclined face may include at least one sub-convexity protruding in a direction in which each of the first and second convexities protrudes. The sub-convexities protruding from the pair of inclined faces may be line symmetrical with respect to the middle face. Each sub-convexity may be continuously connected to the corresponding inclined face only at one end thereof. At least one of the first and second convexities may have a larger diameter than the others. According to another aspect of the present invention, there is provided a unit spacer grid. The unit spacer grid includes: a plurality of unit spacer grid straps disposed at regular intervals; and a pair of fixing grid straps connected to respective opposite ends of the unit spacer grid straps so as to fix the unit spacer grid straps. Here, each fixing grid strap may include a plurality of slits, into each of which the unit spacer grid strap is inserted. According to another aspect of the present invention, there is provided a spacer grid for nuclear fuel rods. The spacer grid for nuclear fuel rods includes a plurality of unit spacer grids stacked one on top of another. The unit spacer grids may be rotated at a predetermined angle when being stacked. Here, the predetermined angle may be either a 90 or 180 degree unidirectional rotation made when the unit spacer grids are each being stacked. The unit spacer grids may be coupled by fixing rods when being stacked. The fixing rods may be coupled to the respective four corners or middles of four faces of the unit spacer grids. According to another aspect of the present invention, there is provided a spacer grid for nuclear fuel rods. The spacer grid for nuclear fuel rods includes a plurality of unit spacer grids stacked one on top of another. Each unit spacer grid includes: a plurality of unit spacer grid straps disposed at regular intervals in a row, and a plurality of fixing grid straps connected to respective opposite ends of the unit spacer grid straps so as to fix the unit spacer grid straps. Each unit spacer grid strap may have convexities alternating with each other on opposite sides thereof at regular intervals, and at least one of the convexities may have a diameter greater than the others. The unit spacer grids may be rotated in one direction at an angle of 90 or 180 degrees when being stacked. Here, each convexity may be partitioned into an intermediate support, an upper support above the intermediate support, and a lower support below the intermediate support, and the upper support may be configured so that one end thereof adjacent to the intermediate support is suspended in a space. The lower support may be configured so that one end thereof adjacent to the intermediate support is suspended in the space, and the upper support and the lower support may have point symmetry with respect to a middle point of the intermediate support. Each convexity may includes a middle face and inclined faces inclined toward opposite sides of the middle face, and each inclined face may include at least one sub-convexity protruding in a direction in which each convexity protrudes. The sub-convexity may be at least configured so that one end thereof is suspended in a space. The stacked unit spacer grids may be coupled by fixing rods inserted into the respective four corners or middles of four faces thereof. According to the spacer grid for nuclear fuel rods, although a dual-cooled nuclear fuel rod has a greater outer diameter than an existing nuclear fuel rod and thus a gap between the dual-cooled nuclear fuel rods inserted into an existing spacer grid is reduced, the spacer grid for nuclear fuel rods formed by stacking the unit spacer grids is capable of adapting to a change in the vibrational characteristics of the dual-cooled nuclear fuel rod. Thus, the nuclear fuel rod supports formed on the spacer grid for nuclear fuel rods, i.e. the convexities 110 functioning as conventional spring and dimples, can be not only freely changed in number and shape, but also exclude structures inhibiting the flow of a coolant to produce improved effects form the thermal-hydraulic aspect. Further, the spacer grid for nuclear fuel rods is formed by stacking the unit spacer grids, so that the strength of the spacer grid for nuclear fuel rods can be improved. In addition, the spacer grid for nuclear fuel rods may be applied to the spacer grid of a conventional 16×16 nuclear fuel assembly by changing the position and number of the convexities, and be applied to a purpose for supporting a structure contacted with a fluid or an elongated tube in ordinary industries. Reference will now be made in greater detail to a spacer grid for nuclear fuel rods according to an example embodiment of the invention with reference to the accompanying drawings. FIG. 8 illustrates a unit spacer grid strap according to an embodiment of the present invention. Referring to FIG. 8, a unit spacer grid strap 100 may be configured so that a short axis thereof is shorter than that of a conventional unit spacer grid strap, and includes a plurality of first convexities 110, a plurality of second convexities 120, and a plurality of joints 130. The first convexities 110 and the second convexities 120 may alternate with the joints 130. When the first convexities 110 protrude in one direction, the second convexities 120 may protrude in the other direction opposite that in which the first convexities 110 protrude. The protruding parts of the first and second convexities 110 and 120 may function as springs, and come into contact with and elastically support nuclear fuel rods, particularly dual-cooled nuclear fuel rods. At least one of the first and second convexities 110 and 120 may be formed so as to have a greater diameter than the others in order to insert a guide tube or a measurement tube. The convexities described below conceptually include the first and second convexities 110 and 120. The following description will be made taking the first convexities 110 by way of example. FIG. 9 illustrates a first embodiment of a convexity in the unit spacer grid strap of FIG. 8. Referring to FIG. 9, the convexity 110 may includes an intermediate support 111, an upper support 112, and a lower support 113. The intermediate support 111 may be formed in the middle of the convexity 110, and opposite ends thereof may be continuously connected to the joints 130 on opposite sides of the convexity 110. The upper support 112 may be formed above the intermediate support 111, and only one end thereof may be continuously connected to the joint 130 on one side of the convexity 110. In detail, the upper support 112 has a shorter horizontal length than the intermediate support 111, so that the other end thereof can be suspended in a space between the joint 130 and the neighboring joint 130 without being connected between the joint 130 and the neighboring joint 130 unlike the intermediate support 111. The lower support 113 may be formed above the intermediate support 111, and only one end thereof may be continuously connected to the joint 130 on the other side of the convexity 110. In detail, the upper support 112 may be connected to the joint 130 on one side of the convexity 110, and the lower support 113 may be connected to the joint 130 on the other side of the convexity 110. Like the upper support 112, the lower support 113 also has a shorter horizontal length than the intermediate support 111, so that the other end thereof can be suspended in the space between the joint 130 and the neighboring joint 130 without being connected between the joint 130 and the neighboring joint 130 unlike the intermediate support 111. The intermediate support 111, the upper support 112, and the lower support 113 may be formed by cutting out the upper and lower portions of the convexity 110 in L and reverse L shapes. The upper support 112 and the lower support 113 have point symmetry with respect to the middle point of the intermediate support 111. In this structure, the convexity 110, particularly the intermediate support 111, the upper support 112, and the lower support 113 come into surface or line contact with the nuclear fuel rod in a narrow space between the nuclear fuel rods, thereby making it possible to sufficiently adapt to a change in the vibrational characteristics of the nuclear fuel rod. In particular, the upper support 112 and the lower support 113 are partially suspended in the space, so that the force used to elastically support the nuclear fuel rod can be increased. FIG. 10 illustrates a modification made to the convexity of FIG. 9. Referring to FIG. 10, the convexity 110, particularly the intermediate support 111, the upper support 112, and the lower support 113 may have a corrugated shape in which crests and troughs of waves alternate with each other in a longitudinal (axial) direction (or in a horizontal direction). In particular, in the intermediate support 111, the upper support 112, and the lower support 113, portions that are in contact with the nuclear fuel rod may have a shape of the trough of a wave so as to surround the outer circumference of the nuclear fuel rod. When the convexity 110 is formed in the corrugated shape described above, the convexity 110 can increase the elastic supporting force used to elastically support the nuclear fuel rod. FIGS. 11, 12 and 13 illustrate a second embodiment of a convexity in the unit spacer grid strap of FIG. 8. Referring to FIG. 11, the convexity 110 may include a middle face 114 and a pair of inclined faces 115 so as to be line symmetrical with respect to a longitudinal central axis of the middle face 114. Each inclined face 115 is provided with a sub-convexity 116, which may protrude in a direction in which the convexity 110 protrudes. The sub-convexity 116 may be obtained by forming a pair of parallel slits in each inclined face 115, and then protruding a portion between the slits using mechanical working such as drawing. Referring to FIG. 12, the sub-convexity 116 is cut out at an upper or lower end thereof, so that only one end thereof can be connected to the inclined face 115, and the other end thereof can be suspended in a space. Referring to FIG. 13, each inclined face 115 may be provided with a plurality of sub-convexities 116. Here, one end of each sub-convexity may be cut out on the side of the middle face 114 so as to be suspended in a space, and only the other end of each sub-convexity may be connected to the inclined face 115. With this structure, the convexity 110, particularly one or more sub-convexities 116 of each inclined face 115, comes into surface or line contact with the nuclear fuel rod in the narrow space between the nuclear fuel rods, thereby making it possible to sufficiently adapt to a change in the vibrational characteristics of the nuclear fuel rod. In particular, each sub-convexity 116 is partially suspended in the space, so that the force elastically supporting the nuclear fuel rod can be increased. FIG. 14 is a top plan view illustrating a unit spacer grid formed by the unit spacer grid straps of FIG. 8. Referring to FIG. 14, the unit spacer grid 200 may be formed by disposing a plurality of unit spacer grid straps 100 at regular intervals, and connecting a pair of fixing grid straps 210 to respective opposite ends of the unit spacer grid straps 100. Each fixing grid strap 210 may be provided with a plurality of slits (not shown), into which first ends of the unit spacer grid straps 100 may be inserted respectively. In order to reinforce the connection between the unit spacer grid straps 100 and the fixing grid straps 210, the connected portions may be welded. Meanwhile, among the unit spacer grid straps 100 arranged in a row, the two disposed on the outermost sides may have the convexities protruding only in an inward direction. In detail, the unit spacer grid straps 100 are configured so that the first and second convexities 110 and 120 alternately protrude so as to be opposed to each other in the opposite direction. Here, the two unit spacer grid straps 100 disposed on the outermost sides are configured so that only one of the first and second convexities 110 and 120, i.e. only the convexities protruding only in the inward direction, face the neighboring unit spacer grid strap 100. FIGS. 15 and 16 are perspective views illustrating a spacer grid for nuclear fuel rods in which the unit spacer grids of FIG. 14 are stacked. Referring to FIGS. 15 and 16, the spacer grid 300 for nuclear fuel rods may be formed by stacking a plurality of unit spacer grids 200. When stacked, the unit spacer grids 200 may be rotated relative to each other by a predetermined angle. More specifically, when stacked, the unit spacer grids 200 may be rotated relative to each other by an angle of 90 or 180 degrees. In the case where the unit spacer grids 200 are rotated by every 90 degrees when stacked, each nuclear fuel rod may be elastically supported at four points by the convexities 110. In the case where the unit spacer grids 200 are rotated by 180 degrees when stacked, each nuclear fuel rod may be elastically supported at two points by the convexities 110. The stacked unit spacer grids 200 may reinforce the stacked connection by coupling fixing rods 310 to the respective four corners or middles of four faces. Although a dual-cooled nuclear fuel rod has a greater outer diameter than an existing nuclear fuel rod and thus a gap between the dual-cooled nuclear fuel rods inserted into an existing spacer grid is reduced, the spacer grid 300 for nuclear fuel rods having the aforementioned structure formed by stacking the unit spacer grids 200 is capable of adapting to a change in the vibrational characteristics of the dual-cooled nuclear fuel rod. Thus, the nuclear fuel rod supports formed on the spacer grid 300 for nuclear fuel rods, i.e. the convexities 110 functioning as conventional spring and dimples, can be not only freely changed in number and shape, but also exclude a structure which would inhibit the flow of a coolant to produce improved effects from the thermal-hydraulic aspect. Further, the spacer grid 300 for nuclear fuel rods is formed by stacking the unit spacer grids 200, so that the strength of the spacer grid 300 for nuclear fuel rods can be improved upon. Meanwhile, the spacer grid 300 for nuclear fuel rods may be applied to the spacer grid of a conventional 16×16 nuclear fuel assembly by changing position and number of the convexities, and be applied for the purpose of supporting a structure contacted with a fluid or an elongated tube in non-nuclear industries. Although example embodiments of the present invention have been described for illustrative purposes, those skilled in the art will appreciate that various modifications, additions and substitutions are possible, without departing from the scope and spirit of the invention as disclosed in the accompanying claims.
summary
claims
1. An X-ray beam conditioning device comprising:a crystal holder for supporting both a first crystal block that diffracts X-ray by a specific diffraction angle and a second crystal block that diffracts X-ray by a specific diffraction angle, said first crystal block and said second crystal block being fixedly mounted on said crystal holder, respectively, so that said second crystal block is configured to diffract X-ray emerging from said first crystal block; andcrystal-angle adjusting means for rotating said crystal holder around an axis extending at a right angle to a plane including an optical axis of X-ray, and fixedly supporting said crystal holder at the rotated position,wherein said crystal holder rigidly holds said first crystal block and said second crystal block at such an angle to each other that both crystal blocks diffract X-ray, andsaid first crystal block and said second crystal block are channel-cut crystals that each have two X-ray reflecting surfaces, which oppose each other,said first crystal block is positioned nearer an X-ray source than said second crystal block,said crystal-angle adjusting means rotates said crystal holder to change an angle at which X-ray generated by said X-ray source is applied to one X-ray reflecting surface of said first crystal block, anda rotation axis of said crystal holder extends in one X-ray reflecting surface of said first crystal block. 2. The X-ray beam conditioning device according to claim 1, wherein said crystal-angle adjusting means has a motor whose output shaft is controllable according to a rotation angle, and said crystal holder is coupled directly to said output shaft of said motor. 3. The X-ray beam conditioning device according to claim 1, wherein said crystal-angle adjusting means has a rotary mechanism of a tangent bar type, and said crystal holder is secured to an output shaft of said rotary mechanism of the tangent bar type,wherein said rotary mechanism of the tangent bar type has a rotary stage fixedly holding said crystal holder, a control rod extending outwards from said rotary stage, an eccentric cam abutting on the end of said control rod, and a motor for rotating said eccentric cam. 4. An X-ray analysis apparatus comprising:an X-ray source that generates X-ray to be applied to a sample;X-ray detecting means for detecting X-ray emitted from said sample; andan X-ray beam conditioning device that is arranged between said X-ray source and said sample,wherein the X-ray beam conditioning device is the X-ray beam conditioning device described in claim 1. 5. The X-ray analysis apparatus according to claim 4, wherein said X-ray detecting means detects X-ray diffracted by said sample, while said X-ray detecting means is held at a specific angle relative to X-ray applied from said X-ray source to said sample, and while an angle at which X-ray is applied to said sample is being changed over an angle range for measuring rocking curve. 6. The X-ray analysis apparatus according to claim 4, wherein said X-ray detecting means detects X-ray diffracted by said sample, while an angle at which the X-ray detecting means is held relative to X-ray applied from said X-ray source to said sample is being changed, and while an angle at which X-ray is applied to said sample is being scanned. 7. The X-ray analysis apparatus according to claim 4, wherein an angle at which X-ray is applied to said sample is set to a small angle, and said X-ray detecting means detects X-ray totally reflected by said sample. 8. An X-ray analysis apparatus comprising:an X-ray source that generates X-ray to be applied to a sample;X-ray detecting means for detecting X-ray emitted from said sample; andan X-ray beam conditioning device that is arranged between said sample and said X-ray detecting means,wherein said X-ray beam conditioning device is the X-ray beam conditioning device described in claim 1. 9. An X-ray beam conditioning device comprising:a crystal holder for supporting both a first crystal block that diffracts X-ray by a specific diffraction angle and a second crystal block that diffracts X-ray by a specific diffraction angle, said first crystal block and said second crystal block being fixedly mounted on said crystal holder, respectively, so that said second crystal block is configured to diffract X-ray emerging from said first crystal block; andcrystal-angle adjusting means for rotating said crystal holder around an axis extending at a right angle to a plane including an optical axis of X-ray, and fixedly supporting said crystal holder at the rotated position,wherein said crystal holder rigidly holds said first crystal block and said second crystal block at such an angle to each other that both crystal blocks diffract X-ray, andsaid first crystal block and said second crystal block are channel-cut crystals that each have two X-ray reflecting surfaces, which oppose each other,said first crystal block is positioned nearer an X-ray source than said second crystal block,said crystal-angle adjusting means rotates said crystal holder to change an angle at which X-ray generated by said X-ray source is applied to one X-ray reflecting surface of said first crystal block, anda rotation axis of said crystal holder extends through said first crystal block other than at X-ray reflecting surfaces of said first crystal block. 10. The X-ray beam conditioning device according to claim 9, wherein said crystal-angle adjusting means has a motor whose output shaft is controllable according to a rotation angle, and said crystal holder is coupled directly to said output shaft of said motor. 11. The X-ray beam conditioning device according to claim 9, wherein said crystal-angle adjusting means has a rotary mechanism of a tangent bar type, and said crystal holder is secured to an output shaft of said rotary mechanism of the tangent bar type,wherein said rotary mechanism of the tangent bar type has a rotary stage fixedly holding said crystal holder, a control rod extending outwards from said rotary stage, an eccentric cam abutting on the end of said control rod, and a motor for rotating said eccentric cam. 12. An X-ray analysis apparatus comprising:an X-ray source that generates X-ray to be applied to a sample;X-ray detecting means for detecting X-ray emitted from said sample; andan X-ray beam conditioning device that is arranged between said X-ray source and said sample,wherein the X-ray beam conditioning device is the X-ray beam conditioning device described in claim 9. 13. The X-ray analysis apparatus according to claim 12, wherein said X-ray detecting means detects X-ray diffracted by said sample, while said X-ray detecting means is held at a specific angle relative to X-ray applied from said X-ray source to said sample, and while an angle at which X-ray is applied to said sample is being changed over an angle range for measuring rocking curve. 14. The X-ray analysis apparatus according to claim 12, wherein said X-ray detecting means detects X-ray diffracted by said sample, while an angle at which the X-ray detecting means is held relative to X-ray applied from said X-ray source to said sample is being changed, and while an angle at which X-ray is applied to said sample is being scanned. 15. The X-ray analysis apparatus according to claim 12, wherein an angle at which X-ray is applied to said sample is set to a small angle, and said X-ray detecting means detects X-ray totally reflected by said sample. 16. An X-ray analysis apparatus comprising:an X-ray source that generates X-ray to be applied to a sample;X-ray detecting means for detecting X-ray emitted from said sample; andan X-ray beam conditioning device that is arranged between said sample and said X-ray detecting means,wherein said X-ray beam conditioning device is the X-ray beam conditioning device described in claim 9. 17. An X-ray beam conditioning device comprising:a crystal holder for supporting both a first crystal block that diffracts X-ray by a specific diffraction angle and a second crystal block that diffracts X-ray by a specific diffraction angle, said first crystal block and said second crystal block being fixedly mounted on said crystal holder, respectively, so that said second crystal block is configured to diffract X-ray emerging from said first crystal block; andcrystal-angle adjusting means for rotating said crystal holder around an axis extending at a right angle to a plane including an optical axis of X-ray, and fixedly supporting said crystal holder at the rotated position,wherein said crystal holder rigidly holds said first crystal block and said second crystal block at such an angle to each other that both crystal blocks diffract X-ray, andsaid first crystal block and said second crystal block are channel-cut crystals that each have two X-ray reflecting surfaces, which oppose each other,said first crystal block is positioned nearer an X-ray source than said second crystal block,said crystal-angle adjusting means rotates said crystal holder to change an angle at which X-ray generated by said X-ray source is applied to one X-ray reflecting surface of said first crystal block, anda rotation axis of said crystal holder extends outside said first crystal block and passes a point closer to said X-ray source than to said first crystal block. 18. The X-ray beam conditioning device according to claim 17, wherein said crystal-angle adjusting means has a motor whose output shaft is controllable according to a rotation angle, and said crystal holder is coupled directly to said output shaft of said motor. 19. The X-ray beam conditioning device according to claim 17, wherein said crystal-angle adjusting means has a rotary mechanism of a tangent bar type, and said crystal holder is secured to an output shaft of said rotary mechanism of the tangent bar type,wherein said rotary mechanism of the tangent bar type has a rotary stage fixedly holding said crystal holder, a control rod extending outwards from said rotary stage, an eccentric cam abutting on the end of said control rod, and a motor for rotating said eccentric cam. 20. An X-ray analysis apparatus comprising:an X-ray source that generates X-ray to be applied to a sample;X-ray detecting means for detecting X-ray emitted from said sample; andan X-ray beam conditioning device that is arranged between said X-ray source and said sample,wherein the X-ray beam conditioning device is the X-ray beam conditioning device described in claim 17.
description
1. Field of the Invention The invention is in the field of particle sources and more specifically in the field of particle sources configured for medical applications. 2. Related Art It has been shown that high-energy particles can be advantageously used for medical treatment of cancer. These high-energy particles typically have energies greater than 20 MeV (million electron volts). For example, protons with energies between 70 MeV and 250 MeV can be used to deposit energy at a very precise depth within a human body. High-energy protons are generated in a particle accelerator and delivered to a patient at a treatment station. A typical treatment station includes an adjustable gurney or chair configured to position the patient relative to a fixed proton beam. In some instances, the output of the particle accelerator is directed through two alternative paths using particle transport optics such as magnets and electric fields. For example, in one instance a first set of particle transport optics is used to direct protons from above a patient and a second set of particle transport optics is used to direct protons toward the patient from the side at an angle 90 degrees from the first set of particle transport optics. One limitation of this arrangement is that each separate path requires a separate set of expensive particle transport optics and a separate particle beam nozzle. During a treatment the depth of proton penetration and the position of the proton beam may be varied in order to treat a three dimensional volume within a patient. Depth control is achieved by varying the energy of the protons. This variation can be achieved by passing the protons through varying lengths of an energy adsorbing material or by using a particle source capable of generating particles at selectable energies. The proton beam may be applied over an area perpendicular to the depth dimension by either scanning or scattering the proton beam. Scattering or energy variation of the proton beam is optionally performed in more than one stage. For example, a first scattering step may be applied as the protons leave the particle accelerator and a second scattering step may be applied after the protons pass through final beam steering elements. Two steps are required when the final beam steering elements cannot handle a desired final spatial or energetic distribution. A path through which particles are transported typically includes a proton nozzle. Proton nozzles can be designed for special purposes, for example, double scattering nozzles, single scattering nozzles, scanning nozzles, and other specialized nozzles known in the art. Different medical treatments require the use of different proton nozzles each weighing one thousand or more pounds and costing hundreds of thousands of dollars. Changing nozzles is a time consuming and labor intensive process that limits the flexibility of treatments particularly between successive patients and causes system downtime. For the various reasons discussed above, and additional reasons, there is a need for improved sources of high-energy particles. Some embodiments include a particle source coupled to three or more alternative beam paths. These alternative beam paths are configured to direct protons or other nuclei toward a patient from a variety of different directions. These different directions may be significantly greater than or less than 90 degrees apart and may be disposed in different planes. Some embodiments include automated systems and methods of changing particle beam nozzles in a particle beam path and/or changing particle beam nozzles between particle beam paths. For example, various embodiments include a rail system configured to move particle beam nozzles from a first particle beam path to a second particle beam path, from a storage location to a particle beam path, and/or from a first treatment station to a second treatment station. Particle beam nozzles can be moved into position relative to a particle beam path automatically during a treatment session that includes more than one separate particle dosing of a single patient. Thus, a patient can be treated using more than one type of nozzle during a single treatment session. Further, the same nozzle can be used in more than one particle beam path during the same treatment session. Although examples discussed herein are related to proton beams, the illustrated embodiments can be applied to other particle beams such as Helium and Carbon beams, etc. Various embodiments include a system comprising a treatment station for particle beam treatment of a patient, a particle accelerator configured to generate a particle beam, and three or more particle beam paths through which the particle beam can be delivered to the patient at the treatment station, the three or more particle beam paths including at least two particle beam paths significantly greater than 90 degrees apart. Various embodiments include a system comprising a treatment station for particle beam treatment of a patient, a particle accelerator configured to generate a particle beam for treatment of the patient, and three or more particle beam paths through which the particle beam can be delivered to the patient at the treatment station, the three or more particle beam paths configured such that a first particle beam path is located outside of a plane including a second particle beam path and a third particle beam path. Various embodiments include a method of treating a patient, the method comprising generating a particle beam of high-energy particles, directing the particle beam of high-energy particles along a first beam path, treating the patient using the particle beam of high-energy particles directed along the first particle beam path, selecting a second particle beam path from among a plurality of alternative particle beam paths different from the first particle beam path, at least one of the plurality of alternative particle beam paths being disposed in part beneath the patient or the first particle beam path laying outside of a plane defined by two of the plurality of alternative particle beam paths, and directing the particle beam of high-energy particles along the second particle beam path. Various embodiments include a system comprising a first treatment station for particle beam treatment of a patient, a particle accelerator configured to generate a particle beam, a first particle beam path along which the particle beam can be delivered to the patient, a second particle beam path along which the particle beam can be delivered to the patient, a particle beam nozzle configured to modify the particle beam, and a transport system configured to automatically move the particle beam nozzle from the first particle beam path to the second particle beam path. Various embodiments include a method comprising generating a first particle beam of high-energy particles, directing the first particle beam of high-energy particles along a first particle beam path having first particle beam transport optics, modifying the first particle beam of high-energy particles using a particle beam nozzle, treating a first patient using the first particle beam of high-energy particles modified using the particle beam nozzle, selecting a second particle beam path having second particle beam transport optics, moving the particle beam nozzle from the first particle beam path to the second particle beam path under control of a processing unit, generating a second particle beam of high-energy particles, directing the second particle beam of high-energy particles along the second particle beam path, modifying the second particle beam of high energy particles using the particle beam nozzle, and treating the first patient or a second patient using the second particle beam of high-energy particles modified using the particle beam nozzle. Various embodiments include a system comprising a treatment station for particle beam treatment of a patient, a particle accelerator configured to generate a particle beam, a first particle beam path through which the particle beam can be delivered to the patient, and a transport system configured to automatically move a first of a plurality of different particle beam nozzles to the first particle beam path, and to separately move at least a second of the plurality of different particle beam nozzles to the first particle beam path. Various embodiments include a method comprising generating a first particle beam of high-energy particles, directing the first particle beam of high-energy particles along a particle beam path, modifying the first particle beam of high-energy particles using a first particle beam nozzle, treating a first patient using the first particle beam of high-energy particles modified using the first particle beam nozzle, exchanging the first particle beam nozzle for a second particle beam nozzle under control of a processing unit, generating a second particle beam of high-energy particles, directing the second particle beam of high-energy particles along the particle beam path, modifying the second particle beam of high energy particles using the second particle beam nozzle, and treating the first patient or a second patient using the second particle beam of high-energy particles modified using the second particle beam nozzle. Various embodiments include a system comprising a first particle beam nozzle configured for use in a first treatment type, a second particle beam nozzle configured for use in a second treatment type, a transport system configured to alternatively position under control of a processing unit the first particle beam nozzle and the second particle beam nozzle between a particle accelerator and a treatment station. Various embodiments include a particle beam nozzle comprising, a mount configured to alternatively hold the particle beam nozzle along each of a plurality of alternative particle beam paths, a positioner configured to automatically position the particle beam nozzle relative to a treatment station or one of the plurality of alternative particle beam paths, and an energy modifier configured to vary an energy of high-energy particles within each of the plurality of alternative particle beam paths. Various embodiments include a particle beam nozzle comprising a conveyance configured to automatically move the particle beam nozzle to a first particle beam path, a coupler configured to hold the particle beam nozzle relative to the first particle beam path, and a beam scanner configured to scan a particle beam of high-energy particles from the first particle beam path. Various embodiments include a system comprising a treatment station for particle beam treatment of a patient, a particle accelerator configured to generate a particle beam, and three or more particle beam paths through which the particle beam can be delivered to the patient at the treatment station. Various embodiments include a system comprising a treatment station for particle beam treatment of a patient, a particle accelerator configured to generate a particle beam, and a first particle beam path configured to deliver the particle beam to the patient from beneath the patient. Some embodiments include three or more alternative particle beam paths through which a particle beam can be delivered to a particular treatment station. At least part of each particle beam path typically includes a separate set of particle transport optics such as magnets or electric fields. The three or more particle beam paths may lie in a single plane or in two or more different planes. The three or more particle beam paths may also be configured to arrive at the treatment station at a variety of different angular separations. In some embodiments, the complexity of having multiple particle beam paths is reduced by the inclusion of particle beam nozzles that can be moved from one particle beam path to another automatically, e.g., under control of a processing unit or under the control of a device configured to operate without human intervention. For example, a particular particle beam nozzle may be moved from a first particle beam path to a second particle beam path. This can reduce the number of particle beam nozzles required to support the multiple particle beam paths and thus reduce costs. The movable particle beam nozzles also allow exchange of particle beam nozzles in a particular particle beam path, which exchange may be automated. For example, in some embodiments, a particle beam nozzle preferred for one type of treatment can easily and quickly be exchanged for a particle beam nozzle preferred for another type of treatment. By making this exchange under control of a processing unit, e.g., under the control of a processor, microprocessor, computer, electronic circuit, electronic controller, and/or the like, with or without software running thereon, the exchange can be performed with minimized downtime and even during a treatment session of a particular patient. As is described further herein, example mechanisms used to transport particle beam nozzles may include support, coupling and positioning elements, as well as a rail system, conveyance, gantry, carrier, belt, carrier, carriage, and/or the like. These mechanisms are typically automated, e.g., some or all of their operations are performed without the need for human intervention. Normally, automated mechanisms operate under the control of a processing unit. The processing unit may include logic configured for selecting a specific particle beam nozzle, selecting a specific particle beam path, controlling movement of the particle beam nozzle, positioning the particle beam nozzle, responding to an interlock, opening or closing a shutter, responding to a collision avoidance parameter, receiving data from a treatment plan, accessing a database including patient information, and/or the like. In various embodiments, the mechanisms used to transport particle beam nozzles are configured to move a particle beam nozzle between particle beam paths or exchange particle beam nozzles at a particle beam path in less than 24 hours, 12 hours, 6 hours, 2 hours, 1 hour, 30 minutes, 15 minutes, 10 minutes, or 5 minutes. Various embodiments include the use of movable particle beam nozzles at treatment stations having one, two, three or more particle beam paths. Automated mechanisms for manipulating particle beam nozzles may be contrasted with manual approaches in which changing of a nozzle could take hours or days, and result in system shutdown over an extended period. FIG. 1 is a block diagram of a multi-beam path Treatment System 100, according to various embodiments. Treatment System 100 includes at least a Particle Accelerator 110, a First Beam Path 120A, a First Nozzle 130A, and a Treatment Station 140. In various embodiments, Treatment System 100 includes further particle beam paths, such as a Second Beam Path 120B and a Third Beam Path 120C, and/or additional particle beam nozzles, such as a Second Nozzle 130B and a Third Nozzle 130C. First Nozzle 130A, Second Nozzle 130B and Third Nozzle 130C are optionally movable using a Transport System 150 and/or stored in a Nozzle Storage 160. Particle Accelerator 110 is a source of high-energy particles such as protons, Helium, Carbon, Neon, Argon, and/or some other stable or unstable elemental particle. For example Particle Accelerator 110 can include a cyclotron, synchrotron, linear accelerator, or any other device configured to accelerate particles. In various embodiments, these particles have energy greater than 20, 50, 70, 100, 250 or 500 MeV/u (MeV per nucleon). For example, in one embodiment Particle Accelerator 10 is configured to generate protons with energies between 70 and 250 MeV. These protons are generated in a particle beam having a cross-section as small as 1.0 millimeter (mm), and a kinetic energy distribution as narrow as 1%, 2%, 5%, 20% or 50% of the average particle energy. Such small cross-sections and narrow energy distributions are useful when the particle beam is to be turned or focused. For example, if magnets are used to turn the particle beam, a specific set of magnets will result in a turning radius that is a function of the kinetic energy, particle beams having greater kinetic energy distributions being more difficult to turn without particle loss. However, as discussed further herein, a greater kinetic energy distribution may be desirable when using the particle beam for medical treatment. Therefore, Particle Accelerator 110 optionally includes an energy broadener (e.g., range shifter) and/or a particle beam defocuser (e.g., scatterer) configured to vary the kinetic energy or increase the cross-section of the particle beam, respectively. Such particle beam broadeners and defocusers are known in the art. First Beam Path 120A, Second Beam Path 120B and Third Beam Path 120C are each particle beam paths through which the particle beam generated using Particle Accelerator 110 may travel to reach an intersection zone at Treatment Station 140. First Beam Path 120A, Second Beam Path 120B and Third Beam Path 120C may each have separate particle beam transport optics. The intersection zone is a zone in which a patient may be placed for treatment and may be a point, area or volume. As is described further herein, First Beam Path 120A, Second Beam Path 120B and Third Beam Path 120C may be configured to direct the particle beam to the intersection zone from a variety of different directions and at a variety of different angles. In some embodiments, these different directions and angles advantageously add flexibility to the treatment of a patient at Treatment Station 140. Each of First Beam Path 120A, Second Beam Path 120B and Third Beam Path 120C can include a variety of steering magnets, collimating elements, or the like. In some embodiments, each of First Beam Path 120A, Second Beam Path 120B and Third Beam Path 120C each include an interface configured to couple with First Nozzle 130A, Second Nozzle 130B and/or Third Nozzle 130C. First Nozzle 130A, Second Nozzle 130B and Third Nozzle 130C are configured to be disposed along First Beam Path 120, Second Beam Path 120B and/or Third Beam Path 120C. In some embodiments, First Nozzle 130A, Second Nozzle 130B and/or Third Nozzle 130C are each configured to be moved from along one particle beam path to along another particle beam path using Transport System 150. For example, Second Nozzle 130B may be moved from along Second Beam Path 120B to along Third Beam Path 120C using Transport System 150. Alternatively, Second Nozzle 130B may be exchanged for First Nozzle 130A along First Beam Path 120A using Transport System 150. Thus, the particle beam nozzles can be moved between particle beam paths and/or a single particle beam path may receive different particle beam nozzles. First Nozzle 130A, Second Nozzle 130B and Third Nozzle 130C are configured to modify the particle beam generated using Particle Accelerator 110, optionally in different ways. These modifications can include, for example, scattering, kinetic energy variation, and/or scanning the particle beam. Different particle beam nozzles may be configured to broaden the kinetic energy distribution or otherwise vary the kinetic energy by different amounts and, thus, control a volume within a patient in which treatment is directed. In various embodiments, First Nozzle 130A, Second Nozzle 130B and Third Nozzle 130C include double scattering nozzles, single scattering nozzles, scanning nozzles, or the like. Treatment Station 140 is configured for treating a patient using the particle beam generated by Particle Accelerator 110 and optionally modified by one of First Nozzle 130A, Second Nozzle 130B and/or Third Nozzle 130C. In some embodiments, Treatment Station 140 includes a patient support such as a platform, harness, chair, gurney, or the like. This patient support typically includes multiple degrees of freedom to position the patient and may be robotic. In some embodiments, Treatment Station 140 includes one or more openings (e.g., removable panels or panels including holes) configured for the particle beam to pass through from beneath the patient. Some embodiments include more than one of Treatment Station 140. These Treatment Station 140 are optionally located in different rooms. Transport System 150 is configured to move First Nozzle 130A, Second Nozzle 130B and/or Third Nozzle 130C, typically under control of a processing unit. Transport System 150 can include, for example, a processing unit configured to receive information from an encoder, mechanical contact, or other position sensor, a data input configured to receive instructions regarding where a particle beam nozzle should be moved, and logic configured to control the movement of a particle beam nozzle from one particle beam path to another, or the like. As described further herein, different particle beam paths may be associated with (e.g., directed toward) different treatment stations. Thus, Transport System 150 is optionally configured for moving First Nozzle 130A from a position relative to a first Treatment Station 140 to a position relative to a second Treatment Station 140. These first and second Treatment Station 140 can optionally be in different rooms. Transport System 150 further includes mechanisms for moving a particle beam nozzle. These mechanisms may include, for example, one or more of, a gantry, a system of one or more rails, a motor, a belt, a screw drive, a chain drive, a carriage, hydraulics, a conveyance such as a conveyor or carriage, and/or the like. For example, in some embodiments, Transport System 150 includes a gantry coupled to one or more of First Nozzle 130A, Second Nozzle 130B and Third Nozzle 130C, and configured to move these particle beam nozzles to positions along First Beam Path 120A, Second Beam Path 120B and/or Third Beam Path 120C. The motion of the gantry is optionally circular, in which case positions for the particle beam nozzles along the various particle beam paths may be distributed in a circular fashion around an intersection zone. In some embodiments, Transport System 150 further includes mechanisms for moving a particle beam nozzle in and out of the gantry. In other embodiments, Transport System 150 includes a rail system comprising one, two or more rails configured to support a carriage. The carriage includes a position sensor configured to determine the position of a particle beam nozzle and optionally a positioner configured to make fine adjustments in the position of the particle beam nozzle relative to a particle beam and/or Treatment Station 140. In various embodiments, Transport System 150 is configured to move a particle beam nozzle from along one particle beam path to along a second particle beam path, or from Nozzle Storage 160 to along a particle beam path in less than 15, 10, 5, 3, or 1 minutes, or less than 45, 30 or 15 seconds. For example, in one specific example, Transport system 150 is configured to move First Nozzle 130A from along First Beam Path 120A to along Second Beam Path 120B, or from Nozzle Storage 160 to along Third Beam Path 120C in less than 15, 10, 5, 3, or 1 minutes, or less than 45, 30 or 15 seconds. Movements between or to other particle beam paths may be accomplished in similar times. Some of these movement times are facilitated by a processing unit included in Transport System 150 and, as such, they may be achieved through automatic movement under processing unit control. Some of these movement times are used to move a particle beam nozzle to more than one particle beam path during a single treatment session without significant downtime. Transport System 150 optionally includes collision avoidance features. For example, in some embodiments Transport System 150 includes a sensor configured to halt movement of a particle beam nozzle when contact is made between the particle beam nozzle and an unexpected object (e.g., a patient or another particle beam nozzle). This sensor may be electrostatic, mechanical, electromagnetic, optical, or the like. Some or all of these collision avoidance features may be included in a particle beam nozzle. In some embodiments these collision avoidance features are configured to halt or otherwise change movement of the particle beam nozzle prior to an undesirable contact. In some embodiments, Transport System 150 includes a robot configured to move First Nozzle 130A, Second Nozzle 130B and/or Third Nozzle 130C to specific positions relative to one or more particle beam path. For example, Transport System 150 may include a robotic manipulator arm configured to move particle beam nozzles from one position to another. This robotic manipulator arm is optionally disposed on a movable support. Optional Nozzle Storage 160 is configured to store First Nozzle 130A, Second Nozzle 130B and/or Third Nozzle 130C when these particle beam nozzles are not disposed along a particle beam path. In some embodiments, Nozzle Storage 160 includes a controlled environment including, for example, a positive pressure atmosphere, or the like. Nozzle Storage 160 optionally includes access for replacement or maintenance of First Nozzle 130A, Second Nozzle 130B and/or Third Nozzle 130C. Nozzle Storage 160 is optionally configured to store particle beam nozzles configured for use at more than one of Treatment Station 140. While FIG. 1 illustrates three particle beam paths and three particle beam nozzles, alternative embodiments can include greater or fewer numbers of each of these features. For example, some embodiments include only one or two particle beam paths, while some embodiments include four or more alternative particle beam paths. Some embodiments include a single particle beam path configured to include several alternative particle beam nozzles and some embodiments include a single particle beam nozzle configured to be included in different particle beam paths. FIG. 2 illustrates a physical layout of Treatment System 100, according to various embodiments. This illustrated physical layout includes up to five alternative particle beam paths including, for example, First Beam Path 120A, Second Beam Path 120B, Third Beam Path 120C, a Fourth Beam Path 120D and a Fifth Beam Path 120E. Each of these particle beam paths optionally include a particle beam nozzle such as First Nozzle 130A, Second Nozzle 130B, Third Nozzle 130C, a Fourth Nozzle 130D and/or a Fifth Nozzle 130E. Fourth Beam Path 120D and Fifth Beam Path 120E include features and characteristics similar to those of First Beam Path 120A. Likewise, Fourth Nozzle 130D and Fifth Nozzle 130E include features and characteristics similar to those of First Nozzle 130A. Alternative embodiments include more than five alternative particle beam paths. The particle beam paths illustrated in FIG. 2 are optionally each configured to be coupled to the particle beam nozzles using an Interface 210. In some embodiments, Interface 210 is configured for the attachment of different particle beam nozzles. For example, in some embodiments, Interface 210 includes one or more guide pins configured for the alignment of a particle beam nozzle, such as First Nozzle 130A. In some embodiments, Interface 210 includes a mechanical, electronic or optical encoder or other position sensor configured for determining the position of a particle beam nozzle, such as First Nozzle 130A. In some embodiments, Interface 210 includes a particle transparent vacuum interface configured for the maintenance of a pressure differential between part of a particle beam path closer to Particle Accelerator 110 and part of the particle beam path closer to Treatment Station 140. Such particle transparent vacuum interfaces are known in the art. Interface 210 optionally includes a shutter configured to be closed to protect the particle transparent vacuum interface when a particle beam nozzle is not disposed in front of a particular Interface 210 and to be opened when a particle beam nozzle is disposed in front of the Interface 210. In these embodiments, each particle transparent vacuum interface is typically protected by either a closed shutter or by a particle beam nozzle. The shutter is optionally automatically opened and closed by the movement of a particle beam nozzle or by Transport System 150. The embodiments of Transport System 100 illustrated in FIG. 2 further include an optional Beam Conditioner 220 configured to modify the diameter and/or kinetic energy of the particle beam generated by Particle Accelerator 110. Beam Conditioner 220 may be disposed before or after the particle beam paths separate. For example, Beam Conditioner 220 may be disposed along all particle beam paths (as illustrated) or may be disposed such that it is only along First Beam Path 120A and/or Second Beam Path 120B. FIG. 2 illustrates one Trifurcation 230 and two Bifurcations 240 of particle beam paths. In alternative embodiments, different patterns of Trifurcations 230, Bifurcations 240 and/or greater divisions are used to generate 3, 4, 5 or more separate particle beam paths. Three or more of First Beam Path 120A, Second Beam Path 120B, Third Beam Path 120C, Fourth Beam Path 120D and Fifth Beam Path 120E are optionally coplanar. For example, in some embodiments all five of these particle beam paths lie in the same plane. In alternative embodiments, two, three or four of these particle beam paths lie in the same plane. For the purposes of this discussion, the plane in which a particle beam path lies, angles between particle beam paths, or other aspects of particle beam path orientation are defined by considering those parts of the particle beam paths between interface 210 and an Intersection Zone 250 disposed at Treatment Station 140. In some embodiments, two particle beam paths may be approximately collinear and arrive at Treatment Station 140 from opposite directions. Specifically, as illustrated in FIG. 2, First Beam Path 120A and Fifth Beam Path 120E are approximately collinear but arrive at Intersection Zone 250 from opposite directions. In some embodiments, particle beam paths arrive at Intersection Zone 250 at separations of substantially greater than or less than 90 degrees. For example, both Fourth Beam Path 120D and Fifth Beam Path 120E arrive at Intersection Zone 250 at an angle substantially greater than 90 degrees from First Beam Path 120A, while First Beam Path 120A and Second Beam Path 120B arrive at Intersection Zone 250 at an angle substantially less than 90 degrees. Substantially less than 90 degrees includes less than approximately 80 degrees in some embodiments, less than 70 degrees in further embodiments, and less than 60 degrees in still further embodiments. Substantially greater than 90 degrees includes more than 100 degrees in some embodiments, more than 110 degrees in further embodiments, and more than 120 degrees in still further embodiments. For example, in various embodiments these particle beam paths arrive at angles of at least 100, 110, 120 or 135 degrees. In various embodiments, these particle beam paths arrive at angles of less than 35, 50, 60, 70 or 80 degrees. In some embodiments, pairs of particle beam paths arrive at Intersection Zone 250 with different angular separations. For example, First Beam Path 120A and Third Beam Path 120C arrive at Intersection Zone 250 with an angular separation of approximately 45 degrees, while Second Beam Path 120B and Fifth Beam Path 120E arrive at Intersection Zone 250 with an angular separation of approximately 135 degrees. In some embodiments, three different particle beam paths arrive at Intersection Zone 250 spaced approximately 120 degrees from each other. In some embodiments, particle beam paths arrive at Intersection Zone 250 from both below and above a patient. For example, First Beam Path 120A arrives at Intersection Zone 250 from above while Fourth Beam path 120D and Fifth Beam Path 120E arrive at Intersection Zone 250 from below. Part of Fifth Beam Path 120E is, thus, disposed beneath the patient. In some embodiments, Treatment Station 140 includes openings to allow passage of a particle beam from below Treatment Station 140. FIG. 3 illustrates an alternative physical layout of Treatment System 100 in a perspective view, according to various embodiments. In these embodiments, three or more particle beam paths arrive at Intersection Zone 250 from along at least two different planes. Specifically, Third Beam Path 120C is not within a plane defined by First Beam Path 120A and Second Beam Path 120B. In some embodiments, First Beam Path 120A, Second Beam Path 120B and Third Beam Path 120C each arrive at Intersection Zone 250 at approximately 90 degrees of each other, as illustrated in FIG. 3. FIG. 4 illustrates an alternative layout of Treatment System 100, according to various embodiments. These embodiments include a Rail System 410 configured to position First Nozzle 130A, Second Nozzle 130B, Third Nozzle 130C, Fourth Nozzle 130D and/or Fifth Nozzle 130E relative to First Beam Path 120A, Second Beam Path 120B, Third Beam Path 120C, Fourth Beam Path 120D and/or Fifth Beam Path 120E. Rail System 410 is optionally further configured to move particle beam nozzles to and from Nozzle Storage 160. In various embodiments, Rail System 410 is included in Transport System 150 and includes a conveyance, a track, a gantry, a system of one or more rails, a motor, a belt, a screw drive, a chain drive, a carriage, hydraulics, and/or the like FIG. 5 illustrates a physical layout of Treatment System 100 including more than one Treatment Station 140, according to various embodiments of the invention. In these embodiments, different particle beam paths are optionally associated with different treatment stations. For example, as illustrated in FIG. 5, First Beam Path 120A is configured for treating a patient at a first Treatment Station 140A, while Second Beam Path 120B and Third Beam Path 120C are configured for treating a patient at a second Treatment Station 140B. A Barrier 520, such as a radiation shield or wall, optionally separates Treatment Station 140A and Treatment Station 140B. Thus, Treatment Station 140A and Treatment Station 140B may be in different rooms. Rail System 410 is optionally configured for moving particle beam nozzles between particle beam paths associated with different treatment stations and/or between different rooms. Each of these rooms optionally include one, two, three or more particle beam paths. Rail System 410 optionally includes a Switch 530 configured for moving particle beam nozzles to alternative paths of Transport System 150. FIG. 6 is a block diagram of a Particle Beam Nozzle 600, according to various embodiments. Particle Beam Nozzle 600 may be included in embodiments of particle beam nozzles, such as First Nozzle 130A, Second Nozzle 130B, Third Nozzle 130C, Fourth Nozzle 130D and/or Fifth Nozzle 130E. Particle Beam Nozzle 600 includes one or more of a Mount 610, an optional Conveyance 620, an optional Positioner 630, and an optional Coupler 640. These features are used for moving, supporting and positioning Particle Beam Nozzle 600 relative to a particle beam path or Treatment Station 140. Mount 610 is a supporting structure of Particle Beam Nozzle 600 that connects Particle Beam Nozzle 600 to Transport System 150. For example, Mount 610 may include a flange, bolting hardware, guide pins, or the like. In some embodiments, Mount 610 is connected directly to Transport System 150, and in other embodiments, Mount 610 is coupled to Transport System 150 via Conveyance 620. Conveyance 620 is a vehicle, carriage, cart, trolley, movable platform, or the like, configured to move along Transport System 150. For example, in some embodiments, Conveyance 620 is a self-propelled rail car configured to be coupled to one or more rails of Transport System 150. Conveyance 620 can include a position sensor configured to determine its position along Transport System 150. In some embodiments, Conveyance 620 is part of Transport System 150 rather than Particle Beam Nozzle 600. Positioner 630 is configured for making fine adjustments in the position of Particle Beam Nozzle 600 relative to a particle beam path or Treatment Station 140. In various embodiments, Positioner 630 is configured to position Particle Beam Nozzle 600 to an accuracy of 0.005, 0.01, 0.1, 0.2, 0.5 or 1.0 mm. Positioner 630 may include stepper motors, hydraulics, piezoelectric devices (PZTs) or the like. For example, in some embodiments, Positioner 630 is configured to move Particle Beam Nozzle 600 using a combination of stepper motors and hydraulics. In some embodiments, Particle Beam Nozzle 600 is first moved along a particle beam path using Conveyance 620 and then more precisely positioned using Positioner 630. Coupler 640 is configured to attach Particle Beam Nozzle 600 to Interface 210. For example, Coupler 640 may include locking mechanisms, clamps, guide pins, bolts, or the like. In some embodiments, Coupler 640 is configured to assure that Particle Beam Nozzle 600 is precisely positioned. Coupler 640 is optional, for example, in embodiments wherein Particle Beam Nozzle 600 does not make physical contact with Interface 210 or parts of a particle beam path. In some embodiments, Coupler 640 is configured to be moved relative to other parts of Particle Beam Nozzle 600. For example, in one embodiment, Coupler 640 is configured to move relative to Conveyance 620. As such, Conveyance 620 may be used to move Particle Beam Nozzle 600 close to Interface 210 and then while Conveyance 620 is held in a fixed position, Coupler 640 may be moved to attach to Interface 210. By moving Coupler 640 independently from Conveyance 620, Coupler 640 has the freedom of movement to respond to guide pins or other alignment features when attaching to Interface 210. Particle Beam Nozzle 600 optionally includes an Environmental Control 650 configured for controlling an environment within part of Particle Beam Nozzle 600. Environmental Control 650 may be configured to maintain part of Particle Beam Nozzle 600 at a reduced pressure, to maintain part of Particle Beam Nozzle 600 in a Helium atmosphere, or the like. Typically, Environmental Control 650 is moved between particle beam paths along with other parts of Particle Beam Nozzle 600. Particle Beam Nozzle 600 optionally includes a Shutter Control 660 configured to open and close a shutter included in Interface 210. For example, Shutter Control 660 may be configured to open a shutter when Particle Beam Nozzle 600 is moved along a particle beam path and to close the shutter when Particle Beam Nozzle 600 is moved out of the particle beam path. Shutter Control 660 can be a mechanical, electrical or optical mechanism. For example, Shutter Control 660 may include a protrusion configured to physically move the shutter when Coupler 640 is connected to Interface 210. Shutter Control 660 may include an electrical connection, a radio frequency identification (RFID) tag or bar code detectable by Interface 210 and configured to cause Interface 210 to move the shutter. Shutter Control 660 is optionally configured to be responsive to an interlock discussed elsewhere herein. Particle Beam Nozzle 600 optionally includes a Collimator 670. Collimator 670 may be a multi-leaf collimator, a micro multi-leaf collimator a fixed collimator, or the like. In some embodiments, Transport System 150 is configured to move Collimator 670 independently from other parts of Particle Beam Nozzle 600. Thus, Transport System 150 is optionally configured to move Collimator 670 into and out of a particle beam path separately from Particle Beam Nozzle 600. In some embodiments, Nozzle Storage 160 is configured for insertion of Collimator 670 into a particle beam nozzle. Particle Beam Nozzle 600 optionally includes a Beam Scanner 680 configured to scan a particle beam in a zone close to Treatment Station 140. Particle Beam Nozzle 600 optionally includes an Energy Modifier 690 configured to vary the kinetic energy of a particle beam. Energy Modifier 690 may be configured to vary the kinetic energy in a spatial and/or time dependent manner. For example, in some embodiments, Energy Modifier 690 includes a bolus configured for controlling the energy of particles in a spatial manner. Collimator 670, Beam Scanner 680 and/or Energy Modifier 690 are optionally movable independently of other parts of Particle Beam Nozzle 600 using Transport System 150. As such, they may be automatically added to or removed from Particle Beam Nozzle 600. In some embodiments, Nozzle Storage 160 includes features configured for performing this automatic addition or removal. In some embodiments, Particle Beam Nozzle 600 includes an Interlock 695 configured to ensure that the proper particle beam nozzle is disposed between Particle Accelerator 110 and Interaction Zone 250, and/or configured to ensure that the particle beam nozzle is properly positioned relative to a particle beam path. Interlock 695 can be mechanical, electrical, magnetic, optical, and/or the like. In some embodiments, Interlock 695 includes identifying features configured to identify the particle beam nozzle. This identifying feature may include a bar code, radio frequency identifying tag, electronic circuit, electronic characteristic, magnetic characteristic, optical characteristic, and/or the like. In some embodiments, all or part of Interlock 695 is included in Transport System 150, Interface 210 and/or other parts of Treatment System 100. In some embodiments, Interlock 695 is configured to assure that a correct component, such as Collimator 670, Beam Scanner 680, and/or Energy Modifier 690, is within a particle beam nozzle as required by a treatment plan for a specific patient. Interlock 695 optionally uses patient identity information for this purpose. For example, Interlock 695 may be configured to receive data from a barcode or radio frequency identification tag worn by a patient or from a database system before applying a particle beam to the patient. Particle Beam Nozzle 600 optionally includes a plurality of interlocks such as Interlock 695. For example, a first Interlock 695 configured to assure that a shutter is closed before moving a particle beam nozzle, a second Interlock 695 configured to assure that a correct particle beam nozzle is placed along a particle beam path, and a third interlock 695 configured to assure that the proper patient is positioned at Treatment Station 140. Particle Beam Nozzle 600 optionally includes a Collision Avoidance Feature 698 discussed elsewhere herein. FIG. 7 illustrates a method of operating a treatment system including a plurality of alternative particle beam paths, according to various embodiments. In this method, a beam of high-energy particles is directed through a plurality of alternative particle beam paths in order to treat one or more patients. In a Generate Beam Step 710, a particle beam is generated using Particle Accelerator 110. This particle beam may include protons at high energies, e.g., greater than 20 MeV. Alternatively, this particle beam may include Helium, Carbon or other types of nuclei. In a Direct Beam Step 720, the particle beam generated in Generate Beam Step 710 is directed along a first particle beam path, such as First Beam Path 120A. The first particle beam path typically includes electric or magnetic fields and/or other particle transport optics configured to steer the particle beam toward Treatment Station 140. In a Treat Patient Step 730, the particle beam directed along the first particle beam path is used to treat a patient at the treatment station. In a Select Second Path Step 740, a second particle beam path is selected from among a plurality of alternative particle beam paths different from the first particle beam path. The plurality of alternative particle beam paths may include, for example, Second Particle Beam Path 120B, Third Particle Beam Path 120C, and/or other particle beam paths discussed herein. One of the alternative particle beam paths is optionally significantly more that 90 degrees from the first particle beam path. For example, in one embodiment one of the alternative particle beam paths is 110 degrees or greater from the first particle beam path. One of the alternative particle beam paths is optionally configured to arrive at the treatment station from below the patient. In a Direct Beam Step 750, the particle beam generated in Generate Beam Step 710 is directed through the particle beam path selected in Select Second Path Step 740. In a Treat Patient Step 760, the patient is treated using the particle beam directed through the selected particle beam path. The patient is optionally moved between Treat Patient Step 730 and Treat Patient Step 760. In some embodiments, different patients are treated in Treat Patient Step 730 and Treat Patient Step 760 FIG. 8 illustrates a method of operating a treatment system including a particle beam nozzle configured to be moved between alternative particle beam paths, according to various embodiments. In this method, a particle beam nozzle is moved from one particle beam path to another particle beam path for the treatment of a patient. In a Generate Beam Step 810, a particle beam is generated. Generate Beam Step 810 is an embodiment of Generate Beam Step 710. In a Direct Beam Step 820, the particle beam generated in Generated Beam Step 810 is directed through a first particle beam path such as First Beam Path 120A. In a Modify Beam Step 830, the particle beam directed through the first particle beam path in Direct Beam Step 820 is modified using a particle beam nozzle, such as First Nozzle 130A. This modification can include changes in direction, kinetic energy, dispersion, beam diameter, or the like. For example, in one embodiment, the modification includes changing the direction of the particle beam in order to scan the particle beam over a treatment zone. In a Treat Patient Step 840, the particle beam modified in Modify Beam Step 830 is used to treat a patient at a treatment station. In a Select Second Beam Path Step 850, a second particle beam path is selected. This particle beam path may be directed at the same treatment station as the first particle beam path, or at a different treatment station. The second particle beam path may be, for example, Second Beam Path 120B or Third Beam Path 120C, or other particle beam paths discussed herein. In a Move Nozzle Step 860, the particle beam nozzle used to modify the particle beam in Modify Beam Step 830 is moved to the second particle beam path using Transport System 150. This movement is optionally performed using a processing unit. For example, a processing unit may be used to control the movement using the transport system and/or the processing unit may be used to position the particle beam nozzle precisely relative to the second particle beam path. The particle beam nozzle is optionally moved from one room to another room. The particle beam nozzle is optionally moved from above a patient below a patient. In a repeat of Generate Beam Step 810, the particle beam is again generated. In some embodiments, a single particle beam is generated continuously throughout the steps illustrated in FIG. 8. Thus, the repeat of Generate Beam Step 810 may be a continuation of the first Generate Beam Step 810. In other embodiments, the generation of a particle beam is halted during at least Move Nozzle Step 860, and then the particle beam is again generated in the repeat of Generate Beam Step 810. In a Direct Beam Step 870, the particle beam is directed through the second particle beam path. In a Modify Beam Step 880, the particle beam directed through the second particle beam path is modified using the particle beam nozzle. This modification is optionally the same as the modification of Modify Beam Step 830 and is made using the particle beam nozzle moved in Move Nozzle Step 860. In a Treat Patient Step 890, a patient is treated using the particle beam modified in Modify Beam Step 880. This patient may be the same patient treated in Treat Patient Step 840 or a different patient. If the same patient, then the patient is optionally moved between Treat Patient Step 840 and Treat Patient Step 890. In various embodiments, Treat Patient Step 840 and Treat patient Step 890 occur within 15, 10, 5 or 2 minutes of each other. FIG. 9 illustrates a method of operating a treatment system including a particle beam path configured to receive a plurality of alternative particle beam nozzles. In this method, two different particle beam nozzles are used to modify a particle beam passed through a single particle beam path. In a Generate Beam Step 910, a first particle beam is generated. Generate Beam Step 910 is an embodiment of Generate Beam Step 710. In a Direct Beam Step 920, the first particle beam generated in Generate Beam Step 910 is directed through a particle beam path, such as First Beam Path 120A. In a Modify Beam Step 930, the particle beam directed through a particle beam path in Direct Beam Step 920 is modified using a first particle beam nozzle, such as First Nozzle 130A. This modification may include changes in direction, dispersion, kinetic energy, beam diameter, or the like. For example, in one embodiment, the modification includes changing the kinetic energy in order to control a depth of treatment. In a Treat Patient Step 940, a patient is treated using the particle beam modified in Modify Beam Step 930. In an Exchange Nozzle Step 950, the first particle beam nozzle is exchanged for a second particle beam nozzle, such as Second Nozzle 130B, using Transport System 150. In some embodiments, this exchange is made while the patient is at Treatment Station 140. In various embodiments, this exchange is made in less than 15, 10, 5, or 2 minutes. The second particle beam nozzle is typically configured to modify the particle beam in a different manner or to a different degree than the first particle beam nozzle. Exchange Nozzle Step 950 is optionally performed under control of a processing unit. For example, in some embodiments, a processing unit is used to remove the first particle beam nozzle from the particle beam path and/or a processing unit is used to assure that the second particle beam nozzle is positioned correctly in the particle beam path. In a repetition of Generate Beam Step 910, a second particle beam is generated using Particle Accelerator 110. As with the repeat of Generate Beam Step 810, the repetition of Generate Beam Step 910 may be an interrupted or uninterrupted continuation of the first Generate Beam Step 910. In a Direct Beam Step 960, the second particle beam is directed through the particle beam path. In a Modify Beam Step 970, the second particle beam is modified using the second particle beam nozzle. This modification may include changes in direction, dispersion, kinetic energy, beam diameter, or the like. In a Treat Patient Step 980, the particle beam modified in Modify Beam Step 970 is used to treat a patient. This patient may be the same patient treated in Treat Patient Step 940 or a different patient. If the same patient, the patient is optionally moved between Treat Patient Step 940 and Treat Patient Step 980. Several embodiments are specifically illustrated and/or described herein. However, it will be appreciated that modifications and variations are covered by the above teachings and within the scope of the appended claims without departing from the spirit and intended scope thereof. For example, while processing unit control of Transport System 150 is discussed herein, all or part of Transport System 150 may be manual. Further, the labeling of particle beam paths and particle beam nozzles within the figures is for illustrative purposes only. Thus, attributes applied to one particle beam path or one particle beam nozzle may be applied to other particle beam paths or other particle beam nozzles. For example, while First Beam Path 120A is shown as coming from above Treatment Station 140 and Beam Path 120E is shown as coming from below Treatment Station 140, these labels and/or positions may be reversed. The embodiments discussed herein are illustrative of the present invention. As these embodiments of the present invention are described with reference to illustrations, various modifications or adaptations of the methods and or specific structures described may become apparent to those skilled in the art. All such modifications, adaptations, or variations that rely upon the teachings of the present invention, and through which these teachings have advanced the art, are considered to be within the spirit and scope of the present invention. Hence, these descriptions and drawings should not be considered in a limiting sense, as it is understood that the present invention is in no way limited to only the embodiments illustrated. In general, features or aspects shown or discussed in relation to one embodiment are not limited to that embodiment and can be used in different embodiments, and each embodiment need not contain each feature shown or described in relation to that embodiment.
summary
abstract
A power generation system includes an inert gas power source, a thermal/electrical power converter and a power plant. The thermal/electrical power converter includes a compressor with an output coupled to an input of the inert gas power source. The power plant has an input coupled in series with an output of the thermal/electrical power converter. The thermal/electrical power converter and the power plant are configured to serially convert thermal power produced at an output of the inert gas power source into electricity. The thermal/electrical power converter includes an inert gas reservoir tank coupled to an input of the compressor via a reservoir tank control valve and to the output of the compressor via another reservoir tank control valve. The reservoir tank control valve and the another reservoir tank control valve are configured to regulate a temperature of the output of the thermal/electrical power converter.
summary
summary
041586018
claims
1. Apparatus for loading a predetermined amount of nuclear fuel pellets into nuclear fuel elements comprising: a gas-tight housing having a sealing mechanism in the side thereof for accommodating the insertion of the open end of said fuel elements in a gas-tight manner; rows of nuclear fuel pellets disposed in colinear alignment with said open ends of said fuel elements for being loaded into said fuel elements; a drive shaft extending through said housing above and transverse to said rows of nuclear fuel pellets and being attached to a motor located outside said housing for providing a mechanism to load said fuel pellets; and a first gear disposed on said drive shaft, a second gear disposed on an axle arranged parallel to said drive shaft and above said rows of fuel pellets, a continuous drive line extending around said first gear and said second gear while being arranged substantially parallel to said rows of fuel pellets, and a dog pivotally attached to said drive line and extending downwardly into a position to contact said fuel pellets when said drive line is activated, said drive shaft being capable of rotating said first gear causing said drive line to move around said second gear thereby causing said dog to contact said fuel pellets, thus causing said fuel pellets to advance toward said fuel elements. a vibratory bed disposed between said rows of nuclear fuel pellets and said fuel elements for vibrating said fuel pellets, thereby advancing said fuel pellets into said fuel elements. a first stop arranged in substantial alignment with said rows of nuclear fuel pellets and said drive line and disposed above said rows of fuel pellets while extending down toward said fuel pellets for selectively contacting said fuel pellets thereby stopping said advance of said fuel pellets; and a second stop arranged in substantial alignment with said drive line and said first stop and disposed above said rows of fuel pellets while extending down toward said fuel pellets for selectively contacting said fuel pellets thereby stopping said advance of said fuel pellets, said first stop and said second stop together selectively stopping said advance of said fuel pellets. an indexing mechanism arranged near the end of said vibratory bed for grasping said open ends of said fuel elements while said fuel pellets are loaded into said fuel elements. an upper actuator attached to said housing above said open end of said fuel elements with an upper piston having one end disposed in said upper actuator and having an upper indexing block attached to the other end for selectively grasping said open end of said fuel elements with said upper indexing block; and a lower actuator attached to said housing below said open end of said fuel elements with a lower piston having one end disposed in said lower actuator and having a lower indexing block attached to the other end for selectively grasping said open end of said fuel elements between said upper indexing block and said lower indexing block. a photoelectric cell disposed in alignment with said second stop near the end of said vibratory bed for sensing the flow of said fuel pellets on said vibratory bed. 2. The apparatus according to claim 1 wherein said apparatus further comprises: 3. The apparatus according to claim 2 wherein said apparatus further comprises: 4. The apparatus according to claim 3 wherein said apparatus further comprises: 5. The apparatus according to claim 4 wherein said indexing mechanism comprises: 6. The apparatus according to claim 5 wherein said apparatus further comprises:
description
This application is filed under the provisions of 35 U.S.C. §371 and claims the benefit of International Patent Application No. PCT/SE2009/050579, filed on May 20, 2009 entitled “A Spacer Grid” in the name of Elena Calota, et al., which claims priority of Swedish Patent Application No. 0801249-4, filed on May 28, 2008, both of which are hereby incorporated by reference herein in their entirety. The present invention concerns a final, ready to use, spacer grid configured to separate and hold nuclear fuel rods in a nuclear reactor of the boiling water reactor (BWR) type in predetermined positions relative to each other. A nuclear boiling water reactor comprises a core having a plurality of fuel assemblies. Each fuel assembly includes a plurality of fuel rods and each fuel rod comprises nuclear fuel enclosed by a cladding. The fuel rods are held in predetermined positions relative one another with the help of a number of axially distributed spacer grids, each spacer grid consisting of a lattice structure with a number of cells through which the fuel rods extend. The environment in the core of a nuclear BWR is demanding for the components positioned therein. The environment is highly oxidative. A spacer grid must for example withstand the following circumstances: a two phase flow of steam and water at a temperature of about 286° C., wherein the flow of the steam is 10 m/s and the pressure is 70 bar. There are water droplets in the steam and an oxygen content and hydrogen peroxide content in the environment of 0.4 ppm and <1 ppm, respectively. The spacer grid is also exposed to strong radiation. Spacer grids are often produced from thin metallic plates of zirconium alloys or Ni base alloys. A well-known Ni base alloy is called X-750. Alloy X-750 has been used for BWR spacer grids with considerable success for more than 30 years. A drawback with spacer grids made from alloy X-750 is however that a relatively high corrosion rate in some reactors, due to the specific environment described above, results in general corrosion of the spacer grid surface. The general corrosion of the spacer grid may lead to a release of 58Co into the reactor water. 58Co is an isotope of Co and it deposits onto surfaces in the nuclear reactor. 58Co is mainly formed through neutron activation of 58Ni. Furthermore, another isotope of Co, 60Co, is formed by neutron activation of the common isotope 59Co. Both 58Co and 60Co are radioactive isotopes and the release of these radioactive isotopes into the reactor water results in an increased risk for exposure of staff working at the nuclear reactor plant. The term “Ni base alloy” does in this context mean that the principal element in the alloy is Ni. No other element is present in a greater amount. A Ni base alloy has a matrix made up of Ni with other elements such as Cr and Fe in solution. By heat treatment of the alloy so called γ′ secondary phase particles may be formed by changes in solid solubility with temperature. The fine γ′ secondary phase particles prevent the movement of dislocations, or defects, in the matrix of the alloy, thereby increasing the mechanical strength of the material. The γ′ secondary phase particles in a Ni base alloy are normally Ni3(Ti,Al). JP 09-324233 A describes a Ni base alloy of high strength stated to have an improved resistance against stress corrosion cracking (SCC). The alloy is especially intended to be used in components such as springs, bolts and pins inside the high temperature hot water environment of a nuclear BWR or a nuclear PWR (pressurized water reactor). The alloy is similar to alloy X-750. JP 09-324233 A does however state a higher amount of Fe compared to alloy X-750. By increasing the amount of Fe, JP 09-324233 A states that an improved SCC resistance is obtained. Stress corrosion cracking of a metal occurs due to a constant tensile stress of the metal in a corrosive environment, especially at elevated temperatures. Stress corrosion usually leaves most of the surface of a component unattacked, but takes place at the positions that are exposed to the constant tensile stress. Fine cracks are formed in the material and the cracking may lead to an unexpected sudden failure of the metal. Springs, bolts and pins inside the high temperature hot water environment of a nuclear BWR or a nuclear PWR are, as described in JP 09-324233 A, examples of components that are subjected to SCC. In the core of a nuclear BWR general corrosion may occur, which may result in an undesired release of radioactive Co isotopes as described above. General corrosion is a particular problem in the core of the reactor due to the particular conditions that exist there. General corrosion can take place all over the surface of a component, the corrosion being characterised by a uniform attack. Since a spacer grid is positioned inside the core of the reactor, it is particularly subjected to general corrosion. As mentioned above, a drawback with spacer grids made from alloy X-750 is that the relatively high corrosion rate in some reactors leads to general corrosion on the surface of the spacer grid. There is therefore a desire to improve the corrosion resistance of the spacer grid. A purpose of the present invention is to improve the corrosion resistance of a spacer grid in a nuclear boiling water reactor and, hence, reduce the problems of general corrosion as described above, resulting in lower release levels of radioactive Co isotopes into the reactor water. Another purpose of the invention is to provide a method of producing a spacer grid with improved corrosion resistance. The first purpose is obtained with the initially defined final spacer grid, which is characterised in that the final spacer grid comprises: i) a spacer grid structure made of an alloy that has been formed and assembled such that it constitutes a spacer grid, and ii) an outer oxide coating on the surface of the spacer grid structure,wherein said alloy is a Ni base alloy that consists of the following: Element% by weightNi>45.0Cr13.0-26.0  Fe10.0-30.0  Ti1.0-4.0  Al0.30-2.0  Co 0-0.040C 0-0.10N 0-0.10Nb + Ta0.20-2.0  Si0-2.0Mn0-2.0S 0-0.050P 0-0.10Cu0-2.0Mo + W0-3.0the total amount of one or more elements chosen from the0-2.0group consisting of all elements except for the elementsreferred to in the table above The expression “spacer grid structure” is in this context intended to describe a metal lattice frame that is formed as a spacer grid but that has not yet undergone a final heat treatment. Furthermore, the expression “final spacer grid” is in this context intended to describe a heat treated, ready to use, spacer grid structure. The final spacer grid according to the invention is made of a Ni base alloy similar to alloy X-750. The present Ni base alloy does however contain an increased amount of Fe. The outer oxide coating on the final spacer grid is crucial for the general corrosion resistance during operation. The outer oxide coating according to the prior art normally comprises an outer layer of a Ni rich oxide. This Ni rich oxide substantially comprises a pure Ni oxide but also a small amount of a mixed Ni—Fe oxide. It has been found that with the alloy used for the present invention it is easier to obtain the mixed Ni—Fe oxide during a final heat treatment. The reason for this is due to the specific alloy composition used, which comprises an increased amount of Fe. The mixed Ni—Fe oxide has been shown to be more resistant against corrosion than the pure Ni oxide, and it has been found that the final spacer grid according to the present invention has an improved corrosion resistance. In particular it has an improved resistance against general corrosion in the specific environment that exists in the core of a nuclear BWR. It is to be noted that if nothing else is stated, “%” always refers to % by weight in this document. Preferably, the Ni base alloy contains Ni in an amount of more than 50%, more preferred more than 60%. Ni is a matrix forming element and participates in the formation of γ′ (Ni3(Ti,Al)) secondary phase particles. Ni also participates in the formation of NiFe2O4, an oxide layer which provides corrosion resistance. Preferably, the Ni base alloy contains Cr in an amount of 14-21%, more preferred 14-17%. Cr provides corrosion resistance through the formation of chromium oxide during pre-oxidation and also by the formation of a passive film during corrosion. A too high Cr content results in increasing risks of formation of embrittling phases. Preferably, the Ni base alloy contains Fe in an amount of 12-23%, more preferred 15-19%. Fe is a matrix forming element and may participate in the formation of γ′ (Ni3(Ti,Al)) secondary phase particles by replacing a minor amount of Ni. Fe is also a necessary component in the mixed Ni—Fe oxide layer which provides corrosion resistance, as described above. A relatively high Fe content is required in order to form an outer oxide layer that is predominantly composed of NiFe2O4. Preferably, the Ni base alloy contains Ti in an amount of 1.5-3%, more preferred 1.75-2.75%. Ti is a necessary element for the formation of γ′ (Ni3(Ti,Al)) secondary phase particles, which are necessary in order to obtain a sufficient mechanical strength in the heat treated condition. A too high Ti content will interfere with the formation of the outer oxide coating and also result in an increased tendency for overaging of γ′ which can cause reduced mechanical strength. In addition, an increase in the Ti content increases the dissolution temperature for γ′, which will increase the risk of γ′ formation during alloy processing, in turn leading to the risk of the formation of cracking during hot or cold working of the alloy. Preferably, the Ni base alloy contains Al in an amount of 0.5-1.5%, more preferred 0.4-1.0%. Al is a necessary element for the formation of γ′ (Ni3(Ti,Al)) secondary phase particles, which are necessary in order to obtain a sufficient mechanical strength in the heat treated condition. A too high Al content will result in an increased tendency for overaging of γ′ which can cause reduced mechanical strength. In addition, an increase in the Al content increases the dissolution temperature for γ′, which will increase the risk of γ′ formation during alloy processing as described above. Preferably, the Ni base alloy contains Co only in an amount of 0.0001-0.01%, more preferred 0.0001-0.0050%. Co is an unavoidable contaminant in a Ni metal. The common isotope 59Co forms 60Co under neutron irradiation. The formation of 60Co is a severe problem in the operation of nuclear power plants and in the handling of spent nuclear fuel. Therefore, the amount of Co in the alloy should be controlled and kept low. Normally, the Ni base alloy contains C in an amount of at least 0.001%. Preferably, the amount of C is 0.001-0.050%. C is an unavoidable contaminant in the Ni base alloy. A too high C content results in an increased sensitivity to intergranular corrosion. Normally, the Ni base alloy contains N in an amount of at least 0.001%. Preferably, the amount of N is 0.001-0.03%. N is an unavoidable contaminant in the Ni base alloy. A too high N content results in an increased risk of the precipitation of embrittling nitrides, e.g. AlN. A too high N content in the melt also results in the formation of bulky TiN precipitates that are undesirable in the thin strip material used in the spacer production. Preferably, the Ni base alloy contains Nb in an amount of 0.001-1.5%, more preferred 0.7-1.2%. Nb forms strengthening particles during heat treatment, and therefore contributes to the mechanical strength of the alloy. Nb also has a solution strengthening effect. A too high Nb content result in loss of hot ductility and in an increased tendency to segregation of alloying elements during casting. Preferably, the Ni base alloy contains Ta in an amount of 0.001-0.03%. The properties of Ta are similar to those of Nb. Normally, the Ni base alloy contains Si in an amount of at least 0.01%. Preferably, the amount of Si is 0.01-0.5%. Normally, the Ni base alloy contains Mn in an amount of at least 0.01%. Preferably, the amount of Mn is 0.01-1.0%. Si and Mn are examples of additives used in melt processing and casting. These additives are always found in the alloy. In order to avoid the risk of the formation of embrittling precipitates or in other ways affecting the alloy in a negative way, the Si and Mn content should not be too high. Normally, the Ni base alloy contains S in an amount of at least 0.001%. Preferably, the amount of Si is 0.001-0.02%, more preferred 0.001-0.01%. Normally, the Ni base alloy contains P in an amount of at least 0.001%. Preferably, the amount of P is 0.001-0.05%, more preferred 0.001-0.02%. S and P are unavoidable impurities and their presence can result in reduced hot ductility during production. Normally, the Ni base alloy contains Cu in an amount of at least 0.01%. Preferably, the amount of Cu is 0.01-0.5%. Cu is a contaminant typically present in Ni and Fe base alloys. Normally, the Ni base alloy contains Mo and W in a total amount of at least 0.001%. Preferably, the amount of Mo+W is 0.001-1.0%, more preferred 0.001-0.20%. Mo and W are solid solution strengthening elements. They are not expected to have any positive effect on the corrosion resistance and only marginal effects on the mechanical strength. The Ni base alloy may further contain a total amount of not more than 2.0% of one or more elements chosen from the group consisting of all elements except for the elements referred to in the table above. Preferably, the total amount of such elements is less than 1.0%, more preferred less than 0.5%, even more preferred less than 0.1%, and most preferred less than 0.05%. Such elements may for example be Ca, Mg and Ce, which are examples of inclusion forming elements used in deoxidisation or desulfurisation of the melt. If Ca, Mg and Ce are present in the Ni base alloy, the alloy preferably contains a total amount of these elements of 0.001-0.05%. According to an embodiment of the invention, referring to the amounts of each element mentioned above, the Ni base alloy consists of the following: Element% by weightNi>50.0Cr14.0-21.0Fe12.0-23.0Ti1.50-3.0 Al0.50-1.50Co0.0001-0.010 C0.001-0.050N0.001-0.030Nb0.001-1.50 Ta0.001-0.030Si0.01-0.50Mn0.01-1.0 S0.001-0.020P0.001-0.050Cu0.01-0.50Mo + W0.001-1.0 the total amount of one or more elements chosen from the  0-1.0group consisting of all elements except for the elementsreferred to in the table above According to a further embodiment of the invention, referring to the amounts of each element mentioned above, the Ni base alloy consists of the following: Element% by weightNi>60.0Cr14.0-17.0Fe15.0-19.0Ti1.750-2.750Al0.40-1.0 Co0.0001-0.0050C0.001-0.050N0.001-0.030Nb0.70-1.20Ta0.001-0.030Si0.01-0.50Mn0.01-1.0 S0.001-0.010P0.001-0.020Cu0.01-0.50Mo + W0.001-0.20 the total amount of one or more elements chosen from the  0-0.50group consisting of all elements except for the elementsreferred to in the table above According to an embodiment, said alloy in the final spacer grid comprises a substantial amount of γ′ secondary phase particles such that the final spacer grid has a sufficient mechanical strength. The γ′ secondary phase particles prevent the movement of dislocations, or defects, in the matrix of the alloy, thereby increasing the mechanical strength of the material, as mentioned above. Preferably, the mole fraction of γ′ secondary phase particles in said alloy in the final spacer grid is 5-25%. According to a further embodiment, said outer oxide coating has a thickness of 50-1000 nm. The outer oxide coating should not be too thick since this may lead to cracking of the material. According to a further embodiment, said outer oxide coating comprises a first inner oxide layer of a first composition and a second outer oxide layer of a second composition different from the first composition. Preferably, the second outer oxide layer is formed on the surface of the first inner oxide layer. Preferably, the first inner oxide layer is formed directly on the surface of the alloy from which the spacer grid structure is made. According to a further embodiment, the first inner oxide layer mainly consists of Cr2O3 and the second outer oxide layer mainly consists of NiFe2O4. The expression “mainly” does in this context mean that the layers consist of at least 50% Cr2O3 and at least 50% NiFe2O4, respectively. Cr2O3 slows down the metal cat ion diffusion and thereby reduces the total oxidation. NiFe2O4 protects the final spacer grid against corrosion in the special environment inside the fuel assembly. According to a further embodiment, the first inner oxide layer has a thickness of 50-200 nm, preferably around 150 nm, and the second outer oxide layer has a thickness of 20-80 nm, preferably around 50 nm. The second purpose of the invention is obtained with a method of manufacturing the initially defined final spacer grid, which is characterised in that the method comprises the steps of: producing said alloy and forming and assembling the alloy such that said spacer grid structure is obtained, heat treating the spacer grid structure at a temperature of 650-750° C. for 5-23 hours, the heat treatment being performed in an oxidizing atmosphere, wherein the heat treatment is such that an outer oxide coating is formed on the surface of the spacer grid structure, thereby obtaining the final, ready to use, spacer grid. Preferably, said heat treatment of the spacer grid structure is such that a first and a second oxide layer as defined above are formed. Preferably, said oxidizing atmosphere comprises aqueous vapour and air. Preferably, said heat treatment of the spacer grid structure is such that γ′ secondary phase particles are formed in said alloy, thereby obtaining improved mechanical properties of the final spacer grid. As indicated above, γ′ formation during alloy processing should be avoided. However, the presence of γ′ secondary phase particles is important for the properties of the final spacer grid. Therefore, the method according to the present invention is preferably carried out such that no γ′ secondary phase particles (or at least no substantial amount of such particles) are formed during the alloy processing. Instead, the method is carried out such that essentially all γ′ secondary phase particles are formed during the final heat treatment, i.e. during the heat treatment of the spacer grid structure. The above described heat treatment procedure gives excellent mechanical properties and produces an outer oxide coating, which coating provides the final spacer grid excellent protection against general corrosion in the core of the nuclear BWR. An example of a composition of a Ni base alloy used for making the final spacer grid according to the invention is given in the table below. In addition to the elements specified in the table, the alloy may further contain small amounts of impurities at a level that is normally accepted in alloys for use as spacer grids in nuclear reactors. The Ni base alloy is suitable for the manufacture of a final spacer grid according to the method described below. ExampleElement% by weightNi61.7Cr16.1Fe17.6Ti2.2Al0.50Co<0.005C0.007N0.01Nb + Ta0.86Ta<0.03Si0.39Mn0.56S0.002P0.004Cu<0.01Ca + Mg + Ce0.01Mo + W<0.01 An embodiment of a final spacer grid according to the invention will now be described with reference to FIG. 1. The final spacer grid comprises a metal lattice. The metal lattice is a thin metal structure comprising a number of uniform cells 10. Each cell 10 is configured to enclose and hold a fuel rod in a predetermined position. When the final spacer grid is used in a nuclear BWR, the fuel rods extend through the cells 10 in a substantially vertical direction. Final spacer grids according to the invention can be arranged inside fuel assemblies of a nuclear BWR. Each fuel assembly includes a plurality of fuel rods and each fuel rod comprises nuclear fuel enclosed by a cladding. A number of final spacer grids according to the invention hold the fuel rods in place. The final spacer grids are distributed axially along each fuel assembly. The final spacer grids according to this shown embodiment can be grouped in sets of 4 at the same level in the fuel assembly. However, the present invention also applies to spacer grids of other designs. FIG. 2 is a flow chart illustrating the method of manufacturing a final spacer grid according to an example of the present invention. In a first step a Ni base alloy is obtained in a manner known to a person skilled in the art. An example of a Ni base alloy according to the invention is given in the table above. The alloy billet undergoes a number of working steps, which includes heat treatments and rolling, in a manner known to a person skilled in the art, such that a thin metal plate is obtained. The thin metal plate is cut and formed into parts for a spacer grid and the parts are surface treated, e.g. by pickling of the metallic surface. The parts are welded together such that a spacer grid structure is obtained. The spacer grid structure is cleaned. Finally, the spacer grid structure is according to the present example heat treated at a temperature of 705° C. for 20 hours in an oxidizing atmosphere comprising aqueous vapor and air, obtaining the final spacer grid. The heat treatment is such that a first inner oxide layer with a thickness of about 150 nm and a second outer oxide layer with a thickness of about 50 nm are formed on the surface of the spacer grid structure. The first inner oxide layer substantially comprises Cr2O3 and is formed directly on the surface of the spacer grid structure. The second outer oxide layer substantially comprises NiFe2O4 and is formed on the surface of the first inner oxide layer. The heat treatment is also such that γ′ secondary phase particles are formed in the alloy in a mole fraction of 11%. Thereby, improved mechanical properties of the spacer grid are obtained. The present invention is not limited to the described embodiment but can be varied and modified within the scope of the following claims.
051695960
summary
BACKGROUND OF THE INVENTION This invention relates to an apparatus for providing access to a containment vessel or the like when closely surrounded by a structure, conforming generally to the shape of the containment vessel, employed for shielding, cooling, and like purposes. More particularly, the invention is directed to a containment vessel or the like surrounded by a disparate shield building forming an air annulus with an air baffle positioned in the air annulus. A movable portion of the air baffle provides access from the exterior to the containment vessel by being movable up and away from the containment vessel toward the shield building. A nuclear power generating station is divided into two basic systems, a containment vessel containing the nuclear core for heating a coolant, such as water, and a steam utilization system containing a steam utilization and conversion means, such as a steam turbine-generator system. In a power plant of the pressurized water reactor type (PWR) two separate coolant flow systems are provided in heat exchange relationship with each other, while in a power plant of the boiling water reactor type (BWR), a single or primary coolant flow system having a light water coolant is employed, which coolant is heated by the reactor core so that it is converted to steam and the steam directly operates the steam turbine of the steam utilization and conversion means. In both the PWR and BWR types, the reactor vessel and certain auxiliary equipment are contained in a separate structure, called a containment, because nuclear reactions producing radiation are occurring and must be contained in a structure with extremely rigid standards to contain the radiation in the unlikely event of an accident. The separate containment typically has one of two designs. One design comprises a unitary containment vessel typically made of concrete with a steel liner. The second design comprises a two tier structure comprising a steel structure, referred to herein as the containment vessel, disposed within a separate concrete building called a shield building. Within the containment vessel, the reactor core is disposed inside the reactor vessel and includes uranium pellets used in the nuclear reaction which generates heat. A coolant such as light water is circulated through the nuclear core reaction area. In the PWR type system, the coolant circulates in a loop entirely within the containment and transfers the heat from the nuclear core to the secondary system steam turbine located outside of the containment. In the BWR type, the heated coolant exits the containment as steam in a single system and flows directly to a steam turbine located outside of the containment. In systems employing the two tier design including a containment vessel disposed within a shield building, an apparatus is needed to remove the ambient heat surrounding the containment vessel during the unlikely event of an accident. To accomplish such heat removal, a system such as a passive containment cooling system may be used. Passive containment cooling uses natural air circulation to remove the heat by flowing air into a shield building and over the surface of the containment vessel and then out of the shield building. To minimize the cooling space surrounding the containment vessel, an air baffle is positioned close to the containment vessel. During non-operational periods the containment vessel may require maintenance, inspection and the like. Because the air baffle is positioned close to the containment vessel, inspection is restricted due to the limited space between the air baffle and the containment vessel. U.S. Pat. No. 5,049,353 issued on Sep. 17, 1991 teaches a passive cooling system in which the air baffle in conjunction with cooling water form a system of providing a passive cooling system. This patent teaches a disparate shield building surrounding and containing a containment vessel forming an air annulus in the space between the shield building and the containment vessel. To create a circulation path, an air baffle is positioned in the air annulus forming an inner and outer annulus. Natural air flows into the shield building through openings located in the shield building wall at the intersection of the roof and wall and down the outer annulus and around the bottom of the air baffle. Next, the air flows up the inner annulus adjacent the containment vessel and exits through an opening in the shield building roof. The cooling water located in the roof portion of the shield building, may be used inside the shield building for additional cooling. This cooling water is sprayed under the forces of gravity onto the containment vessel. However, U.S. Pat. No. 5,049,353 does not disclose an apparatus which will allow maintenance workers and the like to inspect, repair, and the like in the area surrounding the containment vessel. The present invention teaches a passive containment cooling system, such as in U.S. Pat. No. 5,049,353, and adds advantages such as an air baffle being movable located surrounding a major portion of the containment vessel. When inspection or the like is needed, the movable air baffle moves up and away toward the shield building allowing access to the containment vessel. The present invention has several advantages over the prior art. First, it provides accessibility to the containment building for maintenance and the like during non-operation. This access is advantageous because of the nominal outage time available for performing preventive maintenance procedures when repairs are needed. Another advantage is the efficient means in which access is provided. Partial access to sections of the containment vessel is provided by partitioning of the air baffle. This further enhances preventive maintenance, nominal outage during repairs, and the like. SUMMARY OF THE INVENTION It is therefore an object of the present invention to provide a means of cooling the space surrounding the containment vessel while also providing the capability of increasing the immediate space surrounding the containment vessel during inspection and maintenance operations. Another object of the present invention is to provide an air baffle that is partitioned into segments enabling efficient inspection of the containment vessel by sections. These objects and others to become apparent are accomplished by a containment apparatus for containment of a system such as for a nuclear reactor system comprising a generally cylindrical sealed containment vessel for containing at least a portion of a nuclear power generation plant, a disparate shield building surrounding and housing the containment vessel therein and spaced outwardly thereof so as to form an air annulus in the space between the shield building and the containment vessel, a shield baffle means positioned in the air annulus around at least a portion of the sides of the containment vessel providing a coolant path between the baffle means and the containment vessel to permit cooling of the containment vessel, the shield baffle means being movable to afford access to the containment vessel.
claims
1. An exit window for an electron beam from a linear electron accelerator for use in producing radioisotopes comprising:a cylindrical channel operatively connectable at one end to a vacuum Chamber configured for travel of the electron beam, Which has a Gaussian profile and peak flux approximately centered on a centerline of the electron beam; anda domed dished head at the other end of the channel, the domed dished head comprising a convex portion comprising two or more distinct convex radii and a concave portion comprising two or more distinct concave radii that differ from the two or more convex radii, wherein the two or more convex radii and the two or more concave radii provide for a gradual thickening of the window from a centerline of the exit window to the channel, allowing the domed dished head to resist pressure stress created by cooling medium circulating around a protruding crown of the domed dished head and the vacuum in the cylindrical channel and to maintain a combination of thermal stress and the pressure stress below the fatigue limit of the material forming the exit window. 2. The exit window of claim 1 wherein the protruding crown has a circular or oval shape. 3. The exit window of claim 1 wherein the exit window is a single integral piece. 4. The exit window claim 1 wherein the exit window comprises beryllium, copper, steel, stainless steel, titanium, alloys of any of the foregoing, or a combination of any of the foregoing. 5. The exit window of claim 1 wherein the exit window comprises Ti-6Al-4AV. 6. The exit window of claim 1 wherein the window is removably mountable to a window flange. 7. The exit window of claim 1 wherein the exit window has a thickness ranging from 0.15 mm to 0.75 mm. 8. The exit window of claim 1 wherein the exit window is shaped to fit into a converter target holder. 9. The exit window of claim 1 wherein the exit window is shaped to fit into a production target cooling tube. 10. The exit window of claim 1 wherein the exit window comprises two or more fastener channels to allow the exit window to be mountable to a mating flange of a converter target holder utilizing a knife edge vacuum sealing method. 11. The exit window of claim 1 wherein the exit window comprises two or more fastener channels to allow the exit window to be mountable to a converter target holder utilizing welding or brazing techniques.
description
This application claims the benefit of priority of U.S. Application No. 62/791,357, filed Jan. 11, 2019, which is incorporated herein by reference for all purposes. This invention was made with Government support under contracts HSHQDC-12-C-00002 and HSHQDC-17-C-00053 awarded by US Department of Homeland Security, Science and Technology Directorate Explosives Division and HSTS04-17-C-CT7224 awarded by Transportation Security Administration. The Government has certain rights in the invention. This disclosure relates generally to X-ray imaging. More specifically, the disclosure relates to differential phase contrast (DPC) gratings for X-ray imaging. In accordance with the invention, an X-ray grating configured for use in an X-ray imaging apparatus is provided. The X-ray grating has a silicon-based base layer. A plurality of silicon-based ridges is on a surface of the silicon-based base layer, wherein the plurality of silicon-based ridges form a plurality of trenches, where a trench of the plurality of trenches is between two silicon-based ridges of the plurality of silicon-based ridges. A plurality of silicon-based bridges extends between adjacent silicon-based ridges, wherein each silicon-based ridge of the plurality of silicon-based ridges is connected to at least one adjacent silicon-based ridge of the plurality of silicon-based ridges by at least one of a silicon-based bridge of the plurality of silicon-based bridges and wherein at least one of a plurality of four adjacent trenches does not have any silicon-based bridges. In another manifestation, an X-ray grating configured for use in an X-ray imaging apparatus is provided. A silicon-based base layer has a thickness of no more than 70 microns. A plurality of silicon-based ridges is on a surface of the silicon-based base layer, wherein a trench of a plurality of trenches is between a pair of adjacent silicon-based ridges of the plurality of silicon-based ridges. In another manifestation, a method of forming an X-ray grating is provided. A silicon-based substrate is etched to form a plurality of silicon-based ridges with trenches between the plurality of silicon-based ridges, and forming a base layer, wherein the plurality of silicon-based ridges is connected to the base layer and wherein the base layer has a thickness of no more than 70 microns. The invention and objects and features thereof will be more readily apparent from the following detailed description and appended claims when taken with the drawings. X-ray differential phase contrast (DPC) imaging uses an X-ray imaging system utilizing an X-ray interferometer to detect the changes in the X-ray phases when X-rays propagate through objects. Most of the X-ray phase contrast imaging techniques require either a synchrotron radiation X-ray source or a relatively weak micro-focused X-ray source. A three-grating based X-ray DPC imaging system provides a solution of using a more commonly used large spot X-ray source and large pixel-size X-ray detectors. FIG. 1 is a schematic view of an embodiment of an X-ray imaging apparatus, such as an X-ray DPC imaging system 100. The X-ray DPC imaging system comprises an X-ray source 104, a first grating 108, a second grating 112, a third grating 116, and an X-ray detector 120. An object 124 to be imaged is placed between the second grating 112 and the third grating 116. In the same way, the first grating 108, second grating 112, third grating 116, and X-ray detector 120 extend out of the page. A motor 122 is mechanically connected to the third grating 116 and is adapted to shift the third grating 116 by portions of the pitch p2. In other embodiments, the object 124 may be placed between the first grating 108 and the second grating 112. In other embodiments, a mechanical motor 122 is not used. To have sufficient spatial coherence to form the X-ray interference fringes, the X-ray source 104 usually needs to smaller than 10 μm (depending on the interferometer design, ex: X-ray energy and spacing between X-ray source, gratings, and detectors). However, most of the X-ray sources used in the commercial computed-tomography (CT) scanners for aviation security and medical imaging have a source spot ranging from a few hundred micrometers to a few millimeters. The first grating 108, source amplitude gratings (G0), with pitch p0, can be used in front of the X-ray source to filter a big extended X-ray source to effectively multiple thin-slit X-ray line sources. The pitch (or called period), a constant spacing between each of the thin slits, can be designed such that the X-ray fringes formed from each of the thin slits source of the first grating 108 overlap completely at the position of the third grating 116. Before an object 124 is inserted in the X-ray DPC imaging system 100, a periodic X-ray interference fringe pattern is formed right in front of the X-ray detector 120. When an object 124 is inserted in the X-ray beam path, a few things happen, which change the X-ray interference fringe patterns. Part of the X-rays is absorbed by the object 124, which reduce the intensity of the X-ray fringes. Part of the X-ray wavefront is modified by the object 124 because the object has different refractive indices compared to air, which changes the phases of the X-ray wavefront at the X-ray fringes and changes the lateral positions of the fringe pattern locally. Part of the X-rays is scattered off of the object 124, which modifies the X-ray fringe's amplitude. Depending on the X-ray interferometer designs, the pitch of the X-ray fringes in front of the X-ray detector 120 is on the scale of micrometers. However, pixel sizes of commercially available X-ray detectors usually range from tens of micrometers to a few millimeters. It is not possible to detect the position changes in the X-ray fringe pattern with detectors having such big pixels. To discover the position changes of the X-ray fringe patterns, the third grating 116, analyzer amplitude gratings (G2), with a pitch p2, is placed right in front of the X-ray detector 120. The third grating 116 has the same pitch (or period) as the X-ray fringe's pitch in front of the X-ray detector 120. If all of the bright fringes (where the maximum X-ray intensity is located) are aligned with the third grating 116 open slits, the X-ray detector 120 would get an integrated “high” signal. On the other hand, if all of the dark fringes (where the minimum X-ray intensity is located) are aligned with the third grating 116 open slits, the detector would get an integrated “low” signal. Conventionally, a phase stepping by shifting the third grating 116 laterally by portions of one period (pitch) using a motor 122 will lead to a series of detected signals. By fitting the curves the X-ray fringe pattern's intensity (I0), amplitude (A) and phase (ϕ) can be extracted. By comparing the parameters of the reference fringe patterns (when no objects are in the beam path) and the fringe patterns having objects, three different X-ray images can be obtained according to the following equations:Absorption image=I0, obj./I0, ref. (equivalent to the traditional X-ray images)Differential phase contrast image=ϕobj.−ϕref. Dark-field image=(Aobj./I0, obj.)/(Aref./I0, ref.) The function of an amplitude grating (such as the first grating 108 and the third grating 116) is to allow part of the X-rays to propagate through the open slits while significantly blocking the rest of the X-rays. X-ray amplitude gratings are usually made of gold because gold can absorb X-rays efficiently. Therefore, a G0 source amplitude grating of a finite thickness would significantly block X-rays emitting at large angles while the amplitude grating itself also acts like an X-ray collimator, which limits the X-ray emission angle to roughly θFOV, G0 (=G0 thickness/(G0 pitch/2)). For high energy X-ray DPC imaging systems, the requirement of gold thickness to make G0 and G2 proper amplitude gratings can be as high as tens of micrometers to hundreds of micrometers. With a micrometer-sized grating slit opening, the θFOV, G0 can be a few degrees to even less than one degree. For a fan-beam or a cone-beam X-ray imaging system, the amplitude gratings would significantly reduce the imaging field-of-view (FOV) from only a few centimeters to a few millimeters wide for, for example, a source-to-detector distance of less than 2 meters. In order to implement X-ray gratings on an X-ray CT scanner having an angular FOV of ˜70 degrees, (curved) cylindrical first, second, and third gratings 108, 112, 116 are needed, where all gratings are centered at the X-ray source 104. In this embodiment, the X-rays can transmit through all of the grating slits nearly in parallel. Therefore, the X-rays can be detected at the detectors located at the full field of view. Most silicon-based devices are made on planar surfaces, using readily available fabrication devices and techniques. Device fabrication on curved surfaces presents a significant challenge because flat silicon wafers are typically hundreds of microns thick and rigid. Various embodiments provide silicon substrates with a flexible base layer. There are multiple options of choosing the second grating 112 between π-phase shift or π/2-phase shift, and there are also multiple options of utilizing different orders of Talbot self-images to locate the G2 analyzer gratings. One common solution (π-phase shift G1 with first-order Talbot self-image) among all possible solutions is used to explain the design rules. One of the line sources, extended in and out of the page, located immediately after the first grating 108 is formed by filtering the original X-ray source 104 with the first grating 108. The first grating 108 is located with a distance l in front of the second grating 112, which is a π-phase shift G1 grating (with a pitch of p1). A Talbot self-image of the diffracted X-ray fringes shown at d=(l+d)/l*p12/(8λ) is obtained after the second grating 112. The fringe pitch is equal to (l+d)/l*p1/2. By solving the equation d=(l+d)/l*D1, where D1=p12/(8λ) two solutions: l=s/2±sqrt(s2/4−sD1) can be obtained, where s=l+d and is the distance between the first grating 108 and the third grating 116. These two solutions mean that solutions can be selected to have the distance between G0 and G1 longer than the distance between G1 and G2 or the other way around. Finally, when a solution is chosen, a simple geometry relation is used to decide the pitch of the G0 grating by p0=(l/d)*p2. The X-ray DPC imaging system's parameters are determined by the wavelength (λ) of the X-rays and therefore determined by the energy (E) of the X-rays by E=(h*c)/λ, where h is the Planck constant and c is the speed of light. In addition to the system's dimension, the selection of the designed energy for the X-ray DPC imaging system is usually decided by different applications. The wavelength (λ), and therefore the energy of X-rays is described for monochromatic X-rays by the previously mentioned equations. For a typical commercially available polychromatic X-ray source, the equations are still useful to design a polychromatic X-ray DPC imaging system. Usually, the design energy (or wavelength) described in those equations is close to the mean energy (or wavelength) of an X-ray source or an X-ray system. This design energy can be obtained through optimization of the resulting fringe contrast using well-known design principles in the art. For applications focused on relatively small objects or low-absorptive materials such as mammography and dental X-rays, X-rays do need to penetrate the small objects. Relatively low mean X-ray energies, such as less than 40 keV, may be used. On the other hand, for big objects with more absorptive materials, such as aviation security CT scanners, a much higher mean X-ray energy would be needed, ex: 90-100 keV. FIG. 2 is an enlarged view of the first grating 108. In this embodiment, the first grating is an amplitude grating. The first grating 108 comprises a silicon substrate 204, a metal layer 208 on the silicon substrate 204, and a mounting substrate 212 with a curved surface, where the silicon substrate 204 is attached to the curved surface of a mounting substrate 212, by an adhesive 216. The silicon substrate 204 comprises a silicon base layer 220, which is attached to the curved surface of the mounting substrate 212 and a plurality of silicon ridges 224 attached to the silicon base layer 220 and extending radially from the silicon base layer 220. The metal layer 208 has radially extending portions 228 on sidewalls of the silicon ridges 224, which extend between the silicon ridges. In this embodiment, each silicon ridge 224 provides two radially extending portions 228 of the metal layer 208. FIG. 3 is a high level flow chart of a process that is used to form the first grating 108 in an embodiment. A substrate is etched (step 304). In one embodiment, a deep reactive ion etching (DRIE) is used to etch trenches in a silicon wafer followed by using a potassium hydroxide (KOH) wet etching to thin the other side of the silicon wafer until the grating base layer is less than 70 μm. In other embodiments, the trenches may also be etched by wet processes like KOH etching on the front side, too. FIG. 4A is an enlarged cross-sectional side view of part of a silicon substrate 404 that has been etched in an embodiment. FIG. 5A is a schematic top view of the silicon substrate 404. The etching of the substrate (step 304) forms the substrate into a silicon base layer 408 with a plurality of silicon ridges 412 attached to the silicon base layer. In this example, the etching of the silicon substrate 404 forms trenches 416 between the silicon ridges 412. Bridges 420 are formed between adjacent silicon ridges 412. The bridges 420 are shown to be slightly lower than the silicon ridges 412. However, in various embodiments, the bridges 420 and silicon ridges 412 may be the same height. In this embodiment, each silicon ridge 412 is connected to only one other adjacent silicon ridge 412, so that every other trench does not have a bridge 420. In an embodiment, the etching the substrate (step 304) may be a single etch that etches the trenches 416. In another embodiment, a first etch on a first side of the silicon substrate 404 etches the trenches 416 into the silicon substrate 404 and a second etch that etches the other side of the silicon substrate 404 that further thins the silicon base layer 408. In another embodiment, a polishing or machining process may be used to etch the second side of the silicon substrate 404 to further thin the silicon base layer 408. In this embodiment, the silicon base layer 408 has a thickness of no more than 70 microns. A metallic deposition is formed between the silicon ridges 412 (step 308). In this embodiment, a chromium adhesion layer and a gold seed layer is first deposited with sputtering followed by conformal electroplating of gold on the silicon substrate 404. In other embodiments, the metallic deposition may be deposited by one of various methods, such as electroplating, sputtering, or atomic layer deposition. In this embodiment, electroplating is used to deposit a gold layer. In other embodiments, lead, platinum, tungsten, or nickel may be deposited. FIG. 4B is an enlarged cross-sectional side view of part of a silicon substrate 404 after a gold layer 424 has been deposited between the silicon ridges 412. FIG. 5B is a schematic top view of the silicon substrate 404. In this embodiment, the metallic deposition of a gold layer 424 forms a metallic conformal layer with trenches or spaces between vertical sections of the gold layer 424. The gold layer 424 forms a bridge covering 432 over the silicon bridges. The silicon base layer 408 is attached to a curved surface by an adhesive (step 312). After electroplating, a laser cutter may be used to cut the base-thinned first grating 108 out of the silicon wafer and mount it on a mounting substrate. In general, a thick silicon wafer larger than 300 μm is very rigid and brittle when trying to bend it. A silicon wafer/chip starts to be more and more flexible when it is thinned down below 70 micrometers. Since the silicon base layer 408 is no more than 70 microns thick, an adhesive alone, without additional mechanical clamps may be used to attach the silicon base layer 408 to a curved surface with a radius of curvature of less than 50 cm. Preferably, the silicon base layer 408 has a thickness of between 0.5 microns to 50 microns. In some embodiments, the adhesive may be photoresist, spin-on glass, or epoxy glues. In this embodiment, the pitch of the vertical parts of the gold layer 424 has half the pitch (period) of the silicon ridges 412. This is because each silicon ridge 412 is used to provide two vertical parts of the gold layer 424. As a result, the trenches may be etched with a pitch that is twice the pitch (period) of the desired grating. In some embodiments, an adhesion layer, such as chromium, titanium, titanium oxide, germanium, or aluminum oxide may be deposited as a binder between the silicon substrate and the deposited metal. In this embodiment, the silicon bridges 420 are provided between the silicon ridges 412, where each silicon ridge 412 is connected to only one adjacent silicon ridge 412 and where every other trench 416 does not have a silicon bridge 420. The silicon bridges 420 provide more stability between silicon ridges 412 significantly reducing the deformation of the silicon ridges 412. A plurality of silicon bridges 420 connects adjacent silicon ridges 412 along the length of the silicon ridges 412 to reduce deformation along the length of the silicon ridges 412. If the spacing between the silicon bridges 420 is too great, deformation increases. In an embodiment, the spacing between silicon bridges 420 is less than 200 microns. Trenches 416 without silicon bridges need to be provided periodically in order to allow the silicon base layer 408 to be curved. Alignment fiducial marks may be built into the X-ray grating pattern which can be used for future grating alignment in the X-ray imaging system. This is basically to make alignment marks into the X-ray grating structure. In some embodiments, at least part of the silicon substrate may have a dielectric layer (i.e. silicon dioxide, silicon nitride or diamond) or a metallic layer (i.e. chromium, titanium or gold). For example, additional dielectric layers may be used as an insulation layer to prevent metal from being electroplated on the backside of the grating during electroplating. In another example, additional metallic layers may be used as a high heat conduction layer to dissipate heat generated during X-ray imaging. In another example, additional dielectric layers may be used as an etch stop in step 304. In some embodiments, the top of the gratings may be covered with a dielectric layer or a metallic layer to be used as a protection layer that covers the active electroplated metal gratings. In some embodiments, the grating trenches may be filled and covered with additional protection layers such as photoresist, spin-on-glass, polydimethylsiloxane (PDMS), or epoxy glues. The protection layer may protect the grating trenches from being filled with dust or particles during X-ray operation, which may damage the X-ray gratings or affect the image quality. For the X-ray DPC imaging system 100, there are multiple options of choosing the second grating 112, a G1 phase grating, between π-phase shift or π/2-phase shift, and there are also multiple options of utilizing different orders of Talbot self-images to locate the third grating 116, a G2 analyzer grating. For example, one common solution is a π-phase shift G1 with first-order Talbot self-image, among all possible solutions to explain the design rules. In an example, 28 keV X-rays are used. This embodiment can be readily applied in silicon processing facilities and may be implemented for mass, low-cost manufacturing. In an embodiment, it has been found that standard manufacturing processes may be used to obtain a precision of 0.5% in successfully forming gratings. FIG. 6 is a schematic top view of an enlarged section of an etched silicon substrate 604 in another embodiment. The silicon substrate has a first silicon ridge 608, a second silicon ridge 612, a third silicon ridge 616, a fourth silicon ridge 620, a fifth silicon ridge 624, and a sixth silicon ridge 628. A first bridge 632 connects the first silicon ridge 608 to the second silicon ridge 612. A second bridge 636 connects the second silicon ridge 612 to the third silicon ridge 616. A third bridge 640 connects the fourth silicon ridge 620 to the fifth silicon ridge 624. A fourth bridge 644 connects the fifth silicon ridge 624 to the sixth silicon ridge 628. Instead of pairs of silicon ridges being connected together, triplets of silicon ridges are connected together by bridges. For each triplet of silicon ridges, two silicon ridges are only connected to one adjacent silicon ridge and one silicon ridge is connected to two adjacent silicon ridges. In this example, two out of every three silicon ridges are connected to only one adjacent silicon ridge. In addition, in this embodiment, every third trench does not have any bridges. Therefore, when the silicon base layer is bent, every third trench without bridges allows the silicon base layer to bend. In various embodiments, at least every fourth silicon ridge is connected to only one adjacent silicon ridge. Therefore, in various embodiments, every first, second, third or fourth silicon ridge of the plurality of silicon ridges is connected to only one adjacent silicon ridge by at least one silicon bridge. In such embodiments, first silicon ridges may be connected to only one other silicon ridge and then later third silicon ridges may be connected to only one other silicon ridge. Therefore, in various embodiments, every second, third, fourth, or fifth trench does not have any bridges. In various embodiments, the silicon ridges form equally spaced parallel lines having a constant pitch. In other embodiments, the silicon ridges form unequally spaced parallel lines having a varying pitch. In other embodiments, the silicon ridges form equally spaced and evenly spaced non-linear curves. In other embodiments, the silicon ridges form unequally spaced and evenly spaced non-linear curves. Evenly spaced non-linear curves are defined as being where two curves are spaced approximately the same distance from each other along the length of the curves. The thinness of the silicon base layer allows for a radius of curvature of less than 15 cm when the silicon base layer is held by adhesive without clamps. In various embodiments, the radius of curvature is between 6 to 10 cm. Other embodiments provide a radius of curvature of less than 5 cm by using adhesive without clamps. Other embodiments have a radius of curvature of less than 3 cm by using adhesive to glue onto a curved surface without clamps. In various embodiments, the silicon ridges have a thickness of at least 3 microns. In other embodiments, the silicon ridges have a thickness of at least 100 microns. In various embodiments, the silicon base layer is bent to have a radius of curvature of less than 17 cm. In other embodiments, the silicon base layer is bent to have a radius of curvature of less than 37 cm. In other embodiments, the silicon base layer is bent to have a radius of curvature of less than 1.55 m. In various embodiments, the silicon ridges have a constant pitch. In other embodiments, the silicon ridges have a varying pitch. Various embodiments design bridges to minimize shadowing created by the bridges. The bridges are most helpful when the silicon ridges have a width of less than 5 microns or a height to width ratio of greater than 20:1. In various embodiments, the silicon ridges have a height and width, wherein a ratio of the height to the width is greater than 5:1. In this embodiment, the silicon bridges have a width that is between 0.1 to 10 times the width of the silicon ridges. In this embodiment, the length of the bridges is perpendicular to the length of the silicon ridges. The silicon bridges are spaced as far apart as possible along the length of the silicon ridges without resulting in silicon ridge deformation beyond a certain tolerance. A block of silicon ridges may be defined as a group of silicon ridges joined by bridges between trenches without any bridges. As mentioned before, various embodiments may have two, three, or four silicon ridges in a block of silicon bridges. If the block of silicon ridges is too large, then the silicon base layer will not be as flexible and will not form a curve. Locally flat areas of the block of silicon ridges would be too wide if the block of silicon ridges is too large. If the block of silicon ridges is too small, the silicon ridges and gratings may be deformed. In some embodiments, the silicon base layer may be attached to a curved surface before the metal layer is deposited on the silicon substrate. FIG. 7 is a schematic cross-sectional view of another embodiment of a grating 700. In this embodiment, a silicon substrate 704 with a silicon base layer 720 and a plurality of silicon ridges 724 are provided. The etch processes used in the above embodiments may be used to form the silicon ridges 724 (step 304). In this embodiment, the silicon base layer 720 is attached to the curved surface of the mounting substrate 712 by an adhesive 716 (step 312) before the metal is deposited. Metal 708 is deposited and fills trenches between the silicon ridges 724 (step 308). Polishing may be used to expose tops of the silicon ridges 724. Since there is only one metal line for each silicon ridge or for each trench, this embodiment does not reduce the pitch of the grating to half after the metal 708 is deposited. In some embodiments, one or more layers of a dielectric, metal, photoresist or other material may be deposited on the silicon substrate. In addition, in some embodiments, a layer may be formed over the metallic deposition. Some embodiments provide a protective layer over the metallic deposition. Such a protective layer may fill trenches formed by the metallic deposition with a dielectric material of photoresist, spin-on glass, polydimethylsiloxane (PDMS), or epoxy. Various embodiments may be used to provide different methods of etching the substrate. FIG. 8A is a schematic cross-sectional view of a silicon substrate 804 that may be used for an embodiment. In this embodiment, the silicon substrate 804 is subjected to a patterned etch of a first side to form silicon ridges. FIG. 8B is a schematic cross-sectional view of the silicon substrate 804 where ridges 820 are formed on a first side of the silicon substrate 804. The second side of the silicon substrate 804 forms a thick base layer 808 connecting the silicon ridges 820. The base layer 808 is thinned by etching the second side of the silicon substrate 804. FIG. 8C is a schematic cross-sectional view of the silicon substrate 804 after the second side of the silicon substrate 804 has been etched to form a thin base layer 808. In this embodiment, feet 812 are formed in order to provide support during electroplating. The feet 812 are removed by cutting along slice lines 816. FIG. 8D is a schematic cross-sectional view of the silicon substrate 804 after the feet are removed. The electroplating deposition is not shown. FIG. 9A is a schematic cross-sectional view of a silicon substrate 904 that may be used for another embodiment. In this embodiment, the silicon substrate 904 is bonded to a holder by a bond on a second side of the silicon substrate 904. FIG. 9B is a schematic cross-sectional view of the silicon substrate 904 bonded to a holder 906 by a bond 910 on a second side of the silicon substrate 904. The silicon substrate 904 is subjected to a patterned etch of a first side to form silicon ridges. FIG. 9C is a schematic cross-sectional view of the silicon substrate 904 where ridges 920 are formed on a first side of the silicon substrate 904. A thin base layer 908 connects the silicon ridges 920. The holder 906 and the bond 910 provide the support that is used to allow the etching to form a thin base layer 908. The holder 906 and the bond 910 are then removed. FIG. 9D is a schematic cross-sectional view of the silicon substrate 904 after the holder and bond are removed leaving the thin base layer 908 connected to the silicon ridges 920. FIG. 10A is a schematic cross-sectional view of a silicon substrate 1004 that may be used for another embodiment. In this embodiment, a dielectric layer of silicon oxide is formed in the silicon substrate 1004. The embedded dielectric layer in a silicon substrate 1004 may be acquired as an SOI (silicon-on-insulator) wafer or may be formed using a process such as bonding a silicon wafer onto a surface oxidized silicon wafer or oxygen ion implantation into a silicon wafer. FIG. 10B is a schematic cross-sectional view of the silicon substrate 1004 with a dielectric layer 1008 formed in the silicon substrate 1004. The silicon substrate 1004 is subjected to a patterned etch of a first side to form silicon ridges. The etch selectively etches the silicon substrate 1004 with respect to the dielectric layer 1008 so that the dielectric layer 1008 is used as an etch stop. FIG. 10C is a schematic cross-sectional view of the silicon substrate 1004 where ridges 1020 are formed on a first side of the silicon substrate 1004 and where the dielectric layer 1008 is used as an etch stop. The dielectric layer 1008 connects the silicon ridges 1020. The second side of the silicon substrate 1004 is etched away, using the dielectric layer 1008 as an etch stop. FIG. 10D is a schematic cross-sectional view of the silicon substrate 1004 after the second side of the silicon substrate 1004 is etched away where the dielectric layer 1008 is used as an etch stop. In this embodiment, the base layer 1008 is a dielectric layer, instead of a semiconductor. In this embodiment, the base layer 1008 is silicon-based, since the silicon oxide is formed from a silicon substrate. In other embodiments, the base layer may be silicon nitride, where the silicon nitride is formed from the silicon substrate and therefore is silicon-based. Such base layers are also silicon containing base layers. In the above embodiments, the ridges are silicon ridges. The ridges may be doped, but are silicon-based. Silicon-based objects are formed since silicon-based materials are able to be etched to provide high aspect ratios. In another embodiment illustrated in FIG. 11, the same process is used to provide the silicon substrate as shown in FIGS. 10A-C. Instead of completely etching the second side of the silicon substrate 1004, using the dielectric layer 1008 as an etch stop, a silicon layer 1024 on the second side of the dielectric layer 1008 remains forming part of the base layer. The combined thicknesses of the dielectric layer 1008 and the silicon layer 1024 are less than 70 microns. In various embodiments, because the base layer is flexible, the base layer may be attached to a curved surface by an adhesive. In some embodiments, the base layer may be attached to a surface while the surface is flat. Afterwards, the surface is curved, so that ultimately the base layer is attached to a curved surface. The curved surface may be concaved or convexed or a combination of concaved and convexed such as an S-shape. In some embodiments, the grating may use the silicon as the substrate, so that a metal layer is not used and therefore not deposited. While this invention has been described in terms of several preferred embodiments, there are alterations, permutations, modifications, and various substitute equivalents, which fall within the scope of this invention. It should also be noted that there are many alternative ways of implementing the methods and apparatuses of the present invention. It is therefore intended that the following appended claims be interpreted as including all such alterations, permutations, modifications, and various substitute equivalents as fall within the true spirit and scope of the present invention.
description
This is the U.S. National Phase of PCT/US2009/066652, filed Dec. 3, 2009, which claims priority to U.S. application Ser. No. 12/630,729, filed Dec. 3, 2009 and U.S. Application No. 61/119,719, filed Dec. 3, 2008, each of which are incorporated by reference herein in their entireties. 1. Field of Invention The present invention applies to the chemical cleaning or combined chemical and mechanical cleaning of heat exchangers or vessels, including nuclear pressurized water reactor (PWR) steam generators. Example materials targeted for removal by cleaning include those that reside on the secondary (boiling) side of heat exchangers or vessels and comprise metallic oxides (e.g., magnetite), metallic species (e.g., copper), other impurities (e.g., mineral species) or waste materials. The method described herein may also be used in conjunction with other deposit or waste management strategies such as dispersants or scale conditioning agent solutions, which are added to the heat exchanger or vessel to mitigate the accumulation of deposits in these systems or to modify the structure of these deposits once accumulation has occurred. The method and system described herein may also be used with decontamination solutions or with other processes for cleaning heat exchangers or vessels, including the removal of waste, such as nuclear waste, from a vessel, heat exchanger or fluid systems where temperature control is required or helpful. 2. Description of Related Art The removal of deposits from the secondary side of heat exchangers, and more specifically the secondary or boiling side of nuclear pressurized water reactor (PWR) steam generators, has been achieved by both chemical and mechanical means. Chemical means include high and low temperature chemical cleaning, and mechanical means include processes such as pressure-pulse cleaning, water jetting or lancing, or bundle flushes with water or chemical solutions. Chemical means and mechanical means are often combined by performing them concurrently or sequentially. There are a variety of chemical cleaning processes used to clean heat exchangers and vessels in general, and nuclear steam generators in specific. Many of these processes are described in Frenier, W., “Technology for Chemical Cleaning of Industrial Equipment,” NACE International—The Corrosion Society, 2001. As discussed below, there are two basic types of chemical cleaning processes for power plant heat exchangers and vessels such as PWR steam generators: “on-line” (plant heat) and “off-line” (external heat) cleaning processes. Off-line processes refer to processes in which the supply, heating, pumping, mixing, cooling and draining of the chemical solutions is performed via the installation and use of temporary external equipment. The equipment configurations associated with off-line processes are typically very complex, and require significant time and manpower to set up and operate. However, because the plant is fully shut down during external process applications, this type of process is often considered a preferred method of cleaning for safety, process control and other economic reasons. Off-line processes allow electrochemical corrosion monitoring equipment to be installed inside the vessel such as a steam generator to ensure that no harmful side effects of the cleaning operation are occurring. Liquid samples can also be easily taken via temporary sample lines to monitor the process and to ensure that excessive corrosion of vessel or steam generator internals does not occur during the cleaning process due to off-normal process or chemistry conditions. Processes that use primary-to-secondary heat transfer to control the temperature of the cleaning process at a power plant such as a PWR are referred to as “plant heat” or “on-line” processes. The equipment setup and manpower requirements are significantly reduced during on-line processes because heating and cooling of the secondary side (locations of deposits) is supplied from the primary side of the plant using plant systems such as decay heat from reactor core (for heating) or the plant residual heat removal (RHR) system (for cooling). As such, no external heating or cooling equipment is required. Because plant heat processes are applied while the plant is “on-line”, there is no access to the vessel such as a steam generator prior to the cleaning. This prevents the installation of corrosion monitoring equipment inside the steam generator. Liquid sampling is also more difficult during “on-line” processes because the vessel such as a steam generator may need to be partially drained back through plant systems in order to obtain a sample of the cleaning solvent. Thus, process monitoring is much more difficult during “on-line” processes. Excessive corrosion and other off-normal chemistry conditions have been known to occur during conventional “on-line” cleaning applications (see “Application of AREVA Inhibitor-Free High Temperature Chemical Cleaning Process against Blockages on SG Tube Supports,” Dijoux, M. et al, presented at “NPC '08 Berlin, International Conference on Water Chemistry of Nuclear Reactor Systems,” held in Berlin, Germany, Sep. 15-18, 2008). With regard to the cleaning of nuclear steam generators, much of the original research that led to the solvents and processes used today was sponsored by the Steam Generator Owners Group (SGOG) of the Electric Power Research Institute (EPRI) and documented in several reports including EPRI-2976 entitled “Chemical Cleaning Solvent and Process Testing” (April 1983), and EPRI NP-3009 entitled “Steam Generator Chemical Cleaning Process Development” (April 1983). Other cleaning processes which use less concentrated chemical solvents to partially remove, disrupt or change the characteristics of deposits are described in U.S. Pat. No. 5,841,826 to Rootham et al. (“Rootham I”), U.S. Pat. No. 6,740,168 to Rootham et al. (“Rootham II”), and U.S. Pat. No. 7,344,602 to Varrin et al. (“Varrin”). These processes are typically applied as on-line processes, but may be applied as offline processes based on plant-specific considerations. In chemical cleaning processes designed for complete removal of deposits, high temperature processes generally refer to those applied, for example, at 285 to 428° F. (140 to 220° C.), see U.S. Pat. No. 5,264,041 to Kuhnke et al. (“Kuhnke”). These processes are usually applied with the temperature maintained by heat transfer from the primary side of the plant, often while the plant is shutting down for maintenance or refueling. As discussed earlier, these processes are referred to as “on-line” processes in the context of chemical cleaning. The primary side of the plant, or reactor coolant system, is the closed loop portion of the PWR plant comprising the fuel, reactor, reactor coolant pumps, the pressurizer, numerous reactor control and safety systems, and the tubes internal to the steam generators. On the other hand, the secondary side is the portion of the plant which includes the outside of the tubes in the steam generators, the steam lines, turbines, condenser, several stages of pumps, and feedwater heaters. Low temperature processes generally refer to processes applied from, for example, 85 to 285° F. (30° C. to 140° C.), with the temperature maintained by either: (1) primary to secondary side heat transfer (“on-line”), or (2) use of temporary equipment set up outside of the containment building (“off-line”). Temporary equipment typically includes an external heating loop that exchanges heat indirectly with the main chemical cleaning process loop via an external heat exchanger (see discussion below). Heat is typically supplied to the external heating loop by a portable steam boiler, but may also be supplied by electrical heater(s) or by steam from an adjacent power plant. When steam is used, it is condensed on one side of a heat exchanger and not admixed with the cleaning solution (also referred to as indirect heating as opposed to direct steam injection). In nuclear PWRs, the containment building houses the reactor (primary loop) and the steam generators. Steam produced on the “secondary side” of the steam generators exits the steam generators via steam lines which in turn pass through penetrations in the containment building to supply the turbine-generator. Condensed steam or “feedwater” then returns to the steam generators via separate penetrations in the containment building from the condenser through the auxiliary building which houses the aforementioned feedwater heaters, pumps and other equipment. Temporary penetrations at the containment building boundary are also available but generally limited in size and number. These penetrations are often used to connect temporary equipment to the steam generators, but the limited number and size of the penetrations makes it difficult to link or interconnect complex cleaning equipment configurations located outside of containment to the steam generators. At PWRs, there are two basic types of steam generators (SGs). One type is known as a recirculating steam generator (RSG). In an RSG, the tubes which constitute the primary to secondary side boundary are vertically oriented and U-shaped, such that the primary coolant enters and exits the SG near the bottom. The tube “bundle” can consist of thousands of tubes. The other type of steam generator is known as a once-through steam generator (OTSG). In an OTSG, the tubes are straight and vertically oriented such the primary coolant enters at the top of the SG and exits at the bottom. In both RSGs and OTSGs, steam is produced outside the tubes. Both types of steam generators may require periodic chemical cleaning or conditioning to reduce concerns with thermal efficiency and corrosion of the tube materials. In general, a large amount of equipment is required for off-line nuclear steam generator chemical cleaning processes that use temporary equipment for preparing, heating, cooling and recirculating chemical cleaning solvents. Requirements for the temporary cleaning equipment is well-described in Partridge, M. J. and J. A. Gorman, “Guidelines for Design of PWR Steam Generator Chemical Cleaning Systems,” Electric Power Research Institute, Palo Alto, Calif., January 1983. This reference describes the methods employed for off-line “external heat” chemical cleaning of PWR steam generators using either specially designed flow loops or by a process known as “fill, soak and drain” (also described in U.S. Pat. No. 5,257,296 to Buford et al. (“Buford”)) in which chemical solvents are mixed, preheated and pumped into the steam generator, allowed to soak until the temperature drops to an unacceptable level, followed by draining and reheating of the solvent external to the steam generators, and then finally re-injecting the re-heated solvent back into the steam generator. This process may be repeated multiple times until the steam generators are considered clean, at the expense of increasing overall cleaning time. Partridge and Gorman describe the use of steam for indirectly heating of solvents (in an “external heat” process) by passing steam through a heat exchanger integral to the temporary chemical cleaning equipment system located outside of the containment building. In this configuration, steam is available from a portable boiler, but may also be supplied from an adjacent power plant. U.S. Pat. No. 7,302,917 to Remark et al. (“Remark”) discloses an on-line plant heat steam generator chemical cleaning process that involves introducing a chemical cleaning solvent to the secondary side of a steam generator and heating said solvent via heat transfer from the primary side of the plant (nuclear core decay heat and primary side recirculation pump heat) to the secondary side in “Mode 5.” Mode 5 is an industry and regulatory definition describing one of six operating modes ranging from power operations (Mode 1) to shutdown and “defueled” conditions (Mode 6). Mode 5 is a condition of plant operations during which no electric power is being produced by the plant (the reactor is subcritical), but fuel remains in the core, with the primary temperature initially from 210 to 200° F. (99 to 93° C.) cooling down to less than 100° F. (38° C.). The cleaning process disclosed by Remark is said to last for a period described as 24 to 36 hours. Typically, the PWR plant would not stop cooling the plant during a shutdown to hold the temperature at the required cleaning temperature of 200 to 210° F. (99 to 93° C.). As such, the 24 to 36 hours represents what is known as “critical path” time, or time during which electricity is not being produced. The value of electric power produced for 24 to 36 hours can be more than US$1,000,000. It is also not clear that the 24 to 36 hours includes time to inject the cleaning chemicals and partially drain the steam generators for sampling. Several of the references cited herein would suggest that 24 to 36 hours of cleaning time may be inadequate at the temperatures cited in Remark, so actual critical path impact may be greater. The Remark specification further describes the use of nitrogen sparging at 250 to 1500 cubic feet/minute (cfm) (7.1 to 42.5 m3/min) to promote mixing. The benefits of gas sparging for mixing of the fluid on the secondary side of a steam generator were studied in the 1980's (see, for example, EPRI-NP 2993 entitled “Evaluation of Steam Generator Fluid Mixing during Layup”). In this work, modeling and testing demonstrated that complete turnover of the liquid on the secondary side of an RSG could be achieved at flows from 10 to 30 cfm (0.28 to 0.85 m3/min) in as little as seven minutes. The mixing time was found to predicted by Equation 1 as provided below:Tmix=0.6 Q−0.5  (1)Where Tmix was the mixing time in hours, and Q was the gas flow rate in cfm. A 30 cfm (0.85 m3/min) flow corresponds to a 6 minute mixing time, typically more than adequate for most chemical cleaning operations. The rates disclosed in Remark (250 to 1500 cfm) (7.1 to 42.5 m3/min) will undoubtedly promote mixing, but have the potential disadvantage of rapidly pressurizing the steam generator if a continuous vent path is not provided. The free space above the chemical cleaning solution during cleaning is on the order of 3000 to 4000 cubic feet in most RSGs. Therefore, depressurization may be required every few minutes at a gas flow rate of 1500 cfm (42.5 m3/min). Depressurization would only be required every few hours at 30 cfm (0.85 m3/min). Finally, high sparge rates also increase environmental emissions of volatile species such as ammonia (and other amines) and hydrazine, often present in chemical cleaning solutions. The ability to promote mixing at low gas flows is also supported by other references such as Shah et al., “Flow Regimes in Bubble Columns,” AIChE Journal, 28 (182), pp. 353-379, and specifically for spargers such as those used in chemical cleanings or during sparging through the blowdown pipe, as discussed in Tilton, et al., “Designing Gas-Sparged Vessels for Mass Transfer,” Chemical Engineering, (November 1982). Mixing of OTSGs with gas during chemical cleaning is also described in Buford (previously cited) through use of gas eductors. A claimed advantage of the on-line process described in Remark is that it does not require that the steam generator be drained to install connections to the steam generators for the introduction, recirculation or draining of cleaning solvents. As described in Remark, off-line chemical cleaning processes usually require heating and cooling in a sequence of steps using external equipment set-up at a significant distance, up to 1500 feet (460 m) or more, from the SGs outside of the “containment building” which houses the steam generators. The distance is mandated by the need for a large “lay down” or set-up area for the external process heat equipment, and such space (typically more than 100,000 square feet) is generally not available directly adjacent to the containment building. As described in Partridge and Gorman, numerous fluid and gas connections are made to the SGs in external heat processes. Each of these in turn requires a hose or piping to connect to the external chemical cleaning system. The external cleaning system includes a complex array of heaters, pumps, valves, storage tanks, coolers and controls. Inside of containment, there can also be literally hundreds of feet of piping, numerous pumps, and hundreds of valves. The time to set up the external process system even before the plant shutdown (after which interconnections to the steam generators are made) can range from one to three months. The time required to connect the external process system to the SGs can be an additional three to six days or more and involves up to four to twelve or more temporary adapters to be affixed to conventional access penetrations on the secondary side of the SGs. Once set up is complete, an external heat cleaning process typically requires from 5 to 10 days (144 to 240 hours) for each group or set of steam generators that are cleaned. These adapters include supply and return lines for solvents and rinses, drains, level control instrumentation taps, pressure instrumentation taps, temperature indicator taps, gas sparging, corrosion monitoring electronics penetrations, and sample line taps. The necessity of many of these interconnections is to support external heating. The actual application time for the chemical cleaning ranges from several days to several weeks, depending on the complexity of the process (number of solvent steps, rinses, etc.). Demobilization including removing the temporary adapters from the steam generators requires several more days. Whether or not the set-up, application, and demobilization are on “critical path” depends on other plant refueling and maintenance activities that are underway. In many cases, particularly in longer refueling outages, external heat chemical cleaning processes have not affected critical path. If heating is supplied from the primary side, as described in Remark and Kuhnke, the number of interconnections can be limited or eliminated. If no interconnections are made, other means for obtaining liquid samples and performing corrosion monitoring may be required, and these may be very difficult to implement or qualify (i.e., ensure the structural integrity and safe operation). The benefits of in situ corrosion monitoring during off-line processes (electronic corrosion monitors and coupons placed inside the SGs) is well established as reported in NP-2976 and in EPRI NP-5267 “Weld Region Corrosion During Chemical Cleaning of PWR Steam Generators” (July 1987). This is because essentially all chemical cleaning solvents will slightly corrode steam generator components including the pressure boundary shell and internal structures if fabricated from carbon and low allows steels. Typical corrosion allowances for these structures and components range from less than 0.001 to 0.010 inches (25.4 to 254 μm) for each cleaning application. When installed inside a steam generator during a cleaning application, an in situ electrochemical corrosion monitoring system (CMS) allows for the nearly instantaneous detection of off-normal chemistry or process conditions that can lead to unacceptable corrosion. The importance of real-time corrosion monitoring is further supported by recent experience discussed in Dijoux, et al. In this reference, corrosion in some locations of one steam generator during an on-line chemical cleaning with no real-time electrochemical corrosion monitoring was reported to be 0.050 inches (1.27 mm) or five (5) times a typical corrosion allowance. The event was attributed to abnormal application conditions. The process did not use an in situ electrochemical CMS system which is considered the state-of-the-art method for corrosion monitoring during chemical cleaning. A CMS uses techniques including linear polarization resistance (LPR) and zero resistance ammetry (ZRA). Sampling and analysis of the chemical cleaning solution as frequently as every 30 minutes is also critical to ensuring the process is proceeding as expected. Every chemical cleaning of a nuclear steam generator has included very strict requirements on chemistry of the solvents (see EPRI references cited above). As described in Partridge and Gorman, these samples can be taken from the recirculation loop or directly from sample lines on the temporary steam generator adapters during external cleaning processes. Because there is no external recirculation loop and no temporary penetrations into the steam generator during on-line/plant heat processes, partial draining of the steam generators is often required in order to sample cleaning solvents. Based on the above, the primary advantage of on-line/plant heat processes for cleaning nuclear steam generators such as the method described in Remark is that this type of process requires a less complicated and labor-intensive equipment setup. On-line processes may also result in reduced schedule impact, although the actual impact to critical path schedule would be plant-specific (many off-line external heat chemical cleanings of nuclear steam generators have not impacted critical path). The primary disadvantage of on-line/plant heat processes is that process and corrosion monitoring may not be feasible or may be significantly more complicated, such that there is an increased potential for excessive corrosion, increased environmental impact, or other unwanted side effects. By comparison, traditional external cleaning processes are very safe in that they allow industry standard process monitoring techniques to be easily performed. However, typical equipment configurations used during external processes are complex, and require significant time and manpower to setup and operate. A feature of the cleaning method using direct steam injection disclosed herein is that this type of process combines the advantages of on-line/plant heat and off-line/external heat processes, offering a method of external heating that results in a greatly simplified equipment setup, while at the same time allowing process monitoring equipment to be installed inside the steam generators during the cleaning. The specific advantages of the direct steam injection cleaning method, relative to traditional cleaning methods, include: (1) greatly simplified equipment configuration, including a simple method of external heating, (2) shorter set-up times and reduced manpower requirements, (3) shorter demobilization times, (4) steam generator access prior to the cleaning to facilitate installation of online corrosion monitoring equipment and coupons inside the steam generators, and (5) ability to perform liquid sampling without needing to partially drain the steam generator as described in Remark. Previously, direct steam injection has not been used as a means for heating during cleaning of nuclear steam generators and related applications due to concerns that direct steam injection could lead to damage of vessel internals as a result of large thermal gradients or cavitation induced in the vicinity of steam injection equipment and/or vibration of steam injection equipment inside the vessel being cleaned. The direct steam injection method and apparatus disclosed herein have addressed these concerns and provide a means for introducing steam directly into nuclear steam generators or other vessels during cleaning applications with low thermal gradients in the vicinity of steam injection (e.g., below acceptable thermal gradients defined in design basis documents for nuclear steam generators or other heat exchanger equipment), and with minimal cavitation or vibration induced by steam flow, thereby preventing mechanical damage to vessel internals. The method of cleaning with direct steam injection is applicable to conventional chemical cleaning processes as described in Frenier and the EPRI/SGOG references, as well as cleaning options such as those described in Rootham I, Rootham II and Varrin. The latter two patents describe uses of advanced “scale conditioning agents.” The method described herein may also be used with dispersant or decontamination solutions, or any other processes for cleaning heat exchangers or similar vessels, or removing waste such as nuclear waste from similar vessels or fluid systems where temperature control is required or helpful. Detailed below are example embodiments of methods for removing deposits and impurities from the secondary side of a heat exchanger that will typically include the steps of removing a volume of working fluid from the secondary side of the heat exchanger sufficient to expose an access penetration; installing a temporary adapter in the exposed access penetration, the adapter being configured for direct steam injection; injecting steam through the temporary adapter and into the secondary side of the heat exchanger, wherein the injected steam heats the heat exchanger and residual fluid to a target cleaning temperature range; and maintaining the heat exchanger and the residual fluid within the cleaning target temperature range during a cleaning period. The residual fluid may include one or more of the working fluid, chemical cleaning compounds, chemical cleaning solutions, chemical cleaning solvents and water. Some embodiments of the method may include injecting a gas into the residual fluid at a rate sufficient to induce gas sparging within the residual fluid, the gas being selected from a group consisting of steam, non-condensible gases and mixtures thereof and may be injected through an inlet provided by a vessel blowdown system and/or a temporary adapter. A cleaning solution may be formed in the heat exchanger by introducing a volume of water into the secondary side of the heat exchanger and introducing a predetermined quantity of one or more chemical cleaning reagents into the water. During the cleaning process an additional quantity of one or more chemical cleaning reagents may be introduced to maintain or improve the effectiveness of the cleaning. As will be appreciated by those skilled in the art, the composition of the cleaning solution may be altered during the cleaning period to provide, for example, rapid initial removal of deposits followed by a more controlled or gentle removal to reduce damage to the underlying structure. The volume of water introduced may be selected whereby the addition of steam condensate and the chemical cleaning reagent(s) will not exceed a predetermined secondary side volume. Some embodiments of the method may include controlling a steam injection rate to produce a predetermined heating profile in the residual fluid, thereby reducing the likelihood of thermal shock and associated damage within the vessel being cleaned. The steam utilized for the direct injection may include saturated steam, superheated steam and mixtures thereof provided through one or more temporary adapters sequentially or in combination to achieve the desired heating performance. A controller may also be provided for controlling steam temperature and steam pressure of the injected steam to compensate for variations in liquid static head pressure range within the heat exchanger during the heating and/or cleaning period. Similarly, vents or purge valves may be provided on the heat exchanger for controlling the static head pressure within a desired range during the process. Other embodiments of the method may include admixing one or more non-condensible gas(es) with the steam to form a combined gas stream that may then be injected into the secondary side of the heat exchanger. It is anticipated that the non-condensible gas(es) may comprise between 0.01 and 3% of the combined gas stream in such an embodiment. As will be appreciated by those skilled in the art, a number of compositions and compounds may be utilized for cleaning the secondary side of a heat exchanger. It is anticipated that acceptable cleaning solutions may include one or more components selected from chelants, complexing agents and reducing agents, the selection being determined in part by the nature of the deposits being removed, the underlying material and the particular conditions and requirements of the heat exchanger being cleaned. Complexing agents may include, for example, EDTA, NTA, organic acids and mixtures thereof. Also detailed below are example embodiments of systems suitable for practicing the disclosed methods for removing deposits and impurities from a secondary side of a heat exchanger. These systems will typically include a first adapter configured for temporary installation on a first conventional access penetration provided on the heat exchanger, the first adapter including a flange configured for mating to the access penetration; means for securing the adapter to the access penetration including, for example, bolts, gaskets and alignment structures; a conduit or passage for introducing or removing fluid through the access penetration; and an opening provided within the secondary side of the heat exchanger. The system will also typically include a steam source configured for connection to the conduit and a controller configured for controlling the steam injection into the secondary side of heat exchanger through the adapter. The outlet within the heat exchanger may be configured as an eductor, as multiple eductors, as a nozzle, as a regulator-type direct steam nozzle, a sparger or any other configuration or combination that provides suitable mixing of the steam and the residual liquid. Other example embodiments may include a plurality of adapters that are arranged and configured for inducing fluid flow within the secondary side of the heat exchanger from, for example, a first adapter to a second adapter. It should be noted that these figures are intended to illustrate the general characteristics of methods and materials with reference to certain example embodiments of the invention and thereby supplement the detailed written description provided below. These drawings are not, however, to scale and may not precisely reflect the characteristics of any given embodiment, and should not be interpreted as defining or limiting the range of values or properties of embodiments within the scope of this invention. In particular, the relative sizing and positioning of particular elements and structures may be reduced or exaggerated for clarity. The use of similar or identical reference numbers in the various drawings is intended to indicate the presence of a similar or identical element or feature. Disclosed in more detail below are example embodiments of the method and apparatus for removing deposits and impurities from the secondary side of a heat exchanger, such as a steam generator (SG), or similarly configured vessels. An example embodiment of the method as applied to a heat exchanger typically includes the steps of taking the heat exchanger out of service, draining the working fluid from the secondary side of the heat exchanger, removing an access cover from at least one secondary side access penetration, installing a temporary adapter on the opened access penetration, the temporary adapter being arranged and configured for heating the heat exchanger system by injection of a heating fluid (e.g., steam and/or other gas) into the secondary side of the heat exchanger, initiating supply of the heating fluid before, during or after filling the heat exchanger, supplying a volume of heating fluid to the heat exchanger sufficient to heat a cleaning agent to a temperature sufficient to achieve an increased cleaning rate within the heat exchanger, terminating the heating fluid injection after the cleaning is complete, draining the cleaning agent from the heat exchanger, removing the temporary adapter(s) from the access penetration(s), installing the access cover(s) on the access penetrations and returning the heat exchanger to service. Other embodiments of the method may include: (1) additional steps including introducing a quantity of at least one cleaning chemical reagent, in either individual or premixed form, into the working liquid (e.g., water) resident in the heat exchanger to form the liquid cleaning agent in situ, and (2) continuing to add the heating fluid continuously or intermittently during the cleaning process to compensate for energy lost by heat transfer to the surroundings. As will be appreciated by those skilled in the art, this introduction of the cleaning chemical reagent may be made directly into the heat exchanger through one of the temporary adapters or by an “external” introduction into one or more existing lines including, for example, drain lines, feed lines and/or blowdown lines that are normally connected to the heat exchanger. Regardless of the means of introduction utilized, the residual volume of working liquid within the heat exchanger should be adjusted or maintained at a volume that will accommodate the anticipated volume of steam condensate and chemical cleaning agents being introduced in order to avoid overfilling the heat exchanger. Monitoring of liquid volume or level may be achieved by the existing plant instrument or by temporary instrumentation. Alternatively, some form of volume and/or pressure relief may be incorporated to maintain the liquid volume and/or the pressure in the heat exchanger within target values for the duration of the cleaning operation. Other embodiments of the method and apparatus may include controlling the flow rate of the heating fluid to achieve and maintain a target heating rate or temperature range for the heat exchanger and/or the cleaning agent(s). Depending on the control system utilized, the heating fluid flow may be substantially constant, continuous but with a variable flow rate, and/or intermittent. Example heating fluids may include, for example, superheated steam and/or saturated steam. It is anticipated that saturated steam from less than 10 psig to 250 psig (0.69 to 17 bar gauge) and/or steam superheated by up to about 100° F. (55.6° C.) would be suitable for use in practicing example embodiments of the disclosed method. As will be appreciated by those skilled in the art, during the cleaning process, the steam temperature and pressure may be adjusted, for example, by increasing steam pressure to accommodate the liquid static head pressure in the heat exchanger as level increases, or by decreasing the steam flow rate, temperature or superheat after achieving the target temperature range. An example apparatus for practicing the disclosed methods may include a temporary adapter configured for attachment to a conventional heat exchanger access penetration and may further include a flange that mates to the conventional access penetration, appropriate gasket(s) and fasteners for forming a fluid tight seal between the temporary adapter and the access penetration, one or more penetrations provided on the temporary adapter through which heating fluid and other materials may be supplied and/or removed from the heat exchanger, and one or more nozzles for delivering the heating fluid into the heat exchanger. As will be appreciated by those skilled in the art, the nozzle(s) may be configured in a number of ways including, for example, an eductor, a regulator-type direct steam nozzle, a sparger, or a combination thereof. As noted above, the disclosed method provides for a number of apparatus configurations including those in which the total heating fluid nozzle area is adjustable (e.g., through valving, disc travel or other means) or those in which the heating fluid is injected into a short hose or pipe connected to one adapter on the heat exchanger and allowed to recirculate back into the steam generator through a second adapter by configuring a simple recirculation loop that can, for example, be located inside the containment vessel. The latter configuration is particularly well-suited if the heating fluid is supplied through an eductor nozzle mounted in the short recirculation line. Those skilled in the art will also appreciate that a number of constituents used in formulating the cleaning agent may be injected into the recirculation loop (e.g., one or more cleaning agents used in traditional chemical cleaning processes, a scale conditioning agent, a dispersant and/or a decontamination agent). Other embodiments of the apparatus for practicing the disclosed method may provide for gas injection to provide additional mixing and/or to reduce the potential for cavitation or vibration of steam generator equipment. The gas or gases utilized may be injected in a substantially constant, continuous but with a variable flow rate, and/or intermittent manner. The gas may be injected with the heating fluid or through an existing plant system such as the steam generator bottom blowdown system. Nitrogen, argon, other inert gases or mixtures thereof may be used when reducing conditions are required during the cleaning. Air, oxygen, ozone, other oxidizing gases or mixtures thereof may be used when oxidizing conditions are required. It is anticipated that for many applications a gas flow rate of 5 to 100 cfm (0.14 to 2.8 m3/min) would be appropriate, and more preferably 5 to 30 cfm (0.14 to 0.84 m3/mm). This target flow rate range may be corrected for system overpressure. Other embodiments may include, for example, electrochemical corrosion monitoring or periodic sampling of cleaning solutions in order to reduce the risk of damage to the vessel during the cleaning process. It is an object of the present invention to provide a method and apparatus for cleaning of a nuclear steam generator at temperatures from 85 to 285° F. (30 to 140° C.) while the plant is offline (Mode 5 or Mode 6). The method involves allowing to plant to cool down in a conventional manner with no holds in Mode 5 until the temperature of the reactor coolant system on the primary side is less than about 40° C. The steam generator is then drained. One or more of the typically installed access penetration covers (called “hand hole” covers, “eye hole” covers, inspection port covers and the like) are removed. The removed covers are replaced with temporary adapters wherein said adapters may be configured to permit (1) heating and maintaining the temperature of the steam generator and chemical cleaning solvents by injection of steam directly into the secondary side of the steam generator, (2) corrosion monitoring using CMS probes and coupons, (3) monitoring temperature or liquid level if other means such as typical plant instruments are not available, and/or (4) sampling the solvent to evaluate its chemical properties and the progress of the cleaning. Also, a small amount of non-condensible gas may be admixed with the injected steam to reduce the potential for steam cavitation at the nozzle and/or nozzle vibration. Steam cavitation at the nozzle is undesirable in that it may increase erosion wear of the steam injection nozzle/eductor and may also result in unacceptable noise levels during the process. As noted above, nitrogen, argon, or other inert gases may be used when reducing conditions are required during the cleaning. Air, oxygen or ozone may be used when oxidizing conditions are required. Depending on the size of the access penetration, it is possible that all of the above features could be incorporated into a single access penetration adapter. This is in contrast to the need to use up to ten (10) or more adapters for some external heat processes. To date, direct steam injection into the steam generator has not been used for heating required during the cleaning of nuclear steam generators by conventional chemical cleaning solvents or more recently developed scale conditioning agents. However, direct steam injection is an extremely efficient technique for heating liquids as described in U.S. Pat. No. 5,066,137 to King (“King”) and references such as Schroyer, J. A., “Understanding the Basics of Steam Injection Heating”, Chemical Engineering Progress, May 1997 and Pick, “Consider Direct Steam Injection for Heating Liquids,” Chemical Engineering, June 1982. Direct steam injection heating results in reduced energy consumption compared to typical off-line/external heat processes because there is no hot condensate return as would occur in an indirect heat exchanger heated by steam in an external heating loop. The design of the steam injection system for a nuclear steam generator can include one of several types of injectors including a sparger or venturi eductor. (More than one injector may be used in parallel for each SG.) A “modulating” type injection system or steam mixing tee could also be used if a pump were located inside containment and forced flow from one adapter penetration through temporary piping or hoses to the steam injector penetration. This pumping arrangement is far simpler than the typical recirculation pump arrangement used to recirculate the solvents to a process equipment area often located more than 1,500 feet (457 m) from the steam generator. Hose lengths of as short as only 10 to 15 feet (3 to 4.6 m) may be required. It is even possible that such pumps could be installed inside the SG such that external hoses would not be required. If desired, real-time in situ corrosion monitors and solvent sampling directly from the steam generators are possible, either through the same adapter installed to facilitate steam injection, or through another available steam generator penetration. Once the adapters are installed, a steam source is connected. The steam source could be a portable boiler set up outside, but close to, containment, requiring less than 400 to 500 ft2 (37 to 46 m2) of lay down area as opposed to 100,000 ft2 (9,300 m2) or more for a typical external heat process system. As an alternative to use of a portable boiler, steam from an adjacent power plant could be used. Either way, a steam line is routed from the steam source through a single containment penetration or through what is known as the equipment hatch, and attached to the adapter. The steam line may be a flexible steam line or hard piping, but flexible steam line used in dozens of other industries and applications is preferred. Also, as an option, a gas source could be connected to the steam line to allow for pressure checks, but more importantly to provide a small concentration (a few percent) of gas comingled with the steam to suppress the potential for cavitation in the line or at the nozzle outlet. This gas may also be used to sweep residual steam out of the steam line when steam is no longer required. Outside of containment, other connections are made to the plant systems such as the steam generator blowdown line. This line is typically a 2 inch to 4 inch (5.1 to 10.2 cm) diameter line that draws liquid from the bottom of the steam generator during normal power operations in part to prevent the buildup of soluble and insoluble impurities in a RSG. The blowdown line or pipe inside the SG is typically a perforated pipe which provides good distribution of chemicals and/or gas for sparging if the flow rate is controlled to a particular value. The connections to the blowdown line, usually in the auxiliary building or outside the plant, facilitate (1) introduction of premixed chemical cleaning solvent or concentrates, as well as for chemical makeup or replenishment during the cleaning process and (2) supply of gas for sparging to assist in mixing in the steam generator during heat up, cleaning and cool down. Rinse water may also be injected via the blowdown system or the normal plant primary or auxiliary feedwater systems. Finally, the chemical cleaning solvents may be drained to storage tanks via the connection to the blowdown system under gravity or by using the temporary chemical injection pump operating in reverse. In one embodiment, the steam generator is first partially filled with water using either conventional plant systems (auxiliary feedwater), or via blowdown from an external water source. The initial fill level is selected so that the final (end of cleaning) fill level is reached after accumulation of: (1) the steam condensate initially injected to raise the temperature of the fluid inside the steam generator, (2) the chemical agents added to clean the steam generators, (3) the additional condensate from the steam injected to maintain the temperature of the steam generator and (4) any additional cleaning solvent injected during the cleaning application. For a typical steam generator, the final fill level is likely to be about 300 to 400 inches (7.6 to 10.2 m) above the bottom of the steam generator or “tubesheet.” The final volume of liquid in such an application is typically 15,000 to 18,000 gallons (57 to 68 m3). Depending on the design of the vessel to be cleaned and the nature of the cleaning solvent (e.g., EPRI/SGOG EDTA-based solvents, scale conditioning agents, decontamination agents, etc.), the initial water level may be on the order of 200 to 300 inches (5.1 to 7.6 m), and the final full volume may be different than the ranges stated above. The steam source is then energized and steam, with or without a small percentage of non-condensible gas at up to 2% of the steam mass flow rate, is supplied directly to the steam generator. For a typical RSG filled about ⅔ with initial fill water, heating time would be on the order of four to seven hours with 125 psig saturated steam at 2,000 pounds per hour (8.6 bar at 907 kg/h). This includes heat up of the fluid as well as the steam generator structure which depending on the design may represent 100 to 230 or more tons (90.7 to 209 metric tons) of metal. Heating at a faster rate than that described above could exceed some plant “technical specification” limits, so higher steam flows may not be necessary. In an eductor nozzle design, the pumping action of the eductor results in a jet pumping action that assists in maintaining uniformity in temperature on the secondary side of the SG. Testing has also shown that with an eductor design, the induced pumping action and admixing of the surrounding fluid with the steam within or very near the eductor results in the fluid temperatures along the eductor jet centerline beyond approximately 5 to 7 eductor outlet diameters that are typically less than 10° F. (5.6° C.) higher than that of the bulk fluid. A typical eductor outlet diameter is 2 to 5 cm. The temperature of the fluid adjacent to the eductor housing perpendicular to the jet axis is essentially at the bulk fluid temperature due to fluid entrainment with the exiting liquid jet. As a result, local heating or secondary stresses on the steam generator structures can be minimized if the nozzle/eductor is positioned in the SG such that no SG structure is closer than 5 to 7 nozzle diameters from the eductor exit. This is despite the fact that steam is being supplied at a temperature 100 to 300° F. (55 to 167° C.) higher than that of the bulk fluid. At low steam injection rates (less than about 100 to 200 pounds per hour (45.4 to 90.7 kg/hr), use of steam spargers without an eductor(s) is acceptable from the standpoint of limiting thermal gradients, vibration or cavitation concerns. However, the heating time required is greatly increased at these flow rates and the beneficial effects of the jet pumping action from an eductor are not fully realized. Note that a plurality of eductors and/or a combination eductor/sparger configuration may be used to achieve better steam dispersion and reduce cavitation/vibration, especially at elevated steam flow rates. Gas sparging is optionally provided through a gas sparger integral to the adapter or via blowdown piping to maintain uniform chemical and temperature conditions in the steam generator. Once the desired temperature of the water is achieved, chemical cleaning agents are introduced via blowdown system with a chemical injection pump. It may also be desirable to perform steam injection in parallel with the initial fill water or chemical injection for some applications. During the cleaning process (typically 12 to 60 hours), samples of the solvent are periodically taken directly from a sample port on the adapter. There is no need to drain the steam generator to acquire samples. The results of the sample analysis are used to monitor the process per the recommendations of the previously cited references. Corrosion is also monitored in real time with an electrochemical CMS, thus minimizing the risk of unacceptable corrosion. Upon completion of the cleaning, the solvent may be drained back through the plant blowdown system, and rinses are performed. The rinses can be applied at a temperature lower than the solvent temperature to assist in cooling. In view of the above, the invention described herein combines advantages of on-line cleaning processes such as equipment simplicity, reduced setup time, etc. with the advantages of external heat processes such as the ability to perform corrosion monitoring and obtain liquid samples directly from the secondary side of the steam generator. These advantages can be achieved with no active equipment (pumps, valves, controls, etc.) in containment, and only one interconnection from outside containment to inside containment (the steam line) per steam generator. If it is desirable to clean two or more steam generators in parallel, separate steam lines may be provided to each steam generator. Through the example embodiment described above, it is also recognized that the direct steam injection method and apparatus disclosed herein reduce or eliminate concerns related to potential damage to internals in SGs or other vessels during cleaning applications as a result of excessive thermal gradients, cavitation, and/or vibration of steam injection equipment. Finally, it can be recognized that heating the steam generators by direct steam injection would be equally applicable to conventional chemical cleaning solvents such as those described by Frenier (chelant, organic acid, amine and mineral acid based processes) and the EPRI/SGOG references, as well as scale conditioning agents described in several of the above-referenced patents, or any other cleaning process where temperature control is required. It is further recognized that heating the steam generators by direct steam injection can be combined with mechanical cleaning methods performed before, simultaneously with, or after the chemical cleaning. Referring to FIG. 1, a conventional external heat chemical cleaning process is depicted. The steam generator (10) is connected to the external process system located outside of the plant using temporary adapters (17). The steam generator includes a secondary side (11), a primary side (12), and a U-tube bundle (13). The temporary adapters (17 and 18) are installed after the plant has been shut down, and the SGs drained. No connections are generally made to existing plant systems such as blowdown (19), feedwater (14), or the steam line (16). A CMS system is also installed adjacent to the steam generator (21) Equipment in the process area outside of containment (15) can include pumps, boilers, cooling towers, control vans, heat exchangers, mix tanks, mix pumps, berms to contain spills and leaks, valves, and hundreds of other fittings and parts. Up to six or more temporary containment penetrations (20) may be required for the external process system to interconnect with the steam generators. This includes penetrations for air to control valve positioners, nitrogen for inerting the system, and tube sheet drain lines. The typical solvent recirculation pipe sizes at the penetrations are 4 to 6 inches (10.2 to 15.2 cm) in diameter, and diameters of up to 8 inches (20.3 cm) or more may be required. Equipment in containment can include numerous hoses, pumps, piping, valves, flanges, leak prevention devices and catch basins (to contain spills and leaks). To operate the equipment depicted in FIG. 1, up to 30 personnel or more per shift are usually required. Referring to FIG. 2, the cleaning process with direct steam injection is depicted. The steam generator (10) is connected to a temporary steam line (26) via an SG penetration adapter (17), preferably at a 4 to 8 inch (10.2 to 20.3 cm) “hand hole penetration.” The typical plant cover on this access penetration would have been previously removed after cooling the SG to about 40° C. or lower, and the steam generator having been drained using conventional plant procedures and systems. The adapter may be further configured to allow for insertion of on-line corrosion monitors (21) or other instrument such as temperature monitoring device such as a thermocouple. In the preferred embodiment, a single adapter is used, but two or more may be required if the penetrations are smaller than 4 to 8 inches (10.2 to 20.4 cm) or if components internal to the SG restrict access. Once the adapters are in place, a steam line that has been routed through containment and a single containment penetration (20) is connected to the adapter. Referring to FIG. 3A, a single eductor temporary adapter (40) consists of a mounting flange (41) that mates to the existing vessel penetration, a penetration in the flange (42) for a rigid delivery tube (43) through which steam is supplied, a single eductor (44) and a heating fluid supply connection (45). The eductor consists of a heating fluid inlet (46), suction inlets for entraining the vessel fluid (47), and an outlet (48). Referring to FIG. 4A, a multiple eductor temporary adapater (50) consists of a mounting flange (51) that mates to the existing vessel penetration, a penetration in the flange (52) for a rigid delivery tube (53) through which steam is supplied, multiple eductors (54) and a heating fluid supply connection (55). Each eductor consists of a heating fluid inlet (56), suction inlets for entraining the vessel fluid (57), and an outlet (58). Referring to FIG. 5, a typical installation of a single eductor temporary adapter (40) is shown. The adapter flange (41) mounts to the steam generator (10) at an existing penetration (61) using bolts (62) and a gasket for sealing (63). In another embodiment of the invention, a modulating type direct steam injection device would be mounted as part of or adjacent to the adapter, and a pump in containment would be used to transport fluid from the steam generator to a vessel in which direct steam injection would occur. The combined stream (water or cleaning solution from the steam generator, combined with injected steam) would then be returned back to the steam generator. In addition to the connection at the SG, connections through an existing plant system outside of the containment building, preferably in the blowdown line (19), are made for introduction of water and/or chemicals into the SG. The connection(s) also serve to allow for introduction of gas through blowdown piping to promote mixing, or establish oxidizing or reducing conditions in the steam generator as appropriate. Alternatives for introducing the water or cleaning chemicals include introduction via a connection in the plant auxiliary feedwater system, as shown in FIG. 2. Once all connections are complete, water is introduced into the steam generator. Level during the entire process may be monitored by existing plant instrumentation or by temporary level instruments. In the preferred embodiment, this water is demineralized or other high purity water (condensate water), supplied to the SGs using plant systems and procedures, e.g. via the auxiliary feedwater system. In the preferred embodiment, the initial fill level is selected such that the final fill level after accumulation of condensed steam and the introduction of the chemical cleaning agents will be the target level for the cleaning. This is usually just over the top of the tube bundle but below critical steam generator components such as the “girth weld” (32), a weld known to be susceptible to cracking if corrosion in the form of pitting were to occur as a result of the secondary side cleaning. Overfilling the SG also results in the potential for spill over of chemical and/or foam generated during the process into plant systems such as the feedwater system through the feedwater header. Overfilling also creates more waste. Returning again to the system illustrated in FIG. 2, once filled with water, the steam flow to the direct steam injection device is initiated. The source of steam is preferably a portable package boiler (22) but may also be a nearby power plant. Make-up water is provided to the boiler (30). The injection device affixed to the steam generator may be an eductor or sparger (27). For a fill volume of approximately 12,000 gallons (45.4 m3), the time required to preheat the SG and water to say 195° F. (90° C.), a conventional application temperature for the EPRI/SGOG process described in the EPRI reference, would be approximately 6 hours based on a flow of 2000 pounds per hour (907 kg/hr) of 125 psig (8.6 bar) saturated steam (352° F. or 177° C.). One skilled in the art would recognize that this heat up time represents a small fraction of the overall cleaning time, and adds little or no time at all if the filling, heating and chemical injections were to occur simultaneously. Note that the liquid exiting the eductor by entrainment with the steam is not at this pressure, but at a pressure equivalent to the water column head pressure in the SG. The temperature a few nozzle diameters from the eductor has been measured to be less than 10° F. (5.6° C.) above that of the bulk fluid. In one embodiment of this invention, a small amount of non-condensible gas is also admixed with the steam at the steam supply to reduce noise/vibration and risk of any cavitation damage to the eductor device or adjacent vessel internals. Typically, the volume of non-condensible gas is less than 1%, but in some instances it could range as high as 3% or more. The overall flow of steam is controlled by a pressure regulating valve (28) external to containment. The present method for heating the SG and the fill water is compatible with a number of cleaning chemical solvents including the EPRI/SGOG EDTA-based solvents described in the previous references. This solvent uses EDTA, hydrazine, ammonium hydroxide and a corrosion inhibitor. A concentrated formulation of this solvent (30-40% as EDTA) is then pumped from a holding tank (24), through hoses, via a pump (25) that is in turn connected to preferably the blowdown connection. The final concentration of the solvent in the SG may be from 4 to 25% as EDTA. The pumping rate is controlled so as to allow its temperature in the SG to be maintained by the steam injection. The present method is also compatible with scale conditioning agents and other amine, organic acid, mineral acid or chelating/complexing agent based deposit removal solvents for oxides or metallic species. Mixing during or after injection of the concentrate may be enhanced by either continuous sparging with gas via the blowdown system (19), comingling the concentrate with gas during injection, or after solvent injection is complete. Mixing may also be achieved by transferring liquid between heat exchangers when more than one heat exchanger is being cleaned at the same time. After completion of the injection of the concentrate, the SG temperature is maintained by either periodic injections of steam or by injection of steam at a reduced rate, lower pressure, or lower temperature. All of these parameters are controlled from outside containment at the boiler. Samples of the solvent may be obtained directly from the SG without draining the boiler (22) or by temporarily stopping sparging and sampling via an exiting connection in the blowdown system (23, 23a, 23b). If required, make-up chemical constituents may be added via blowdown using the injection pump (25). Examples would include replenishing the chemical agents, or makeup of critical chemical species (e.g., corrosion inhibitor or reducing agent in the case of reductive dissolution processes). Partial draining to the waste tanks (29) can be used to accommodate the volumes of makeups or replenishments. Note that FIG. 2 shows fewer waste tanks than FIG. 1. This is because the waste volumes are lower in this process because no recirculation system is required. The recirculation system typically accounts for 5 to 25% of the overall system volume during an external heat cleaning process. This has the advantage of reducing waste treatment costs, which for nuclear steam generator cleanings can exceed US$30 per gallon (US$8 per liter) or several million dollars per application. As pressure in the SGs increases due to solvent off gassing (e.g., generation of nitrogen from the decomposition of some reducing agents such as hydrazine) or due to sparging, the plants steam system valves such as the atmospheric relief valves (31) could be periodically opened. This is standard procedure for chemical cleaning. However, there is a desire to limit the amount of gas discharged through these valves as the gas may contain species such as nitrogen (an asphyxiant), amines such as ammonia or morpholine (mildly toxic) and hydrazine (a carcinogen). Therefore, it is an objective of this invention to reduce the flowrate of gas used for mixing or to establish reducing or oxidizing conditions as appropriate during the cleaning process. Inert gases such as nitrogen or argon are typically used to promote reductive dissolution during cleaning processes (i.e., to remove among other species magnetite or other oxides). Air, oxygen or ozone may be used to promote oxidative dissolution (i.e., to remove metals such as copper). Gas sparging rates via the blowdown system are set so as to promote good mixing and temperature uniformity in the SGs, while at the same time minimizing environmental emissions. The preferred range for the present invention is 5 to 100 cfm (0.15 to 2.8 m3/min). Although this rate is far below that reported in some prior art, testing and analyses has shown this rate is sufficient to “turnover” the secondary side of an RSG in about 10 minutes or less. The sparging may also be continuous or intermittent. In intermittent applications, the time during which sparging is active should be a minimum of one volume turnover (e.g., 6 minutes at 30 cfm (0.85 m3/min)). From the above it should be apparent that direct steam injection for nuclear steam generator chemical cleaning results in reduced equipment complexity and personnel requirements. Another benefit of the invention is that despite the simplicity of the process and required equipment, it still allows for the installation of electrochemical corrosion monitoring equipment and coupons inside of the steam generator, and sampling of solvent without requiring draining of the steam generator. Further benefits of a simpler external heat process such as that described herein include the potential for reduced impact to critical path schedule, which is implicit in any process that delays plant cool down in Mode 5. Waste volumes are also reduced owing to the elimination of the recirculation system typically used in conventional external heat processes. The process and equipment is applicable to conventional chemical cleaning processes, scale conditioning agents, dispersant or decontamination solutions, or any other processes for cleaning heat exchangers or similar vessels where temperature control is required or helpful. Others skilled in the art would recognize that while the preferred embodiment described herein involves injecting chemicals through the plant blowdown system, an alternative would be to inject chemicals through a steam generator adapter, via the auxiliary feedwater system, or via another appropriate access point.
051125714
claims
1. A fuel assembly having fuel rods inserted into hollow cylindrical cells welded to one another in a grating arrangement so as to form a spacer which keeps the fuel rods correctly spaced from one another, the spacer having spacer elements disposed in a plurality of stages in the longitudinal direction of the fuel rods, said fuel assembly comprising: vanes formed on said cells at least at positions corresponding to the uppermost of said stages of said spacer elements, each vane being bent from a cut formed in a part of the side wall of the cell, said vanes obliquely projecting into the corresponding spaced between adjacent fuel rods and allowing a coolant flowing through said spaces and forming two-phase flows to generate swirling flows toward said fuel rods and enabling adherence of a liquid film on said fuel rods. thin-walled cylinders extending in the longitudinal direction of said fuel rods and being fixed to said cells at least at positions within the spaces between adjacent fuel rods which correspond to the uppermost of said stages of said spacer elements, each of said cylinders having vanes provided therein, said vanes obliquely projecting inward of said cylinders and allowing a coolant flowing through said spaces and forming two-phase flows to generate swirling flows toward said fuel rods and enabling adherence of a liquid film on said fuel rods. spiral vanes fixed to said cells at least at positions within the spaces between adjacent fuel rods which correspond to the uppermost of said spacer elements, said vanes allowing a coolent flowing through said spaces and forming two-phase flows to generate swirling flows toward said fuel rods and enabling adherence of a liquid film on said fuel rods. an ordinary fuel spacer element having no vanes and being disposed at a lower stage on said fuel rods; a fuel spacer element having vanes of the type described in any of the claims 1 to 3 and being disposed at an intermediate state on said fuel rods; and a fuel spacer element having vanes of the type described in claim 4 or 5 and being disposed at an upper state on said fuel rods. vanes formed on said cells, each vane being bent from a cut formed in a part of the side wall of the cell, said vanes obliquely projecting into the corresponding spaces between adjacent fuel rods and allowing a coolant flowing through said spaces and forming two-phase flows to generate swirling flows toward said fuel rods and enabling adherence of a liquid film on said fuel rods. thin-walled cylinders extending in the longitudinal direction of said fuel rods and being fixed to said cells at positions within the spaces between adjacent fuel rods, each of said cylinders having vanes provided therein, said vanes obliquely projecting inward of said cylinders and allowing a coolant flowing through said spaces and forming two-phase flows to generate swirling flows toward said fuel rods and enabling adherence of a liquid film on said fuel rods. spiral vanes fixed to said cells at positions within the spaces between adjacent fuel rods, said vanes allowing a coolant flowing through said spaces and forming two-phase flows to generate swirling flows toward said fuel rods and enabling adherence of a liquid film on said fuel rods. 2. A fuel assembly according to claim 1, wherein each of said vanes is formed at a position intermediate in the longitudinal direction of the side wall of the cell of said fuel spacer. 3. A fuel assembly according to claim 1, wherein each of said vanes is formed at a position starting from the longitudinal upper end of the side wall of the cell of said fuel spacer. 4. A fuel assembly having fuel rods inserted into hollow cylindrical cells welded to one another in a grating arrangement so as to form a spacer which keeps the fuel rods correctly spaced from one another, the spacer having spacer elements disposed in a plurality of stages in the longitudinal direction of the fuel rods, said fuel assembly, comprising: 5. A fuel assembly having fuel rods inserted into hollow cylindrical cells welded to one another in a grating arrangement so as to form a spacer which keeps the fuel rods correctly spaced from one another, the spacer having spacer elements disposed in a plurality of stages in the longitudinal direction of the fuel rods, said fuel assembly comprising: 6. A fuel assembly according to any of claims 1 to 5, wherein the vanes are provided in the spaces between adjacent fuel rods that are, within the plane defined by each spacer element, the spaces closest to the outer periphery of the plane and the spaces second to the closest spaces. 7. A fuel assembly according to any of claims 1 - 6, further comprising an ordinary fuel spacer element having no vanes and being disposed at a lower stage on said fuel rods, wherein a fuel spacer element having vanes of the type described in any of the claims is disposed at an upper stage on said fuel rods. 8. A fuel assembly having fuel rods inserted into hollow cylindrical cells welded to one another in a grating arrangement so as to form a spacer which keeps the fuel rods correctly spaced from one another, the spacer rods having spacer elements disposed in a plurality of stages in the longitudinal direction of the fuel rods, said fuel assembly comprising: 9. A fuel spacer for keeping fuel rods correctly spaced from one another, the spacer comprising a plurality of hollow cylindrical cells welded to one another in a grating arrangement into which the fuel rods are inserted, said fuel; spacer comprising: 10. A fuel spacer according to claim 9, wherein each of said vanes is formed at a position intermediate in the longitudinal direction of the side wall of the cell. 11. A fuel spacer according to claim 9, wherein each of said vanes is formed at a position starting from the longitudinal upper end of the side wall of the cell. 12. A fuel spacer for keeping fuel rods correctly spaced from one another, the spacer comprising a plurality of hollow cylindrical cells welded to one another in a grating arrangement into which the fuel rods are inserted, said fuel spacer comprising: 13. A fuel spacer for keeping fuel rods correctly spaced from one another, the spacer comprising a plurality of hollow cylindrical cells welded to one another in a grating arrangement into which the fuel rods are inserted, and spacer elements disposed in a plurality of stages in the longitudinal direction of the fuel rods, said fuel spacer comprising:
claims
1. A storage device (1) for transporting and/or storing nuclear fuel assemblies, said device including:a plurality of adjacent housings (2), each for receiving a nuclear fuel assembly,several stacked stages (E1, E2) along a stacking direction (8) parallel to the longitudinal axes (4) of the housings, the housings being delimited fully or partly by said stages,first stages (E1, Ei−1, Ei+1) for neutron absorption and thermal conductivity, andsecond stages (E2, Ei) for mechanical strength alternately arranged with the first stages along said stacking direction (8),wherein each first stage (E1, Ei−1, Ei+1) comprises at least one notched assembly (6a) extending along a first direction (10) orthogonal to the stacking direction (8), as well as at least one second notched assembly (6b) extending along a second direction (12) orthogonal to the first direction (10) as well as to the stacking direction (8), the first and second assemblies being interlaced and each including at least one element of aluminium alloy comprising boron,wherein each second stage (E2, Ei) including comprises at least one first structure (7a) extending along the first direction (10) as well as at least one second structure (7b) extending along the second direction (12), the first and second structures being stacked along the stacking direction (8) and each made of one or more materials free of neutron absorbing elements, the first and second structures being each free of notches on their opposite edges along the stacking direction, and each having a transverse cross-section with a height substantially constant all along the stacking direction, andwherein for at least one of the second stages (Ei) on either side of which a first stage (Ei−1) and a first stage (Ei+1) are arranged, the first structure (7a) of the second stage (Ei) rests on an edge (6a″) of the first notched assembly (6a) of the first stage (Ei−1) and passes through a notch (22″) of the second notched assembly (6b) of this first stage (Ei−1), whereas an edge (6b′) of the second notched assembly (6b) of the first stage (Ei+1) rests on the second structure (7b) of the second stage (Ei,), the edge (6b′) of the second notched assembly (6b) of the first stage (Ei+1) passing through a notch (24′) of the first notched assembly (6a) of this first stage (Ei+1). 2. The storage device according to claim 1, wherein the first and second assemblies (6a, 6b) have notches on each of their opposite edges along the stacking direction (8). 3. The storage device according to claim 1, wherein the alternate first structures (7a) and first notched assemblies (6a) form a first housing separating partition (9), on either side of which two housings (2) are at least delimited, and in that the alternate second structures (7b) and second notched assemblies (6b) form a second housing separating partition (11), on either side of which two housings (2) are at least delimited, the first and second separating partitions being orthogonal to each other. 4. The storage device according to claim 3, wherein each first and second partition (9, 11) has a substantially constant thickness (Ep). 5. The storage device according to claim 1, wherein each first stage (E1, Ei−1, Ei+1) has, outside an interlaced zone between the first and second notched assemblies (6a, 6b), a first mean height (H1) along the stacking direction (8), in that each second stage (E2, Ei) has, outside a crossing zone between the first and second structures (7a, 7b), a second mean height (H2) along the stacking direction (8), and in that the first and second mean heights (H1, H2) fulfil the condition 0.1<H2/H1<0.35. 6. The storage device according to claim 1, wherein the first height (H1) is higher than 100 mm. 7. The storage device according to claim 1, wherein the boron content in at least some of said elements of aluminium alloy of the first and second notched assemblies (6a, 6b) is higher than 25 mg/cm3. 8. The storage device according to claim 1, wherein each first and second structure (7a, 7b) is made of steel. 9. The storage device according to claim 1, wherein each second stage (E2, Ei) includes a junction pin (20) at a crossing zone between the first and second structures (7a, 7b) through which this junction pin at least partially passes. 10. The storage device according to claim 1, wherein the first and second structures (7a, 7b) are each of a square or rectangular cross-section. 11. The storage device according to claim 1, wherein the first and second structures (7a, 7b) each have a cross-section with a uniform or non-uniform width. 12. The storage device according to claim 1, wherein water ports (42) extending along the stacking direction (8) pass through each of the first and second structures (7a, 7b). 13. The storage device according to claim 1, wherein the first and second structures (7a, 7b) are each made as a single piece. 14. The storage device according to claim 1, wherein the first and second interlaced notched assemblies (6a, 6b) are each made as a single piece, or with several pieces (56, 58) assembled to each other and preferably delimiting an empty space (50) between them. 15. The storage device according to claim 1, further comprising peripheral walls (14) arranged at the periphery of the first and second stacked stages, said peripheral walls delimiting a part of some of the housings. 16. The storage device according to claim 1, configured such that in an assembled configuration, clearances along the stacking direction (8) are provided at the crossing/interlacing zones between the elements (6a, 6b, 7a, 7b). 17. A package for storing and/or transporting nuclear fuel assemblies, the package comprising a cavity in which a storage device (1) according to claim 1 is housed. 18. A pack comprising a package according to claim 17, as well as fuel assemblies arranged in the housings of the storage device of this package.
claims
1. A medical sensor assembly for use with a fluoroscopic mount, comprising: an electromagnetic sensor configured for outputting positional data relating to said fluoroscopic mount, said sensor comprising a mount engaging element; and a sensor mount composed of a non-ferromagnetic material, said sensor mount comprising a spacer and a sensor engaging element, wherein said sensor engaging element and said mount engaging element are configured to be removably mounted in an interference relationship with each other, and said spacer is configured to maintain a prescribed distance between said sensor and said fluoroscopic mount. 2. The medical sensor assembly of claim 1 , wherein said fluoroscopic mount comprises a fluoroscopic C-arm. claim 1 3. The medical sensor assembly of claim 1 , wherein said sensor mount is configured in a front-mount arrangement. claim 1 4. The medical sensor assembly of claim 1 , wherein said sensor mount is configured in a side-mount arrangement. claim 1 5. The medical sensor assembly of claim 1 , wherein said spacer is configured to be permanently mounted to said fluoroscopic mount. claim 1 6. The medical sensor assembly of claim 5 , wherein said sensor engaging element is permanently mounted to said spacer. claim 5 7. The medical sensor assembly of claim 6 , wherein said sensor engaging element and said spacer form a unibody structure. claim 6 8. The medical sensor assembly of claim 1 , wherein said sensor engaging element is configured to be permanently mounted to said fluoroscopic mount. claim 1 9. The medical sensor assembly of claim 8 , wherein said mount engaging element comprises said spacer. claim 8 10. The medical sensor assembly of claim 9 , wherein said sensor comprises a sensor housing that is permanently mounted to said spacer. claim 9 11. The medical sensor assembly of claim 8 , wherein said mount engaging element is configured to be removably mounted to said sensor engaging element using a hook-in-loop material. claim 8 12. The medical sensor assembly of claim 1 , wherein said mount engaging element comprises a sensor housing. claim 1 13. The medical sensor assembly of claim 1 , wherein said spacer comprises a flange, said sensor engaging element comprises a pair of arms extending from said flange, said mount engaging element comprises a member, and said pair of arms is configured for receiving said member therebetween in a snug relationship. claim 1 14. The medical sensor assembly of claim 13 , wherein said member comprises a shaft and an enlarged rounded end that is configured to be disposed on said pair of arms when said shaft is inserted between said pair of arms. claim 13 15. The medical sensor assembly of claim 13 , wherein said pair of arms comprises inwardly curving ends that form an aperture between said pair of arms to receive said member. claim 13 16. The medical sensor assembly of claim 13 , wherein said inwardly curving ends comprise beveled edges. claim 13 17. The medical sensor assembly of claim 13 , wherein said pair of arms is not coextensive with said flange. claim 13 18. The medical sensor assembly of claim 13 , wherein said pair of arms is coextensive with said flange. claim 13 19. The medical sensor assembly of claim 13 , wherein said flange comprises a concave surface for receiving said member. claim 13 20. The medical sensor assembly of claim 13 , wherein said member comprises a pair of opposing cutouts, and said pair of arms is configured for engaging said cutouts. claim 13 21. The medical sensor assembly of claim 13 , wherein said member comprises a sensor housing. claim 13 22. The medical sensor assembly of claim 1 , wherein said mount engaging element comprises a sensor shaft and a pair of oppositely-extending sensor arms to form a general T-shape, said sensor engaging element comprises a pair of sensor holding arms extending from said spacer, said sensor shaft is configured to be inserted between said pair of sensor holding arms, and said pair of sensor arms is configured to be respectively disposed on said pair of sensor holding arms. claim 1 23. The medical sensor assembly of claim 22 , wherein said pair of sensor arms is substantially coplanar with said sensor shaft. claim 22 24. The medical sensor assembly of claim 22 , wherein said pair of sensor arms and said pair of sensor holding arms are mounted to each other in a snap-fit arrangement. claim 22 25. The medical sensor assembly of claim 24 , wherein said pair of sensor arms and said pair of sensor holding arms respectively comprise ridges and indentations that mate with each other. claim 24 26. The medical sensor assembly of claim 22 , wherein said pair of sensor arms comprises ends that curve towards said sensor shaft to engage said sensor holding arms. claim 22 27. The medical sensor assembly of claim 1 , wherein said mount engaging element comprises a member, and said sensor engaging element comprises an open cavity configured to receive said member in a direction substantially perpendicular to a plane in which said sensor mount is mounted. claim 1 28. The medical sensor assembly of claim 27 , wherein said open cavity is formed in said spacer. claim 27 29. The medical sensor assembly of claim 28 , wherein said sensor comprises a wire extending therefrom, and said spacer comprises a channel extending from said open cavity to the exterior of said spacer to receive said sensor wire. claim 28 30. The medical sensor assembly of claim 27 , wherein said open cavity is configured to receive said member in a snap-fit arrangement. claim 27 31. The medical sensor assembly of claim 27 , wherein said open cavity is substantially circular, and said member is oblong, said member being mounted along the diameter of said circular open cavity. claim 27 32. The medical sensor assembly of claim 27 , wherein the shape of said open cavity and the shape of said member are substantially similar. claim 27 33. The medical sensor assembly of claim 32 , wherein the shape of said open cavity and the shape of said member are substantially non-circular. claim 32 34. The medical sensor assembly of claim 27 , wherein the shape of said open cavity and the shape of said member are hexagonal. claim 27 35. The medical sensor assembly of claim 27 , wherein each of said open cavity and said member comprises at least two lateral edges that engage each other when said open cavity receives said member. claim 27 36. The medical sensor assembly of claim 35 , wherein said at least two lateral edges of said member comprise one of ridges or indentations, and said at least two lateral edges of said open cavity comprise another of said ridges or indentations. claim 35 37. The medical sensor assembly of claim 27 , wherein said cavity has a partially circular cross-sectional shape with a first diameter and an arc extending at least 180 degrees, and said member has a cross-sectional shape with a second diameter substantially similar to said first diameter. claim 27 38. The medical sensor assembly of claim 37 , wherein said cavity is oblong and comprises a first pair of substantially perpendicular opposing extensions, and said member is oblong and comprises a second pair of substantially perpendicular opposing extension that are configured to be received by said first pair of substantially perpendicular opposing extensions. claim 37 39. The medical sensor assembly of claim 27 , wherein said member comprises a barb, and said sensor engaging element further comprises a hole formed within said open cavity to receive said barb. claim 27 40. The medical sensor assembly of claim 39 , wherein said member and said open cavity each have an oblong shape, and said barb extends substantially perpendicular to said member. claim 39 41. The medical sensor assembly of claim 27 , wherein said member comprises a sensor housing. claim 27 42. The medical sensor assembly of claim 1 , wherein said mount engaging element comprises a conical member, and said sensor engaging element comprises a conical cavity for receiving said conical member. claim 1 43. The medical sensor assembly of claim 42 , wherein said conical cavity is formed within said spacer. claim 42 44. The medical sensor assembly of claim 43 , wherein said sensor comprises a sensor wire extending therefrom, and said spacer comprises a slit configured to receive said sensor wire, said slit extending from said conical cavity to the exterior of said spacer. claim 43 45. The medical sensor assembly of claim 44 , wherein said slit is oriented substantially parallel to the axis of said conical cavity. claim 44 46. The medical sensor assembly of claim 42 , wherein said conical member comprises a sensor housing. claim 42 47. The medical sensor assembly of claim 46 , wherein said non-circular cross-section is D-shaped. claim 46 48. The medical sensor assembly of claim 46 , wherein said cavity is formed within said spacer. claim 46 49. The medical sensor assembly of claim 44 , wherein said clip receiving means is configured to receive said clip in a direction parallel to a plane in which said sensor is mounted. claim 44 50. The medical sensor assembly of claim 44 , wherein said clip receiving means comprises a cavity, said cavity and said clip having matching non-circular cross-sections. claim 44 51. The medical sensor assembly of claim 44 , wherein said clip receiving means comprises a handle formed on said spacer. claim 44 52. The medical sensor assembly of claim 51 , wherein said sensor engaging element further comprises a pair of sensor holding arms that extend from said spacer to receive said sensor housing. claim 51 53. The medical sensor assembly of claim 44 , wherein said clip receiving means comprises an elastomer slit formed in said spacer. claim 44 54. The medical sensor assembly of claim 44 , wherein said clip receiving means comprises an L-shaped flange formed on said spacer. claim 44 55. The medical sensor assembly of claim 44 , wherein said clip receiving means comprises a spring clip. claim 44 56. The medical sensor assembly of claim 55 , wherein said clip receiving means comprises a cutout for receiving said sensor housing. claim 55 57. The medical sensor assembly of claim 1 , wherein said mount engaging element comprises a sensor housing and a clip disposed thereon, and said sensor engaging element comprises means for receiving said clip. claim 1 58. The medical sensor assembly of claim 1 , wherein said sensor engaging element comprises one of a member and cavity, said mount engaging element comprises another of said member and cavity, and said member and cavity have substantially uniform and complementary cross-sections, such that said member and said cavity are configured to slidingly engage each other. claim 1 59. The medical sensor assembly of claim 58 , wherein said member and said cavity slidingly engage each other in a direction substantially parallel to a plane in which said sensor mount is mounted. claim 58 60. The medical sensor assembly of claim 58 , wherein said sensor engaging element comprises said member, and said mount engaging element comprises said cavity. claim 58 61. The medical sensor assembly of claim 58 , wherein said sensor engaging element comprises said cavity, and said mount engaging element comprises said member. claim 58 62. The medical sensor assembly of claim 58 , wherein said one of said member and cavity comprises a protuberance, and said other of said member and cavity comprises an indentation that engages said protuberance when said member is fully engaged within said cavity. claim 58 63. The medical sensor assembly of claim 58 , wherein said member comprises a key, and said cavity comprises a key slot that engages said key when said member is slidingly engaged within said cavity. claim 58 64. The medical sensor assembly of claim 58 , wherein said member comprises a detent, and said cavity comprises an aperture that engages said detent when said member is fully engaged with said cavity. claim 58 65. The medical sensor assembly of claim 58 , wherein said member comprises a pair of opposing slots that substantially extends the length of said member, and said cavity comprises a pair of opposing ridges that substantially extends the length of said cavity. claim 58 66. The medical sensor assembly of claim 58 , wherein said complementary cross-sections are T-shaped. claim 58 67. The medical sensor assembly of claim 58 , wherein said complementary cross-sections are ellipsoidal. claim 58 68. The medical sensor assembly of claim 67 , wherein said ellipsoidal complementary cross-sections each comprise lateral opposing extensions. claim 67 69. The medical sensor assembly of claim 58 , wherein said complementary cross-sections are trapezoidal. claim 58 70. The medical sensor assembly of claim 58 , wherein said complementary cross-sections are rectangular. claim 58 71. The medical sensor assembly of claim 58 , wherein said sensor comprises a finger handle. claim 58 72. The medical sensor assembly of claim 58 , wherein said mount engaging element comprises a sensor housing. claim 58 73. The medical sensor assembly of claim 1 , wherein said sensor engaging element comprises one of a first matching snap protuberance and a snap hole, said mount engaging element comprises another of said first matching snap protuberance and snap hole, and said first matching snap hole and said first matching snap protuberance are configured to respectively snap together. claim 1 74. The medical sensor assembly of claim 73 , wherein said one of a snap protuberance and snap hole comprises a snap protuberance, and said other one of a snap protuberance and a snap hole comprises a snap hole. claim 73 75. The medical sensor assembly of claim 73 , wherein said sensor engaging element comprises one of a second matching snap protuberance and a snap hole, said mount engaging element comprises another of said second matching snap protuberance and snap hole, and said second matching snap hole and said snap protuberance are configured to respectively snap together. claim 73 76. The medical sensor assembly of claim 75 , wherein said one of said first matching snap protuberance and a snap hole and said one of said second matching snap protuberance and a snap hole each comprises a snap protuberances, said other of said first matching snap protuberance and a snap hole and said other of said second matching snap protuberance and a snap hole each comprises a snap hole. claim 75 77. The medical sensor assembly of claim 1 , wherein said sensor comprises a sensor housing, said mount engaging element comprises a rigid planar member, said sensor engaging element comprises a flexible planar member that is configured to be removably attached to said spacer when said rigid planar member is disposed on said spacer, and said sensor engaging element comprises an aperture through which said sensor housing can fit, but through which said rigid planar member cannot fit. claim 1 78. The medical sensor assembly of claim 77 , wherein said flexible planar member is configured to be removably mounted to said spacer using a hook-in-loop material. claim 77 79. A medical sensor assembly for use with a fluoroscopic mount, comprising: an electromagnetic sensor configured for outputting positional data relating to said fluoroscopic mount, said sensor comprising a mount engaging element; and a sensor mount composed of a non-ferromagnetic material, said sensor mount comprising a spacer and a sensor engaging element, wherein said sensor engaging element and said mount engaging element are removably mounted in an interference relationship with each other, and said spacer is configured to maintain a prescribed distance between said sensor and said fluoroscopic mount. 80. The medical sensor assembly of claim 79 , wherein said fluoroscopic mount comprises a fluoroscopic C-arm. claim 79 81. The medical sensor assembly of claim 79 , wherein said sensor mount is configured in a front-mount arrangement. claim 79 82. The medical sensor assembly of claim 79 , wherein said sensor mount is configured in a side-mount arrangement. claim 79 83. The medical sensor assembly of claim 79 , wherein said spacer is configured to be permanently mounted to said fluoroscopic mount. claim 79 84. The medical sensor assembly of claim 83 , wherein said sensor engaging element is permanently mounted to said spacer. claim 83 85. The medical sensor assembly of claim 84 , wherein said sensor engaging element and said spacer form a unibody structure. claim 84 86. The medical sensor assembly of claim 79 , wherein said sensor engaging element is configured to be permanently mounted to said fluoroscopic mount. claim 79 87. The medical sensor assembly of claim 86 , wherein said spacer is removably mounted to said sensor engaging element. claim 86 88. The medical sensor assembly of claim 87 , wherein said mount engaging element is permanently mounted to said spacer. claim 87 89. The medical sensor assembly of claim 86 , wherein said spacer is removably mounted to said sensor engaging element using a hook-in-loop material. claim 86 90. The medical sensor assembly of claim 79 , wherein said mount engaging element comprises a sensor housing. claim 79 91. A medical image-acquisition device, comprising: a fluoroscopic mount; an electromagnetic sensor configured for outputting positional data relating to said fluoroscopic mount, said sensor comprising a mount engaging element; and a sensor mount composed of a non-ferromagnetic material, said sensor mount comprising a spacer and a sensor engaging element, wherein said sensor engaging element and said mount engaging element are removably mounted in an interference relationship with each other, and said spacer is configured to maintain a prescribed distance between said sensor and said fluoroscopic mount. 92. The medical image-acquisition device of claim 91 , wherein said fluoroscopic mount comprises a fluoroscopic C-arm. claim 91 93. The medical image-acquisition device of claim 91 , wherein said sensor mount is configured in a front-mount arrangement. claim 91 94. The medical image-acquisition device of claim 91 , wherein said sensor mount is configured in a side-mount arrangement. claim 91 95. The medical image-acquisition device of claim 91 , wherein said spacer is permanently mounted to said fluoroscopic mount. claim 91 96. The medical image-acquisition device of claim 95 , wherein said sensor engaging element is permanently mounted to said spacer. claim 95 97. The medical image-acquisition device of claim 96 , wherein said sensor engaging element and said spacer form a unibody structure. claim 96 98. The medical image-acquisition device of claim 91 , wherein said sensor engaging element is permanently mounted to said fluoroscopic mount. claim 91 99. The medical image-acquisition device of claim 98 , wherein said spacer is removably mounted to said sensor engaging element. claim 98 100. The medical image-acquisition device of claim 99 , wherein said mount engaging element is permanently mounted to said spacer. claim 99 101. The medical image-acquisition device of claim 98 , wherein said spacer is removably mounted to said sensor engaging element using a hook-in-loop material. claim 98 102. The medical image-acquisition device of claim 91 , wherein said mount engaging element comprises a sensor housing. claim 91
claims
1. A method for manufacturing an anti-scatter grid having a desired height comprising: positioning a bottom surface of a mask of dielectric material, with a depth at least equal to the desired height of the anti-scatter grid, on a sheet of metal; cutting first and second series of intrinsically focused slots through a top surface of the mask to the sheet of metal: plating the sheet of metal at the bottom of each of the slots of the mask with a radiopaque material to form partition walls of the anti-scatter grid; and continuing to plate the radiopaque material into the slots of the mask until the desired height of the anti-scatter arid is achieved, wherein the mask is cut by: attaching the top surface of the mask to a steel xe2x80x9ccombxe2x80x9d having teeth forming a plurality of parallel slots; mounting a conductor at a xe2x80x9cfocalxe2x80x9d spot; positioning the bottom surface of the mask on a xe2x80x9cdetectorxe2x80x9d plane; connecting a high-resistance wire to the conductor and insulating the wire from the comb; pulling the high-resistance wire taunt, applying a charge through the high-resistance wire, and cutting the first series of intrinsically focused slots in the mask by passing the taunt, charged high-resistance wire along each tooth of the comb; attaching the metal sheet to the bottom surface of the mask; detaching the comb from the top surface of the mask; rotating the comb 90xc2x0 from its original orientation on the mask; reattaching the comb to the top surface of the mask; removing the metal sheet from the bottom surface of the mask; cutting the second series of intrinsically focused slots in the mask by passing the high-resistance wire along each tooth of the comb; attaching the metal sheet to the bottom surface of the mask; and detaching the comb from the top surface of the mask. 2. A method according to claim 1 , wherein the mask is cut by: claim 1 positioning the bottom surface of the mask of dielectric material on a xe2x80x9cdetectorxe2x80x9d plane, while leaving a top surface of the mask of dielectric material uncovered; positioning a mirror mounted on a two-axis gimbals at a xe2x80x9cfocalxe2x80x9d spot; directing a laser beam off the mirror and onto the top surface of the mask of dielectric material; and operating the mirror so that the first and the second series of focused slots are cut by the laser beam in the mask of dielectric material. 3. A method according to claim 2 , further comprising mounting and electrically connecting a frame to the metal sheet. claim 2 4. A method according to claim 3 , wherein the frame is comprised of stainless steel. claim 3 5. A method according to claim 2 , wherein the metal sheet is comprised of aluminum. claim 2 6. A method according to claim 2 , wherein the mask comprises a fine grain styrene foam. claim 2 7. A method according to claim 2 , wherein the mask is secured to the metal sheet using hot wax. claim 2 8. A method according to claim 7 , wherein wax is scraped from the metal sheet at the bottom of each slot of the mask prior to plating. claim 7 9. A method according to claim 2 , wherein the mask is secured to the comb using hot wax. claim 2 10. A method according to claim 9 , wherein the comb is heated to remove the comb from the top surface of the mask. claim 9 11. A method according to claim 9 , further comprising coating a lower surface of the metal sheet with wax prior to plating. claim 9 12. A method according to claim 3 , further comprising coating the frame with wax prior to plating. claim 3 13. A method according to claim 2 , wherein the conductor comprises a stranded copper wire. claim 2 14. A method according to claim 1 , wherein the sheet of metal is plated at the bottom of each slot of the mask with a radiopaque material by: claim 1 immersing the metal sheet and the mask in an electrolyte containing ions of the desired radiopaque material; placing an anode of the same radiopaque material in the electrolyte; connecting the anode to a positive terminal of a power supply; and connecting the sheet of metal to a negative terminal of the power supply. 15. A method according to claim 1 , wherein the metal sheet is dissolved after the grid is plated. claim 1 16. A method according to claim 1 , wherein the mask is dissolved after the grid is plated. claim 1 17. A method according to claim 1 , wherein the grid is cleaned after plating. claim 1 18. A method according to claim 1 , wherein the grid is machined after plating. claim 1 19. A method according to claim 1 , further comprising: claim 1 dissolving the metal sheet; dissolving the mask; and securing very thin layers of carbon fiber laminate to opposite faces of the grid. 20. A method according to claim 1 , wherein the grid is comprised of a radiopaque material that is undissolvable by a predetermined agent and the metal plate is comprised of a material that dissolvable by the predetermined agent. claim 1 21. A method according to claim 20 , wherein the predetermined agent comprises sodium hydroxide. claim 20 22. A method according to claim 1 , wherein the metal sheet is relatively thin and provided on a relatively thicker sheet of radiolucent material. claim 1 23. A method according to claim 22 , wherein the radiolucent material comprises carbon fiber. claim 22 24. A method according to claim 22 , wherein the metal sheet is provided as a grid substantially in registration with the slots of the mask. claim 22
abstract
A fuel assembly for a nuclear power boiling water reactor, including: a fuel channel defining a central fuel channel axis, fuel rods, each having a central fuel rod axis, at least 3 water channels for non-boiling water, each water channel having a central water channel axis and each water channel having a larger cross-sectional area than the cross-sectional area of (the average) fuel rod. The fuel rods comprise a first group of full length fuel rods and a second group of shorter fuel rods. The fuel assembly comprises at least 5 fuel rods which belong to said second group and which are positioned such that the central fuel rod axis of each of these at least 5 fuel rods is closer to the central fuel channel axis than any of the water channel axes of the water channels.
058870458
claims
1. A zirconium-based alloy tube for constituting all or a portion of a cladding or guide tube for a nuclear fuel assembly, characterized in that the alloy contains, by weight, 1.0% to 1.7% of tin, 0.55% to 0.8% of iron, 0.20% to 0.60% in total of at least one element selected from chromium and vanadium, and 0.10% to 0.18% of oxygen, the carbon and silicon contents being respectively in the range 50 ppm to 200 ppm and in the range 50 ppm to 120 ppm, the alloy further containing only zirconium and unavoidable impurities. 2. A tube according to claim 1, characterized in that the alloy is completely recrystallized. 3. A tube according to claim 1, characterized in that the alloy is in a completely stress-relieved. 4. A tube according to claim 1, characterized in that the alloy contains about 1.3% of tin, 0.60% of iron, 0.25% of vanadium or chromium, 0.14% of oxygen, 140 ppm of carbon and 90 ppm of silicon. 5. A tube according to claim 1 characterized in that the Fe/V ratio is close to 2/1, the alloy being practically free of chromium. 6. A tube according to claim 1 characterized in that the Fe/Cr ratio is close to 2/1, the alloy being practically free of vanadium. 7. A tube according to claim 1 characterized in that the sum of the iron and either vanadium or chromium content exceeds 0.7%. 8. A process for producing an alloy tube in accordance with any one of claims 1 to 7, characterized in that it comprises successively: casting an ingot and forging to a solid bar; quenching the heated bar to form the .beta. phase; optional annealing in the range 640.degree. C. to 760.degree. C. to form the .alpha. phase; drawing a pierced billet to a tubular blank; optional annealing in the range 600.degree. C. to 750.degree. C. in .alpha. phase; successive cold rolling steps to form decreasing thicknesses, with intermediate heat treatments in an inert atmosphere or in a vacuum at a temperature in the range 640.degree. C. to 760.degree. C., advantageously about 730.degree. C., for the first two treatments and 700.degree. C. for subsequent treatments; and a final annealing step in an inert atmosphere or under vacuum. 9. A processing according to claim 8, characterized in that the final annealing step is stress-relieving annealing carried out in the range 450.degree. C. to 500.degree. C. 10. A process according to claim 8, characterized in that the final annealing step is recrystallization annealing carried out in the range 565.degree. C. to 630.degree. C. 11. A tube of zirconium-based alloy for constituting at least an inner or outer portion of a cladding or guide tube for a nuclear fuel assembly, wherein said alloy contains, by weight, 1.0% to 1.7% of tin, 0.55% to 0.8% of iron, 0.20% to 0.60% in total of at least one element selected from a group consisting of chromium and vanadium, and 0.10% to 0.18% of oxygen, and further contains controlled amounts of carbon and silicon contents being respectively in a range of 50 ppm to 200 ppm and in a range of 50 ppm to 120 ppm, the alloy further containing only zirconium and unavoidable impurities. 12. A tube according to claim 11, wherein the alloy is completely recrystallized. 13. A tube according to claim 12, wherein the alloy is completely stress-relieved. 14. A tube according to claim 11, wherein the alloy contains about 1.3% of tin, 0.60% of iron, 0.25% of vanadium or chromium, 0.14% of oxygen, 140 ppm of carbon and 90 ppm of silicon. 15. A tube according to claim 11, wherein the alloy is substantially free of chromium and has a Fe/V ratio of about 2/1. 16. A tube according to claim 14, having a Fe/Cr ratio of about 2/1, the alloy being substantially free of vanadium. 17. A tube according to claim 14, wherein the sum of the iron, vanadium and chromium content exceeds 0.7%. 18. A process for producing a tube of a zirconium-base alloy, wherein said alloy contains, by weight, 1.0% to 1.7% of tin, 0.55% to 0.8% of iron, 0.20% to 0.60% in total of at least one element selected from a group consisting of chromium and vanadium, and 0.10% to 0.18% of oxygen, and further contains controlled amounts of carbon and silicon respectively in a range of 50 ppm to 200 ppm and in a range of 50 ppm to 120 ppm, the alloy further containing only zirconium and unavoidable impurities, said process comprising the steps of: (a) casting an ingot and forging said ingot into a solid bar; (b) heating the solid bar and quenching it in .beta. phase; (c) piercing the solid bar and drawing it into a tubular blank; (d) carrying out successive cold rolling steps for obtaining decreasing thicknesses, with intermediate heat treatments in an inert atmosphere or in a vacuum at a temperature in a range of 640.degree. C. to 760.degree. C.; and (e) carrying out annealing in an inert atmosphere or under vacuum. 19. A process according to claim 18, wherein said heat treatments are carried out at about 730.degree. C. for the first two treatments and 700.degree. C. for subsequent treatments. 20. A process according to claim 18, wherein the final annealing step is stress-relieving annealing carried out in the range 450.degree. C. to 500.degree. C. 21. A process according to claim 18, wherein the final annealing step is recrystallization annealing carried out in a range of 565.degree. C. to 630.degree. C. 22. A process according to claim 18, wherein the heat treatments as a whole are such that .SIGMA.A is between 10.sup.-18 and 10.sup.-16. 23. A process according to claim 18, wherein the first annealing step is carried out at about 730.degree. C. 24. A process according to claim 18, wherein the second annealing step after drawing is carried out at about 650.degree. C. 25. A process according to claim 18, further comprising a step of annealing in a range of 600.degree. C. to 750.degree. C. in .alpha. phase after quenching.
046719237
summary
BACKGROUND OF THE INVENTION The present invention relates to an arrangement for retaining the reactor internals holddown spring forming a component installed in a nuclear reactor pressure vessel. Various types of pressurized water reactors include, within a pressure vessel, a core barrel, an inner barrel and an upper calandria, each provided with an upper, outwardly extending flange via which the respective part is supported within the pressure vessel. An annular holddown spring is associated with these components and the highest structural margins and reliability are achieved when the spring is positioned between the inner barrel flange and the core barrel flange. However, in a recently proposed new pressurized water reactor design, the region of the core barrel flange which would be covered by the holddown spring is provided with components which must be accessible at various times, particularly during refueling and inservice inspection. Individual removal of the holddown spring is extremely difficult. It might be possible to eliminate this problem by installing the holddown spring between the flanges of the inner barrel and the upper calandria, so that the spring could be lifted together with the inner barrel. However, this location presents a number of drawbacks, including the fact that it will result in lower reliability and higher spring loads. SUMMARY OF THE INVENTION It is an object of the present invention to facilitate removal of the holddown spring during the operating life of such a reactor while permitting the spring to be installed between the core barrel flange and the inner barrel flange of the reactor. Another object of the invention is to assure that the holddown spring will be properly positioned when reinstalled after removal. The above and other objects are achieved, according to the invention, by the provision of a holddown spring retention assembly for use in a nuclear reactor having a pressure vessel, a core barrel having an upper outwardly extending flange, an inner barrel having an upper outwardly extending flange and an annular holddown spring, the barrels and the spring being installed in the pressure vessel during normal operation, with the inner barrel flange disposed above the core barrel flange and the holddown spring interposed between the core barrel flange and the inner barrel flange. The holddown spring retention assembly comprises a plurality of assembly units disposed around the periphery of the holddown spring, each unit comprising: lift lug means secured to the outer periphery of the spring and having at least one outwardly radially projecting portion; and hanger means secured to the inner barrel flange and having a lower portion suspended below the inner barrel flange and below the radially projecting portion of the lift lug means; the lower portion being arranged to support the radially projecting portion during lifting of the inner barrel upwardly away from the core barrel so that the spring is lifted together with the inner barrel. The retention assembly according to the present invention thus causes the holddown spring to be automatically lifted together with the core barrel, while assuring that the spring will be properly positioned after reinstallation. At the same time, the retention assembly according to the invention does not interfere with the normal deformation which the spring must undergo during installation in order to provide the requisite loading forces and to perform its intended clamping action. The retention assembly according to the invention can be constructed to prevent possible repetitive impact damage at assembly interfaces. Differential thermal expansion between the holddown spring itself and the barrel flanges will not adversely affect assembly interface clearances under all plant operating conditions. Moreover, the retention assembly according to the invention is relatively inexpensive.
047145838
description
DESCRIPTION OF THE PREFERRED EMBODIMENTS Referring to FIG. 1, a typical fuel rod assembly 10 includes individual fuel rods 11 (64 rods are shown in FIG. 1), support grids 12, guide rods 13 and a handle member 14. The individual fuel rods 11 (sometimes also called fuel pins) are about 0.4-0.6 inch in diameter and about 8 feet long in one type of nuclear reactor installation and the fuel rods are about 15 feet long in another type of nuclear reactor installation. The support grids 12 are spaced apart at pre-established distances along the fuel rod assembly to position and support the fuel rods. The typical form of support grid will be described in greater detail hereinafter. The fuel rod assembly 10 is withdrawn from a nuclear reactor after the nuclear fuel within the fuel rods 11 has been spent. Thereafter, the fuel rod assembly 10 is stored in appropriate storage racks under water in storage pools until its activity is dissipated. The purpose of the present invention is to compact the fuel rods 11 after their activity has dissipated and to store the fuel rods in a new and different container wherein the fuel rod spacing is altered. The fuel rods as presented in a fuel rod assembly for use in a nuclear reactor are intended to be active in the presence of slow neutrons. The fuel rods in operation are spaced apart by predetermined distances so that released neutrons can be slowed to an effective velocity for atomic reactions. Water is an effective moderator for this purpose. As the fuel rods are brought closer together, there is insufficient water between fuel rods to retard the velocity of the neutrons. Hence, the reactivity of the fuel rod assembly is reduced because the high velocity neutrons pass through the installation without sufficient retardation to cause any significant atomic collisions. Thus, the reactivity is reduced as the fuel rods are brought together. In the embodiment of the present invention shown in FIG. 2, the fuel rod assembly 10 is supported by a vertically-arranged structure which can be a so-called "strong back" beneath the water surface in a water pool. Beneath the fuel assembly in a spaced-apart tandem arrangement is a transition funnel 20 and therebelow a storage container 30. Initially, the fuel assembly has its lower end removed so that the lower ends 15 of the individual fuel rods 11 are exposed. The lower end of the fuel assembly is removed by cutting or otherwise. One way of removing the lower end is to cut the lower end of the fuel assemblies with an air-powered underwater band saw. In some fuel rod assemblies, the lower end may be dismantled by removing the bolts or other fastening devices which connect it to the main frame. After the lower end of the assembly is removed, the lower ends 15 of the individual fuel rods 11 are exposed as shown in FIG. 2. FIG. 3 illustrates the spaced-apart pattern of fuel rods forming a rectangular array of fuel rods within the fuel rod assembly. The transition funnel 20 has an upper end 21 and a lower end 22. The upper end 21 as shown in FIG. 4 has a generally square grid corresponding to the array of the fuel rods 11 as shown in FIG. 3. At the upper end 21 is a grid 23 having openings for individual tubes 24 corresponding in number and array with the exposed lower ends 15 of the fuel rods. The transition funnel tapers from its upper end 21 toward its lower end 22. At the lower end 22, the transition funnel 20 as shown in FIG. 5 has a grid 25 with openings for receiving the ends of the tubes 24 in a desired array. It will be observed that the array of the tube openings 24 in the grid 25 is an equilateral triangle--the preferred array. Below the transition funnel 20 is the container 30 having outer dimensions corresponding to the outer dimensions of the fuel rod assembly 10. The container 30 preferably is a metal rectangular box having a length slightly greater than the length of the fuel rods 11 and having sufficient cross-sectional area to receive the compacted fuel rods from the fuel rod assembly 10 in approximately half of its cross-sectional area. In one embodiment, a vertical baffle is provided to divide the container 30 into parallel chambers 32, 34. All of the fuel rods 11 from the fuel rod assembly 10 can be confined in the chamber 32 as shown in FIG. 2. All of the fuel rods from another fuel rod assembly can be confined in the chamber 34. In FIG. 2, there is schematically illustrated a spaced-apart relation between the tandem arrangement of the fuel assembly 10 and the transition funnel 20 as well as the transition funnel 20 and the container 30. According to the present invention, there is provided movable grippers which can reciprocate between gripping and releasing positions to advance fuel rods in a steplike manner from the fuel assembly. Typically, it is sufficient to reciprocate each of the grippers through a distance of 2 to 4 inches. In the space between the fuel assembly and the transition funnel, there is provided a gripper 35 which is supported and guided for reciprocating movement by the same support structure which supports the fuel assembly and the transition funnel. An arm 36 extends from the gripper and the rod end of a piston and cylinder assembly 37 is secured to the arm 36. The piston and cylinder assembly is supported by a bracket 38. It is preferred to provide the same arrangement of a piston and cylinder assembly at the opposite lateral side of the gripper 35. The piston and assembly 37 forms an actuator which displaces the gripper along a rectilinear path from the position shown in FIG. 2 to a position shown by phantom lines and identified by the reference numeral 35'. In a similar manner, there is provided a movable gripper 39 for gripping fuel rods at the discharge end of the transition funnel. The gripper is guided for rectilinear movement and supported by the same support structure which supports the container 30 and the transition funnel 20. Extending from one lateral side of the gripper 39 is an arm 41 to which the rod end of a piston and cylinder assembly 42 is secured. The piston and cylinder assembly is, in turn, supported by a bracket 43 extending from the support structure. The gripper 39 is reciprocated from the position shown in FIG. 2 by the piston and cylinder assembly 42 to a position shown by phantom lines and identified by the reference numeral 39'. During the time while the fuel rods are advanced downwardly from the fuel assembly 10 by the gripper 35, means are utilized to avoid unrestrained displacement of the fuel rods in the direction of their length. In the embodiment of the present invention shown in FIG. 2, the means utilized for this purpose comprises the fuel assembly support grids 12 which are illustrated in greater detail in FIGS. 6-8. In this regard, the fuel rods need only be restrained against uncontrolled axial movement during displacement of the leading ends of the fuel rod from the position in which they reside when the lower end of the fuel assembly is removed until the array of fuel rods enters the upper end 21 of the transition funnel. Thereafter, movement of the fuel rods is constrained due frictional resistance in the transition funnel to repositioning of the fuel rods from a spaced-apart, rectangular array to a triangular array as described hereinbefore and shown in FIGS. 4 and 5, respectively. A typical support grid 12 is shown in FIGS. 6-8 and takes the form of spaced-apart, parallel plates 45 between which there is welded or otherwise secured spaced-apart, parallel plate sections 46 which form a square-shaped array of openings into which, inter alia, the fuel rods pass. As best shown in FIGS. 7 and 8, the plates 45 and plate sections 46 each has a central web section 47 formed by punching or otherwise upsetting the metal along a major face area of the plates 45 and plate sections 46. At opposite ends of the web section 47, there are smaller dimensioned upset web sections 48. Sections 47 and 48 protrude into the open spaces of the array for frictional engagement with the outer face surfaces of the fuel rods. The resistance against axial movement by the fuel rod assembly afforded by frictional engagement with the sections 47 and 48 is sufficient to prevent random and uncontrolled movement of one or more fuel rods. Even if, however, a fuel rod breaks loose from supporting engagement with the support grids, the moving fuel rod will merely enter the transition funnel where the required path of movement to undergo the consolidation process exerts sufficient frictional force on the rod to prevent substantial advancement of the rod through the transition funnel. The inhibition against axial movement provided by the support grids assures an orderly movement of the entire array of fuel rods from the fuel assembly into the transition funnel while at the same time, the arrangement of parts is such that the fuel rods of the array cannot separate from one another by distances greater than the spacing between the fuel rods in the fuel assembly. The gripper 35 may embody a construction of parts shown in FIGS. 9 and 10 wherein the gripper includes spaced-apart plates 51 and 52 interconnected by spacer plates 53 which are arranged to extend about outer peripheral portions of the plates 51 and 52. The interconnection between these plates forms a fluid impervious internal pocket 54 which can be pressurized by a fluid medium introduced by a conduit 55 into the pocket through an opening in one of the spacer plates 53. The fluid medium is delivered from a pump through an adjustable control valve 55A which can be adjusted to vary the fluid pressure delivered to conduit 55. Extending through the internal pocket 54 and adhered to aligned openings in the plates 51 and 52 is an array of elastic tubes 56 each of which has an internal diameter closely approximating the outside diameter of a fuel rod. Preferably, the diameter of each tube 56 is such that a fuel rod can pass freely. The array of tubes 56 corresponds to the array of fuel rods in the fuel assembly as typically illustrated in FIG. 3 and described hereinbefore. The tubes 56 each comprises material such as rubber which has sufficient resiliency so that when a fluid medium in chamber 54 is pressurized, the wall of the tubes in the chamber can be elastically deformed and pressed into frictional engagement with the outer surface of the fuel rods. The gripping force which can be applied to the fuel rods in this manner is adjustably controlled by valve 55A so that the gripping force is sufficient to hold the fuel rods as a group for movement with the gripper along a rectilinear path which is parallel to the longitudinal axes of the fuel rods. As described previously, the gripper is displaced by piston and cylinder assembly 37 for this purpose. When the gripper is moved to the position identified by reference numeral 35', the pressure of the fluid medium in chamber 54 is reduced by operation of the control valve 55A so that the fuel rods are no longer gripped by the tubes. Thereafter, the piston and cylinder assembly 37 is operated to return the gripper to a starting position during which the tubes 56 slide along a relatively short incremental length of the fuel rods. When the gripper is returned to a start position which is adjacent the fuel assembly, valve 55A is again operated so that the fluid medium in chamber 54 is pressurized to again grip the fuel rods for displacement of a further increment of length in a direction toward the transition funnel. The reciprocatory motion of the gripper pulls the fuel rods from the fuel assembly and introduce the leading ends into the passageways in the transition funnel. The leading ends of the fuel rods are advanced through and emerge from the funnel by operation of the gripper. The emerging fuel rods have a bundle configuration as shown in FIG. 5. The length of the paths of travel by the fuel rods through the funnel is relatively short as compared to the length of the fuel rods so that the fuel rod bundle emerging from the funnel can be continually advanced by the gripper to the point where they protrude from end 22 of the funnel by a sufficient distance so that the fuel rod bundle can be engaged collectively by gripper 39. An embodiment of gripper 39 is shown in FIGS. 16 and 17 and includes a plate member 57 having a rectangular opening therein which is dimensioned so as to receive within the opening the compacted array of fuel rods when emerging from the end 22 of the transition funnel. The opening is identified by reference numeral 59. Surrounding the opening at one side of plate 58 is a manifold 60 having a peripheral recess 61 communicating with a conduit 62. The manifold 60 is secured to plate 58 in a fluid-tight manner and spanning a recess forming chamber 61 is a resilient membrane 63. Typically, the membrane is comprised of a strip of elastomeric material such as rubber which is adhered as by vulcanizing to the manifold 60 and plate 58. A fluid medium introduced by conduit 62 into chamber 61 is pressurized by a pump, not shown, and adjustably controlled by a valve 64 so that the membrane is pressed into gripping engagement with those fuel rods which are situated about the outer periphery of the bundle of fuel rods in the opening 59. The fuel rods are in contact with one another in the triangular array as typically illustrated in FIG. 5 and described hereinbefore. After the fuel rods are gripped in this manner, piston and cylinder assembly 42 is actuated to displace the gripper 39 along a rectilinear path which is generally parallel to the longitudinal axis of the fuel rods. The bundle of fuel rods is advanced from the transition funnel in a direction toward the container 30 as shown in FIG. 2 until the gripper reaches the position identified by reference numeral 39'. At this point, valve 64 is operated so that the pressure of the fluidized medium in chamber 61 is reduced to such an extent that the bundle of fuel rods is no longer gripped and the gripper can be returned to a start position by operation of piston and cylinder assembly 42. After this occurs, the gripper is again supplied with a pressurized fluid medium so that the fuel rod bundle is again gripped whereupon the gripper is again advanced toward the container to displace the fuel rod bundle from the transition funnel. The bundle of fuel rods is drawn from the funnel and passed into the container through operation of the gripper 39 until the lengths of the fuel rods have undergone consolidation in the funnel. As the trailing end of the fuel rod bundle emerges from the funnel the gripper 39 operates to push a final length of the bundle in the container. A further embodiment of the gripper 35 is illustrated in FIGS. 11-13. In this embodiment, a frame having a rectangular configuration, is comprised of side rails 65 and 66 and end rails 67 and 68. Spanning the distance between side rails 65 and 66 is an alternating arrangement of spaced-apart active and passive grippers 69 and 70, respectively. The space between the grippers is sufficient so that the fuel rods can pass in the direction of their length when the active grippers are deenergized. The active and passive grippers preferably each includes arcuate recesses 71 and 72, respectively, at spaced-apart locations along the length of each gripper corresponding to the spacing between rows of fuel rods in the array. The arcuate recesses provide an increased gripping area for engagement with the fuel rods. In the form of the gripper shown in FIGS. 11-13, the passive gripper elements each comprise a rectangular bar which is welded or otherwise secured to the side rails. The active grippers 79 each comprise a tube 69 preferably comprised of metal such as stainless steel. The ends of each tube 69 have a cylindrical configuration which extends to transition sections 72. Between the transition sections, the gripper has an oval configuration which can be produced by a partial flattening of a tube into the oval configuration as shown in FIG. 13. A passageway 74 extends along the interior of side rails 66 and communicates with an end portion of each active gripper. A conduit 75 is connected to a suitable supply of a pressurized fluid medium for delivery to the interior of each active gripper. The pressure of the fluid medium is sufficient to produce a bulging of the elliptical configuration of the tube in the direction of the minor axis of the ellipse which forces the corresponding segment of the wall of the tube against fuel rods in the gap at either side thereof formed with a passive gripper. The gripper 35 may embody a further construction shown in FIGS. 14 and 15 in which fuel rods in the array are received in spaces between spaced-apart and parallel gripper elements 76. Opposite ends of the gripper elements are provided with arbors 77 and 78 which are rotatably supported in annular openings provided in side rails 79 and 80. The openings in side rails 79 extend partway through the thickness of the side rail; whereas the openings in side rail 30 extend through the entire thickness of the rail so that end portions comprise trunnions protruding from the side rail. Secured to each trunnion is one end of links 81. The free ends of links 81 are connected by a shaft to an actuator bar 82. An actuator, such as a piston and cylinder assembly, is operably connected to an extended end portion of one of the links which is identified by reference numeral 81A. The motion imparted by the actuator to link 81A moves the link in a direction to displace the actuator bar 82 and thereby rotate each of the grippers 76 about their longitudinal axes in the same direction so that edges of the grippers due to their rectangular cross-sectional configuration are brought into engagement with the side walls of the fuel rods. Operation of the actuator in the opposite direction brings about rotation of the grippers to the position which is shown in FIG. 15 where a gap exists between the fuel rods and the gripper so that the gripper can be moved relative to the fuel rods. The gripper 39 used to move the bundle of fuel rods may embody a construction shown in FIGS. 18 and 19 in which spaced-apart plates 85 and 86 are joined together by spacer plates 87, 88 and 89. Plates 85 and 86 each includes a rectangular opening 90 which is dimensioned to correspond to the compacted array of fuel rods emerging from the end 22 of transition funnel 20. Plates 88 and 89 extend in a generally parallel relation and arranged therebetween is a gripper plate 91 which can be forced into compressive engagement with the bundle of fuel rods by operation of a piston and cylinder assembly 92 that is supported in a cavity formed between anchor plates 93. As shown in FIG. 19, chambers within the piston and cylinder assembly 94 at opposite sides of the piston 94A are connected by conduits to a valve 95 to adjustably control the flow of pressurized fluid to the piston and cylinder assembly so that the gripper plate 91 can be pressed into engagement with a bundle of fuel rods to insure gripping of the fuel rod bundle. In FIG. 20, there is illustrated a further arrangement of apparatus to carry out the method of the present invention. Spaced below the surface of water identified by reference numeral 100 in a water pool there is tandemly arranged a canister 101 and therebelow in a spaced-apart relation is the discharge end 22 of the transition funnel 20. The upper end of the fuel assembly 10 is located below the entry end of the transition funnel. In the space between the transition funnel and the fuel assembly, there is arranged two grippers 103 and 104. Gripper 103 is supported in a stationary manner by the structure, e.g., a strong back, which also supports the fuel assembly, transition funnel and canister. Gripper 104 is supported by the structure to reciprocate in the space between the fixed gripper 103 and the fuel rod assembly. In the space between the canister 101 and the transition funnel 20, there is arranged two bundle grippers 105 and 106. Gripper 105 is supported in a stationary manner by the strong back and gripper 106 is supported by this structure to reciprocate in the space between the fixed gripper 105 and the transition funnel 20. Each of the grippers 103 and 104 may embody a construction according to any one of the embodiments described hereinbefore with respect to gripper 35 and each of the grippers 105 and 106 may be constructed according to any one of the embodiments described hereinbefore for gripper 39. A further embodiment of the present invention is shown in FIG. 21 which essentially differs from the embodiments hereinbefore described by the fact that grippers 35 and 39 are secured by brackets 108 and 109, respectively, to the transition funnel 20. The funnel is in turn provided with a support bracket 110 which extends to a support structure such as a strong back on which there is provided an elongated guide to slideably support the funnel for rectilinear reciprocating movement. A piston and cylinder assembly 111 is supported by the strong back so that the rod end of the piston and cylinder assembly is connected to a bracket or other structure extending from the funnel. By this arrangement of parts, the grippers at opposite ends of the funnel reciprocate between a rod gripping position and a rod release position. During return movement of the grippers and funnel, the fuel rods are supported against unwanted axial movement by support grids 12 and/or stationary grippers. The use of stationary grippers for this purpose is preferred and these grippers are identified by reference numerals 112 and 113. The stationary grippers like grippers 35 and 39 may embody a construction of parts according to any one of the embodiments hereinbefore described. As described hereinbefore, it is preferred to utilize the fuel rod compacting method and apparatus of the present invention to transfer fuel rods from two fuel assemblies into a single canister which can be placed in a storage rack for long-term storage. The present invention is not limited thereto and can be utilized to transfer, for example, all the fuel rods from a fuel assembly into a single container having a square, rectangular, or other geometry to permit transportation or permanent storage at a local or remote storage site. In this regard, the triangular configuration of the array of fuel rods in the compacted bundle emerging from the transition funnel can be conveniently placed in a container having a rhombic cross-sectional configuration. In FIG. 22, three storage containers 115, 116, and 117 are illustrated each having a rhombic configuration for receiving a bundle of fuel rods. The rhombic configuration of the storage containers is particularly suitable for placement in a container having a cylindrical cross-sectional configuration. The cylindrical container is identified by reference numeral 118 and can be used for transporting fuel rods to a remote storage site for permanent storage of the fuel rods. The spaced-apart tandem relationship between a fuel assembly, transition funnel and canister can, when desired, be provided in a hot cell wherein the components are arranged so that the fuel rods preferably move unidirectionally along a generally horizontal path. However, if the hot cell embodies a size sufficient to accommodate a vertical arrangement of components so that the fuel rods move unidirectionally along a vertical path, then the fuel consolidating procedure can be carried out by movement of the fuel rods along a path of travel which can be either upwardly or downwardly from the fuel assembly through the consolidating funnel and into the storage canister. Although the invention has been shown in connection with a certain specific embodiment, it will be readily apparent to those skilled in the art that various changes in form and arrangement of parts may be made to suit requirements without departing from the spirit and scope of the invention.
summary
summary
claims
1. An instrument for assaying radiation, comprising:a radiation sensor;a collimator covering at least a portion of the radiation sensor, wherein the collimator defines a first field of view to the radiation sensor; andan insert in the collimator, wherein the insert defines a frustoconical inner shape and a through bore to define a second field of view to the radiation sensor that is less than the first field of view, wherein the insert is located between the collimator and the radiation sensor. 2. The instrument as in claim 1, wherein the radiation sensor comprises an attenuation layer between a plurality of radiation sensitive film layers. 3. The instrument as in claim 1, wherein the first field of view is greater than 60 degrees. 4. The instrument as in claim 1, wherein the second field of view is less than or equal to 60 degrees. 5. The instrument as in claim 1, wherein the insert is connected to the collimator by a material that is transparent to radiation. 6. The instrument as in claim 1, further comprising a camera aligned with the radiation sensor. 7. The instrument as in claim 1, further comprising a dosimeter aligned with the radiation sensor. 8. An instrument for assaying radiation, comprising:a plurality of radiation sensors;a separate collimator covering at least a portion of each of the plurality of radiation sensors, wherein each collimator defines a first field of view; anda separate insert in each collimator, wherein each insert defines a frustoconical inner shape and a through bore to define a second field of view that is less than the first field of view, wherein each insert is located between each collimator and at least one of the radiation sensors. 9. The instrument as in claim 8, each radiation sensor comprises an attenuation layer between a plurality of radiation sensitive film layers. 10. The instrument as in claim 8, wherein the first field of view is greater than 60 degrees. 11. The instrument as in claim 8, wherein the second field of view is less than or equal to 60 degrees. 12. The instrument as in claim 8, wherein the first field of views of the separate collimators overlap one another. 13. The instrument as in claim 8, further comprising a camera aligned with at least one of the radiation sensors. 14. The instrument as in claim 8, further comprising a dosimeter aligned with at least one of the radiation sensors. 15. An instrument for assaying radiation, comprising:a radiation sensor; andmeans for collimating the radiation into the radiation sensor, wherein the means for collimating the radiation into the radiation sensor comprises a collimator covering at least a portion of the radiation sensor and an insert in the collimator, wherein the insert defines a frustoconical inner shape and a through bore and wherein the insert is located between the collimator and the radiation sensor. 16. The instrument as in claim 15, wherein the means for collimating the radiation into the radiation sensor defines a first field of view to the radiation sensor that is greater than 60 degrees. 17. The instrument as in claim 16, wherein the means for collimating the radiation into the radiation sensor defines a second field of view to the radiation sensor that is less than or equal to 60 degrees. 18. The instrument as in claim 15, further comprising at least one of a camera or a dosimeter aligned with the radiation sensor.
047524375
claims
1. In a radioactive material packaging, a packaging comprising a packaging body having a substantially U-shaped longitudinal cross-section formed by a bottom and a shell, said bottom and said shell defining a hollow chamber for receiving radioactive material, wherein said bottom and said shell comprise: case means disposed substantially lengthwise of said shell; a shielding material disposed within said case means, and a body of metal cast about said case means, said body of metal being substantially free of discontinuities other than discontinuities where said body of metal contacts said case means. 2. A packaging according to claim 1, wherein said shielding material is selected from the group consisting of ceramics, concrete, heavy metals, organic material, boron nitride, boron carbide, graphite and hydrogenous alloys. 3. A packaging according to any of claims 1 or 2, wherein said case means further comprises a heat-resistant material selected from the group consisting of steel pipes, steel plates or ceramics.
claims
1. A low energy electron source for at least partially neutralizing space charge of a gas cluster ion beam, comprising:one or more filaments for emitting electrons;a filament power supply for biasing said one or more filaments to induce low energy electron emission;an anode electrode for accelerating said electrons away from the one or more filaments and toward a gas cluster ion beam having an axis so as to have a neutralizing effect on the space charge of said gas cluster ion beam;an acceleration power supply for biasing said anode electrode with respect to said one or more filaments;a deceleration electrode for decelerating said accelerated electrons; andwherein said one or more filaments each have a positively biased end and a negatively biased end and further wherein said deceleration electrode is directly connected to the positively biased end of said one or more filaments. 2. The low energy electron source of claim 1, wherein:the deceleration electrode is substantially cylindrical and substantially coaxial with the gas cluster ion beam axis, and is disposed between the anode electrode and the gas cluster ion beam; andthe anode electrode is substantially cylindrical and substantially coaxial with the gas cluster ion beam axis, and is disposed between said one or more filaments and the gas cluster ion beam. 3. The low energy electron source of claim 2, wherein the deceleration electrode includes an aperture for transmitting the gas cluster ion beam. 4. The low energy electron source of claim 2, further comprising:a substantially cylindrical electron reflecting electrode that is substantially coaxial with the gas cluster ion beam axis;a power supply for biasing the electron reflecting electrode with respect to said one or more filaments; andwherein,the one or more filaments are disposed between the electron reflecting electrode and the acceleration electrode, andthe electron reflecting electrode is biased so as to reflect electrons toward the acceleration electrode. 5. The low energy electron source of claim 2, wherein:at least a portion of the anode electrode comprises an electrically conductive mesh with an electron transparency of 90 per cent or more; andat least a portion of the deceleration electrode comprises an electrically conductive mesh with an electron transparency of 90 per cent or more. 6. The low energy electron source of claim 2, wherein the decelerated electrons have energies of less than 10 electron volts. 7. The low energy electron source of claim 2, wherein the one or more filaments comprise two or more filaments disposed substantially parallel to the gas cluster ion beam axis and substantially equally spaced about the gas cluster ion beam. 8. A vented faraday cup, comprising:an electrically conductive strike plate having a surface for receiving a gas cluster ion beam;a vented enclosure surrounding and extending in front of the strike plate so as to define a cup, said enclosure comprised of a plurality of substantially coaxial electrically conductive ring electrodes disposed with gaps between the ring electrodes;an electrical conductor for conducting the current collected by the strike plate to a current measuring system; andwherein said plurality of electrically conductive ring electrodes are arranged and electrically connected in at least three groups of at least two ring electrodes, each group independently electrically biased so as to minimize undesired charged particle leakage into or out of the cup. 9. The vented faraday cup of claim 8, wherein the surface of the electrically conductive strike plate includes saw-tooth grooves. 10. The vented faraday cup of claim 8, whereina group of electrically conductive ring electrodes nearest the electrically conductive strike plate is biased negatively with respect to the strike plate; andone or more of the at least three groups of electrically conductive ring electrodes is biased at the potential of the electrically conductive strike plate. 11. The vented faraday cup of claim 8, whereina group of electrically conductive ring electrodes nearest the electrically conductive strike plate is biased at a first negative potential with respect to the strike plate;one or more of the at least three groups of electrically conductive ring electrodes is biased at the potential of the electrically conductive strike plate; andat least one of the at least three groups of electrically conductive ring electrodes is biased at a second negative potential with respect to the strike plate. 12. The vented faraday cup of claim 9, wherein:the electrically conductive strike plate is substantially circular;the multiple electrically conductive ring electrodes are substantially circular;the saw-tooth groves are substantially circular; andthe electrically conductive strike plate and the multiple electrically conductive ring electrodes and the saw-tooth groves are all substantially concentric with an axis of the gas cluster ion beam. 13. The vented faraday cup of claim 8, wherein the gaps between adjacent electrically conductive ring electrodes are smaller than the annular radial extent of the adjacent ring electrodes. 14. An improved system for gas cluster ion beam processing of surfaces of workpieces, comprising:a vacuum chamber;a gas cluster ion beam source disposed within the vacuum chamber for forming a gas cluster ion beam, said gas cluster ion beam having a beam axis;a workpiece holder for holding a workpiece within the vacuum chamber and for placing the workpiece into the gas cluster ion beam for processing and for removing the workpiece from the gas cluster ion beam to terminate processing;a low energy electron source within the vacuum chamber for neutralizing or partially neutralizing the space charge of the gas cluster ion beam; anda vented faraday cup within the vacuum chamber for collecting the gas cluster ion beam current for measurement to control the processing of the workpiece; wherein the vented faraday cup comprises:an electrically conductive strike plate having a surface for receiving the gas cluster ion beam;a vented enclosure surrounding and extending in front of the strike plate and comprising a multiplicity of electrically conductive ring electrodes disposed with gaps between the ring electrodes;an electrical conductor for conducting the current collected by the faraday cup to a current measuring system; and whereinsaid multiplicity of electrically conductive ring electrodes are arranged and electrically connected in at least three groups that are independently electrically biased for minimizing undesired charged particle leakage into or out of the faraday cup, andeach of the at least three groups of electrically connected ring electrodes comprises two or more ring electrodes. 15. The system for gas cluster ion beam processing of claim 14, wherein the low energy electron source comprises:one or more filaments for emitting electrons;an anode electrode for accelerating said electrons away from the one or more filaments and toward the gas cluster ion beam;a deceleration electrode for decelerating said accelerated electrons;a filament power supply for biasing said one or more filaments to induce electron emission;an acceleration power supply for biasing said anode electrode with respect to said one or more filaments; andmeans for biasing said deceleration electrode with respect to said one or more filaments. 16. The system for gas cluster ion beam processing of claim 14, wherein the gas cluster ion beam current is greater than 300 microamperes or wherein the gas cluster ion beam releases a gas flow greater than 20 standard cubic centimeters per minute at the strike plate of the faraday cup. 17. An improved system for gas cluster ion beam processing of surfaces of workpieces, comprising:a vacuum chamber;a gas cluster ion beam source disposed within the vacuum chamber for forming a gas cluster ion beam, said gas cluster ion beam having a beam axis;a workpiece holder for holding a workpiece in the path of the gas cluster ion beam within the vacuum chamber for processing;a low energy electron source within the vacuum chamber for at least partially neutralizing the space charge of the gas cluster ion beam; anda vented faraday cup within the vacuum chamber for collecting the gas cluster ion beam current for measurement to control the processing of the workpiece, wherein the vented faraday cup comprises:an electrically conductive strike plate having a surface for receiving a gas cluster ion beam;a vented enclosure surrounding and extending in front of the strike plate so as to define a cup, said enclosure comprised of a plurality of substantially coaxial electrically conductive ring electrodes disposed with gaps between the ring electrodes;an electrical conductor for conducting the current collected by the strike plate to a current measuring system; andwherein said plurality of electrically conductive ring electrodes are arranged and electrically connected in at least three groups of at least two ring electrodes, each group independently electrically biased so as to minimize undesired charged particle leakage into or out of the cup. 18. The system for gas cluster ion beam processing of claim 17, wherein the low energy electron source comprises:one or more filaments for emitting electrons;an anode electrode for accelerating said electrons away from the one or more filaments and toward the gas cluster ion beam;a deceleration electrode for decelerating said accelerated electrons;a filament power supply for biasing said one or more filaments to induce electron emission;an acceleration power supply for biasing said anode electrode with respect to said one or more filaments; andmeans for biasing said deceleration electrode with respect to said one or more filaments. 19. The system of claim 17, wherein the vented Faraday cup is adapted to facilitate escape of gas in directions lateral to the beam axis. 20. The system of claim 17, wherein the vented Faraday cup includes an electrically conductive strike plate having a surface for receiving a gas cluster ion beam, and a vented enclosure surrounding and extending in front of the strike plate so as to define a cup, said enclosure comprised of a plurality of substantially coaxial electrically conductive ring electrodes disposed with gaps between the ring electrodes. 21. A vented Faraday cup, comprising:an electrically conductive strike plate having a surface for receiving a gas cluster ion beam; anda vented enclosure surrounding and extending in front of the strike plate so as to define a cup having an open end adapted to receive a gas cluster ion beam;wherein the surface of the electrically conductive strike plate includes saw-tooth grooves. 22. The Faraday cup of claim 21, wherein the vented enclosure is adapted to facilitate escape of gas in directions lateral to an axis of the gas cluster ion beam. 23. A vented Faraday cup, comprising:an electrically conductive strike plate having a surface for receiving a gas cluster ion beam; anda vented enclosure surrounding and extending in front of the strike plate so as to define a cup having an open end adapted to receive a gas cluster ion beam;wherein the vented enclosure comprises a plurality of substantially coaxial, electrically conductive ring electrodes disposed with gaps between the ring electrodes, andfurther wherein said plurality of ring electrodes are arranged and electrically connected in at least three groups of at least two ring electrodes, each group adapted for independent electrical biasing to minimize undesired charged particle leakage into or out of the cup.
055704050
description
DESCRIPTION OF THE PREFERRED EMBODIMENT In describing the preferred embodiment of the present invention, reference will be made herein to FIGS. 1-7 of the drawings in which like numerals refer to like features of the invention. Referring to FIGS. 1 and 2, the exposure mask includes a mask substrate 10 which is optionally covered by a two layer plating base composed of a first layer 12 of chromium having a thickness of approximately 50 Angstroms (5 nanometers) and second layer 14 of gold having a thickness of 300 Angstroms (30 nanometers). Centrally located on the mask substrate 10 is an X-ray transparent pattern window 16 and surrounding the pattern window 16 is an a X-ray absorptive frame 18. Four mask-to-wafer alignment mark windows 20 are positioned around the pattern window 16. They are used to hold alignment marks for aligning the mask relative to the wafer before the exposure is made. These windows may be completely etched through for optical transparency and covered with a polyamide film to hold the mask-to-wafer alignment marks. As can be seen in FIG. 2, the pattern window 16 is constructed by etching away the silicon mask substrate 10 from the second side (back side) until the silicon becomes X-ray transparent in area 22. The plating base materials 12 and 14 are also X-ray transparent, although a slight reduction in the magnitude of the X-ray beam may occur as it passes through the plating base layers and the remaining silicon. The four mask-to-wafer alignment mark windows 20 may also be constructed as is seen in the cross section of FIG. 2, or they may be completely etched through and covered by a polyamide film. The mask substrate 10 also includes the two cross-shaped pattern-to-mask alignment marks 24 which are an important element of this invention and are described more fully below. Referring to FIG. 2, the sidewall 26 of the mask window can be seen to form an angle of 52.degree. degrees with the first and second surfaces 28, 30 of the substrate 10. This is the result of the anisotropic etching process which results in a larger opening on the side from which the etching is initiated as the etchant attacks the sidewall as well as the thinning second surface during the etching process. As can be seen in FIG. 2, the etching of the mask windows 16, 20 was begun from the second surface 30 of the mask substrate 10. As will be seen in connection with the description of the pattern to mask alignment marks 24, they were created at the same time as the mask windows and share this angular sidewall characteristic. When the mask is used, X-rays that impact the corner 32 between the angled sidewall 26 and the pattern window area 22 may be scattered into the region below the circuit pattern window. To prevent this, the frame 18 is formed of an X-ray absorptive material and covers the corner 32 around the perimeter of pattern window 16. An X-ray opaque molybdenum mask 34 is also provided below the second surface 30 to limit the size of the exposure region. Generally, a circuit pattern (not shown) is constructed of an X-ray absorptive material and is made at the same time as the frame 18 within its confines. Mask-to-wafer alignment marks (also not shown) are formed above the mask-to-wafer alignment mark windows 20. FIG. 3 shows an expanded view of a pattern-to-mask alignment mark 24 as seen from the second surface 30. FIG. 4 is a cross-sectional view along the line 4--4 in FIG. 1 which also corresponds to the dotted cross-sectional line 4--4 in FIG. 3. FIG. 4 illustrates the angled side walls 36, 38 formed by the anisotropic etching process. The angled side walls 36, 38 produce an approximately V-shaped valley for the pattern-to-mask alignment mark which has a depth just slightly less than the thickness of the mask substrate 10. This produces a thin region 40 of silicon at the base of the valley close to the first surface 28. The region 40 will pass electrons during scanning of the first surface by an electron beam lithography system, whereas the adjacent thicker silicon regions on either side of region 40 will backscatter the electrons signal. Thus, by monitoring the return signal, the absence of backscattered electrons indicates the presence of the alignment mark region 40. Referring to FIG. 3, the alignment mark preferably consist of a horizontal alignment mark leg 42 and a vertical alignment mark leg 44 which form a cross shaped alignment mark. Other configurations for the alignment mark may also be used, for example alignment mark leg 42 can be placed at an entirely different, non-intersecting location than the alignment mark leg 44, or the point of intersection may be moved up or down or left or right. A T-shaped mark and other intersection points and shapes are equally suitable provided that defined locations on the mask substrate can be identified relative to the mask windows. When the mask is constructed, the mask substrate 10 is initially etched from the second surface 30 to produce the desired mask windows 16, 20 and the pattern-to-mask alignment marks 24. Any conventional method of etching a silicon substrate may be used to form the etched mask windows and the pattern-to-mask alignment marks. Because the pattern-to-mask alignment marks are made at the same time as the desired mask windows 16, 20, their relative positions are maintained quite accurately. The plating base layers 12 and 14 are added after etching. An electron beam sensitive layer is added next. The frame 18 and the circuit pattern (not shown) to be positioned above the pattern window 16 are preferably produced by an electron beam lithography system in the electron beam sensitive layer. The electron beam lithography system scans the surface of the mask to accurately determine the location of the pattern-to-mask alignment marks 24. It then produces the desired circuit pattern by writing the pattern into the electron beam sensitive layer. The electron beam lithography system determines the location of the pattern-to-mask alignment marks 24 by scanning through a collection of electron beam scan subfields 46, 48 as shown in FIG. 5. Subfields in one column of the entire field that do not overlap the pattern-to-mask alignment mark 24 have been marked 46. Clearly, many other subfields exist that do not overlap the pattern-to-mask alignment mark 24. One subfield that does overlap the pattern-to-mask alignment mark 24 has been marked 48, and this subfield is shown in greater detail in FIG. 6. Each subfield 46, 48 includes numerous scans of the electron beam as illustrated by scan lines 50 in FIG. 6. FIG. 7 illustrates the return signal detected in the electron beam lithography system during scan line 50 in FIG. 6 as it crosses the region 40. When the electron beam is scanning on either side of region 40, the return signal of backscattered electrons is high as indicated in regions 52, 54 in the graph of FIG. 7. However, as the electron beam enters region 40, the electrons stop being backscattered and begin to pass through the alignment mark, into the valley and out the second surface of the mask substrate. In this region 56 of FIG. 7, which corresponds to region 40 in the pattern-to-mask alignment mark, the return signal drops close to zero. The return signal over the entire field of FIG. 5 is most preferably analyzed in a computer within the electron beam lithography system. The return signal is digitized allowing accurate identification of the exact location of the alignment mark. This location is then used in combination with the corresponding location of any additional alignment marks to determine the starting location for the electron beam to write the frame 18 and/or desired circuit pattern into the electron beam sensitive layer. Subsequent conventional processing of the electron beam sensitive layer produces the final X-ray absorptive circuit pattern and frame. While the present invention has been particularly described, in conjunction with a specific preferred embodiment, it is evident that many alternatives, modifications and variations will be apparent to those skilled in the art in light of the foregoing description. It is therefore contemplated that the appended claims will embrace any such alternatives, modifications and variations as falling within the true scope and spirit of the present invention.
description
The present invention related to a core of a boiling water reactor (BWR) and a fuel assembly for constituting the core. A boiling water reactor core using water as a neutron moderator and a cooling medium is constituted by a number of fuel assemblies, water flowing through the fuel assemblies, control rods inserted and withdrawn between the fuel assemblies, etc. In the construction of the fuel assemblies and control rods, as shown in FIG. 8 of the patent document 1, the BWR fuel assemblies are surrounded by channel boxes. Fuel rods containing uranium, plutonium and minor actinides and arranged in square lattice are disposed within the inner area of the fuel assemblies. In an ABWR core, the length of the fuel rod which contains uranium, plutonium and minor actinides in the vertical direction is about 3.7 m. In the present invention, this length is abbreviated as an active fuel length. When the fuel assembly is constituted by such fuel rods as having different lengths, the longest fuel rod in the fuel assembly is referred to as the active fuel length. The core is constituted by 872 fuel assemblies and 205 of cross-shaped control rods. Since water works as both moderator for neutrons and cooling medium for control rods in the boiling water reactor, it is said that the fuel rods and water are arranged as homogeneously as possible. Thus, in PWRs now under operation, fuel rods and water are arranged almost homogeneously. On the other hand, since BWRs are the direct cycle type, wherein steam is present in the reactor core which is two phase flow, channel boxes are disposed to prevent cross flow of steam phase in the transverse direction, and cross shaped control rods are inserted into gaps between the channel boxes. In recent high burnup fuels, in order to secure 4 to 5 of H/HM (atomic number ratio of hydrogen to heavy metal; the heavy metals include uranium, plutonium and minor actinides such as Np, Am, Cm, etc.), with an increase in enrichment, water rods are disposed in the center of the fuel assembly. This structure apparently seems to be not homogeneous; however, the above-mentioned structure was necessarily employed, because of the structure comprising the channel box and cross-shaped control rods. The basic concept that aims at the homogeneousness is common to the PWRs, however. As a result, in the ABWR reactor cores having about 155 mm of a fuel assembly lattice pitch to secure sufficient moderating effect of two-phase flow, the two-phase flow occupies 40% or more of valuable space in the reactor core. Thus, a volume rate of the fuel material area is only 20%, the volume rate being a volume rate of fuel pellet area. Although, in view of a further reduction of spent fuels and increase in fuel economy, a great increase in a discharged burnup is strongly desired. Although there was prepossession of consistency for attaining both neutron moderating and heat removal, new design for increase in H/HM so as to improve reduction of shutdown margin and reactivity coefficient of the reactor with the high enrichment is being investigated. On the other hand, the patent documents 2 and 3 disclose a design wherein distribution of moderating material in and outside of the channel boxes is changed; the range of H/HM was 4 to 5, however. The study for increasing fuels has not been conducted. The conventional design has been prepossessed by structure for attaining the functions of neutron moderating and heat removal; such new designs as to separate functions of water and to increase fuel loading per unit volume without losing fuel economy and thermal margin has not been investigated. Patent document 1: Japanese Patent Laid-open Hei 3-273189 Patent document 2: Japanese Patent Laid-open Hei 7-167988 Patent document 3: Japanese Patent Laid-open Shou 63-231298 The present invention aims at solving on or more of the following objects. One of objects of the present invention is to provide a reactor core and fuel assemblies that contribute to construction of such electric power plants as having a greatly lowered building height at a low building cost, keeping the same thermal margin and safety as those of the BWRs under operation. Another object of the present invention is to provide a reactor core and fuel assemblies that contribute to increasing of production of plutonium during operation and lowering of the fuel cycle cost, keeping the same thermal margin and safety as those of BWRs under operation. Still another object of the present invention is to provide a reactor core and fuel assemblies that contribute to power generation cost reduction by lengthening continuous operation to improve an operational cycle length of the power plant, keeping the same thermal margin and safety as the BWRs under operation. Still another object of the present invention is to provide a reactor core and fuel assemblies that contribute to improvement of shutdown performance and transient and stability which are the subjects of high burnup reactors, by reducing the reactivity increase at the cold condition and the absolute values of a void coefficient, keeping the same thermal margin and safety as those of BWRs in operation. In case where a ratio of the number of fuel assemblies loaded on a reactor core to the number of control rod drive mechanisms is 3 or more, the following inventions attain at least one of the above-mentioned first to third objects. That is, one aspect of the invention that attains one or more of the first, second and third objects and provides a BWR core characterized in that an average weight of uranium, plutonium and minor actinides contained in the core per unit volume is 2.1 to 3.4 kg/L as a conversion into a value at the time of unburned state. The increase in the fuel loading quantity per unit volume makes the height of the core shorter, thereby to reduce the construction cost of the power plant. Further, the water-to-fuel volume ratio in the channel box of the fuel assembly becomes smaller, thereby to lengthen the life of the fuel assembly and to reduce the fuel cycle cost, because conversion of uranium 238 to plutonium can be increased. Furthermore, the term of continuous operation of the plant can be extended to increase plant utilization efficiency. Another aspect of the invention that attains one or more of the first, second and third objects provides a BWR core characterized in that a volume rate of two phase flow cooling water including subcooled water except for water in gaps outside of fuel assembly channel boxes, in guide rods into which control rods are inserted, and in water rods is 18 to 39%. By reducing the volume rate of the two-phase flow cooling water, the fuel assemblies can be loaded in the area so that a fuel loading amount can be increased. This invention attains the same objects as the first invention. A still another aspect of the present invention that attains one or more of the above-mentioned first, second, third and fourth objects provides a BWR core characterized in that a volume rate of sub-cooled water and saturated water in gaps outside of fuel assembly channel boxes, in guide rods into which control rods are inserted, and in water rods is 26 to 38%. By increasing the volume rate of water in the channel boxes and water rods, a neutron moderating effect is more accelerated than the conventional core, thereby to increase a fuel loading quantity. As a result, the third invention attains the same object as the first invention. Further, an improved neutron moderating effect reduces the reactivity increase at the cold condition and the absolute values of a void coefficient. A still another aspect of the present invention that attains one or more of the above-mentioned first, second, third and fourth objects provides a BWR core characterized in that volume rate of sub-cooled water and saturated water in guide rods into which control rods are inserted, and in water rods is 6 to 9%. By increasing the volume rate of water in the water rods, a fuel loading quantity per unit volume can be increased without losing neutron moderating property. As a result, the fourth invention can attain the same objects as the first invention. Further, the increase in neutron moderating effect reduces the reactivity increase at the cold condition and the absolute values of a void coefficient. In order to attain one or more of the first, second and third objects, another aspect of the present invention provides a BWR core characterized in that a volume rate of fuel material in the core area is 23 to 37%. Another aspect of the present invention that attains one or more of the first, second, and third objects provides a BWR core characterized in that a ratio of a volume of two phase flow cooling water including sub-cooled water in channel boxes except for water in gaps between the channel boxes, in guide rods into which control rods are inserted and in water rods to a volume of fuel material is 0.5 to 1.8. Another aspect of the present invention that attains one or more of the first object provides a BWR core characterized in that a power density is 63 to 140 kW/L. The increase in the power density greatly lowers the height of the core, thereby to reduce a cost for construction of the plant. Another aspect of the present invention that attains one or more of the first, second, third and fourth objects provides a BWR core characterized in that an average gap between the fuel assembly channel boxes is 17 to 40 mm. The increase in the gaps between the channel boxes improves the neutron moderating effect, thereby to attain the same object as the third invention. Another aspect of the present invention that attains one or more of the first, second and third objects provides a BWR core characterized in that the gaps between the fuel rods is 0.7 to 2.6 mm in case of a square lattice configuration, or is 0.7 to 3.6 mm in case of triangular lattice configuration. The reduced gaps between the fuel rods make it possible to load fuels more to attain the same object as the first invention. Another aspect of the present invention that attains one or more of the first, second, third and fourth objects provides a BWR core characterized in that a ratio of an outer width of a channel box to an average lattice width of the fuel assembly is 0.8 to 0.89. Since the ratio of an outer width of a channel box to an average lattice width of the fuel assembly is made smaller than the conventional one, the neutron moderating efficiency can be improved. The tenth invention attains the same object as the third invention. Another aspect of the present invention that attains at least one of the first, second, third and fourth objects of the present invention provides a BWR core characterized in that an active fuel length is 1.0 to 3.0 m. Another aspect of the present invention that attains at least one of the first, second, third and fourth objects provides a BWR core characterized in that an average weight of uranium, plutonium and minor actinides contained in a core area per unit volume is 2.1 to 3.4 kg/L, as a conversion into an unburnt state, and that the core is the type where control rods are inserted into gaps between the channel boxes and an average distance between the channel boxes where the control rods are inserted is larger than the distance between the channel boxes at the side where the control rods are not inserted. A still another aspect of the present invention that attains one or more of the first, second, third and fourth objects provides a BWR core characterized in that an average weight of uranium, plutonium and minor actinides contained in a unit volume of a core area is 2.1 to 3.4 kg/L as a conversion into a value of an unburned state, and that the core is constituted by a fuel assembly having at least one water rod whose sectional area is larger than a sectional area of a fuel rod unit lattice cell. Another aspect of the present invention that attains at least one of the first, second, third and fourth objects provides a BWR core characterized in that an average weight of uranium, plutonium and minor actinides contained in a unit volume of a core area is 2.1 to 3.4 kg/L as a conversion into a value of an unburned state, and that the core is constituted by square fuel assemblies and cross shaped control rods to be inserted between the fuel assemblies at a rate of at least one for 4 fuel assemblies. A further aspect of the present invention that attains on or more of the first, second, third and fourth objects provides a BWR core characterized in that an average weight of uranium, plutonium and minor actinides contained in a unit volume of a core area is 2.1 to 3.4 kg/L as a conversion into a value of an unburned state, and that the core is constituted by square fuel assemblies and round shaped control rods to be inserted in the fuel assemblies at a rate of at least one for one fuel assembly. Another aspect of the present invention that attains one or more of the first, second, third and fourth objects provides a BWR core characterized in that an average weight of uranium, plutonium and minor actinides contained in a unit volume of a core area is 2.1 to 3.4 kg/L as a conversion into a value of an unburned state, and that the core is constituted by hexagonal fuel assemblies and Y-type control rods to be inserted between the fuel assemblies. Another aspect of the present invention that attains one or more of the first, second, third and fourth objects provides a BWR core characterized in that an average weight of uranium, plutonium and minor actinides contained in a unit volume of a core area is 2.1 to 3.4 kg/L as a conversion into a value of an unburned state, and that the core is constituted by hexagonal fuel assemblies and round or hexagonal control rods to be inserted in the fuel assemblies at a rate of at least one for one fuel assembly. Another aspect of the present invention that attains at least one of the first, second, third and fourth objects provides a BWR core characterized in that an average weight of uranium, plutonium and minor actinides contained in a unit volume of a core area is 2.1 to 3.4 kg/L as a conversion into a value of an unburned state, and that at least one of a water removal plate and a water removal rod is disposed in gaps between the channel boxes or in the water rods, the removal plate and removal rod being able to be withdrawn during operation of the core. Another aspect of the present invention that attains one or more of the first, second, third and fourth objects provides a BWR core characterized in that an average weight of uranium, plutonium and minor actinides contained in a unit volume of a core area is 2.1 to 3.4 kg/L as a conversion into a value of an unburned state, and that a water removal plate is disposed at the tip of the control, the removal plate being detachable and able to be withdrawn during operation of the core. Another aspect of the present invention that attains at least one of the first, second, third and fourth objects provides a BWR core characterized in that an average weight of uranium, plutonium and minor actinides contained in a unit volume of a core area is 2.1 to 3.4 kg/L as a conversion into a value of an unburned state, and that a water removal round or hexagonal rod is disposed at the tip of the control, the removal rod being detachable and able to be withdrawn during operation of the core. In case of fuel assemblies loaded on the BWR core, at least one of the above-mentioned objects is attained by the following manners. One aspect of the present invention that attains one or more of the first, second and third objects provides a BWR fuel assembly characterized in that an average weight of uranium, plutonium and minor actinides contained in a unit volume of a core area is 2.8 to 4.5 kg/L as a conversion into a value of an unburned state. Another aspect of the present invention that attains one or more of the first, second, third and fourth objects provides a BWR fuel assembly characterized in that a volume rate of two phase flow cooling water including sub-cooled water for cooling fuel rods, except for water in water rods and in guide rods for into which control rods are inserted in the channel box area is 24 to 49%. Another aspect of the present invention that attains one or more of the first, second, third and fourth objects provides a BWR fuel assembly characterized in that in a fuel assembly to be loaded on a core wherein a ratio of the number of fuel assemblies to the number of control rod drive mechanisms is more than three, a volume rate of two phase flow cooling water including sub-cooled water for cooling fuel rods, except for water in water rods and in guide rods into which the control rods are inserted in the channel box area is 24 to 52%. Another aspect of the present invention that attains one or more of the first, second, third and fourth objects provides a BWR fuel assembly characterized in that a volume rate of subcooled water and saturated water in a guide rod for a control rod into which the guide rod is inserted and in a water rod is 9 to 12%. Still, another aspect of the present invention that attains at least one of the first, second, third and fourth objects provides a BWR fuel assembly characterized in that in a fuel assembly to be loaded on a core wherein a ratio of the number of fuel assemblies to the number of control rod drive mechanisms is more than three, a volume rate of subcooled water and saturated water in a guide rod for a control rod into which the control rod is inserted and in a water rod is 8 to 12%. Further, another aspect of the present invention that attains at least one of the first, second and third objects provides a BWR fuel assembly characterized in that a volume rate of a fuel material within a channel box area is 30 to 49%. Another aspect of the present invention that attains one or more of the first, second and third objects provides a fuel assembly characterized in that a ratio of a volume rate of two phase flow cooling water including subcooled water for cooling fuel rods in the channel box except for water in guide rods for guiding control rods and in water rods, to a volume rate of fuel material area is 0.5 to 1.6. Another aspect of the present invention that attains at least one of the first, second and third objects provides a fuel assembly characterized in that in a fuel assembly to be loaded on a core wherein a ratio of the number of fuel assemblies to the number of control rod drive mechanisms is more than three, a ratio of a volume rate of two phase flow cooling water including sub-cooled water for cooling fuel rods in the channel box, except for water in guide rods for guiding control rods and in water rods, to a volume rate of fuel material area is 0.5 to 1.8. Another aspect of the present invention that attains one or more of the first, second and third objects provides a fuel assembly characterized in that the gaps between the fuel rods is 0.7 to 2.3 mm in case of a square lattice configuration, or is 0.7 to 3.3 mm in case of triangular lattice configuration. Another aspect of the present invention that attains at least one of the first, second, third and fourth objects provides a fuel assembly characterized in that in a fuel assembly to be loaded on a core wherein a ratio of the number of fuel assemblies to the number of control rod drive mechanisms is more than three, the gaps between the fuel rods is 0.7 to 2.6 mm in case of a square lattice configuration, or is 0.7 to 3.6 mm in case of triangular lattice configuration. Another aspect of the present invention that attains one or more of the first object provides a fuel assembly characterized in that an active fuel length is 1.0 to 3.0 m. Another aspect of the present invention that attains one or more of the first, second, third and fourth objects provides a fuel assembly characterized in that an average weight of uranium, plutonium and minor actinides contained in a unit volume of an interior of a channel box is 2.8 to 4.5 kg/L as a conversion into a value of unburnt state, and that the fuel assembly has at least one water rod whose sectional area is larger than the sectional area of a unit cell of the fuel rod lattice. Further, in case of a BWR core where a ratio of the number of the fuel assemblies loaded on the core to the number of control rod drive mechanisms is less than 3, the following inventions attain at least one of the above-mentioned objects. That is, an aspect of the present invention that attains at least one of the first, second and third objects provides a BWR core characterized in that an average weight of uranium, plutonium and minor actinides contained in a unit volume of a core area is 2.3 to 3.4 kg/L as a conversion into a value of an unburned state. Another aspect of the present invention that attains one or more of the first, second and third objects provides a BWR core characterized in that a volume rate of two phase flow cooling water including subcooled water for cooling fuel rods is 18 to 39%, the two phase flow cooling water including sub-cooled water for cooling the fuel rods, except for water in gaps between channel boxes outside of the channel boxes of the fuel assemblies in the reactor core area, in guide rods into which the control rods are inserted, and in the inside of water rods in the channel box area. Another aspect of the present invention that attains one or more of the first, second, third and fourth objects provides a BWR core characterized in that a volume rate of subcooled water and saturated water is 23 to 38%, the subcooled water and saturated water being present in gaps between channel boxes outside of the channel boxes of the fuel assemblies in the reactor core area, in guide rods for inserting control rods thereinto, and in channel boxes, and in the inside of water rods. Another aspect of the present invention that attains one or more of the first, second, third and fourth objects provides a BWR core characterized in that a volume rate of subcooled water and saturated water in a guide rod for a control rod into which the control rod is inserted and in a water rod is 7 to 9%. Another aspect of the present invention that attains one or more of the first, second and third objects provides a BWR core characterized in that a volume rate of fuel material in a core area is 25 to 37%. Another aspect of the present invention that attains one or more of the first, second and third objects provides a BWR core characterized in that a volume ratio of a volume of subcooled water and saturated water except for water in gaps between channel boxes outside of the channel boxes of the fuel assemblies in the reactor core area, in guide rods for inserting control rods thereinto, and in water rods to a volume of a fuel substance area is 0.5 to 1.6. Another aspect of the present invention that attains one or more of the first object provides a BWR core characterized in that a power density is 63 to 140 kW/L. Another aspect of the present invention that attains one or more of the first, second, third and fourth objects provides a BWR core characterized in that an average distance between the fuel assembly channel boxes is 19 to 40 mm. Another aspect of the present invention that attains one or more of the first, second and third objects provides a BWR core characterized in that the gaps between the fuel rods is 0.7 to 2.3 mm in case of a square lattice configuration. Another aspect of the present invention that attains one or more of the first, second, third and fourth objects provides a BWR core characterized in that a ratio of a channel box outer width of a fuel assembly to an average fuel bundle pitch is 0.82 to 0.91. Another aspect of the present invention that attains at least one of the first object provides a BWR core characterized in that an active fuel length is 1.0 to 3.0 m. Another aspect of the present invention that attains at least one of the first, second, third and fourth objects provides a BWR core characterized in that an average weight of uranium, plutonium and minor actinides contained in a core area per unit volume is 2.1 to 3.4 kg/L, as a conversion into an unburnt state, and that the core is the type where control rods are inserted into gaps between the channel boxes and an average distance between the channel boxes where the control rods are inserted is larger than the distance between the channel boxes at the side where the control rods are not inserted. Another aspect of the present invention that attains at least one of the first, second, third and fourth objects provides a BWR core characterized in that an average weight of uranium, plutonium and minor actinides contained in a unit volume of a core area is 2.3 to 3.4 kg/L as a conversion into a value of an unburned state, and that the core is constituted by a fuel assembly having at least one water rod whose sectional area is larger than a sectional area of a fuel rod unit lattice cell. Another aspect of the present invention that attains at least one of the first, second, third and fourth objects provides a BWR core characterized in that an average weight of uranium, plutonium and minor actinides contained in a unit volume of a core area is 2.3 to 3.4 kg/L as a conversion into a value of an unburned state, and that the core is constituted by square fuel assemblies and cross shaped control rods to be inserted between the fuel assemblies at a rate of at least one for 2 fuel assemblies. Another aspect of the present invention that attains at least one of the first, second, third and fourth objects provides a BWR core characterized in that an average weight of uranium, plutonium and minor actinides contained in a unit volume of a core area is 2.3 to 3.4 kg/L as a conversion into a value of an unburned state, and that the core is constituted by square fuel assemblies and cross shaped control rods to be inserted between the fuel assemblies at a rate of at least one for one fuel assembly, and that a water removal plate is disposed at the tips of the control rods, the water removal plate being detachable and able to be withdrawn from the core during operation. According to the inventors' investigation, the following have been revealed. In an example for explanation, a specification of the ABWR core is as follows: a thermal power is 3926 MW, (electric power is 1356 MW), the number of fuel assemblies is 872, and the number of control rods is 205 (The ratio of the number of the fuel assemblies loaded on the core to the number of control rod drive mechanisms 872/205=4.25). High burnup fuel assemblies of 8×8 in a lattice configuration are loaded. The number of fuel rods per one fuel assembly is 60. Advantages of the present invention are not limited by the lattice arrangement of fuel assemblies, shapes (square shape fuel assemblies), sizes of fuel assemblies and the core, etc. Other shapes such as hexagonal fuel assemblies and various sizes of fuel assemblies and core will bring about the same advantages. Powers and cooling systems are not limited. The same advantages will be obtained by from small cores to large cores, forced circulation systems, natural circulation systems, etc. The axial distribution of the uranium enrichment in the fuel assembly or the axial distribution of the plutonium enrichment is not automatically limited. Fuel assemblies having a distribution in the axial direction or fuel assemblies having at both ends blanket zones comprising depleted uranium, natural uranium, low enrichment uranium, etc. will bring the same advantages. The fuel assemblies may be constituted by fuel rods having different lengths. The present invention aims at a burner type thermal neutron boiling water reactor. That is, the BWR cores on which low enrichment uranium oxide fuel having 3 to 8 wt % of uranium oxide concentration or mixed oxide fuel having 2 to 6 wt % of fissile Pu enrichment is loaded or BWR cores having an average effective water-to-fuel volume ratio [(Vm/Vf)eff] of 1 or more during operation of 50% or more of the rated power are targets of the present invention. The effective water-to-fuel volume ratio (in the present invention, it refers to fuel pellets) is an expansion of geometrical water-to-fuel volume ratio [(Vm/Vf)geo] in light of generation of steam in the core. There is the following relationship between the two.(Vm/Vf)eff=F×(Vm/Vf)geo F is expressed using the core average void rate [V (%)] as the following equation.F=(100−V)/100+f×V/100, where f is a ratio of saturated steam density to saturated water density. In recent years, a demand of improving economy to atomic power plants is becoming strong. Especially, lowering of construction cost of the plants is demanded. From the view point of construction economy, it is desirable to shorten the fuel length as much as possible, to lower the building height of the reactor, and to reduce the number of floors. The reduction of active fuel length contributes to lowering of the reactor building by 5 to 6 times the height of a pressure vessel and the height of a lower dry well, and reduction of space for a fuel storage pool and fuel transport. If the reactor building height of ABWR is lowered by 6 to 7 m, the reactor building can be lowered by one floor, so that the construction cost of the power plants is remarkably reduced. Since the active fuel length of ABWR is 3.7 m, the active fuel length should be shortened to 2.3 m or less so as to achieve the object. However, if the active fuel length is simply shortened, an average thermal power (hereinafter referred to as average linear heat generation ratio) (W/cm), which is a division of the thermal power (W) of the core divided by the product of the total number of fuel rods in the core and the active fuel length (cm) will increase so that heat removal characteristic may be lessened. In order to secure at least the same thermal margin as that of ABWR, it is necessary to design the core under such conditions that the average linear heat generation ratio is the same or less as that of ABWR. In order to lower the active fuel length from 3.7 m to 2.3 m or less, the total number of fuel rods must be larger than that of ABWR. As means for increasing the total number of fuel rods, there may be two methods. (1) To increase the number of fuel assemblies to be loaded on the core (2) To increase the number of fuel rods per one fuel assembly If the method (1) is employed, the size of the core, i.e. a core outer radius becomes large, the diameter of the reactor vessel becomes larger, thereby to increase the construction cost of the reactor building. Therefore, the method (2) is preferably employed. However, since water works as a moderator for neutron and a cooling medium for fuel rods, it has been said that the water and the fuel rods are arranged homogeneously as much as possible in the conventional boiling water reactors. The fuel rods and water in PWRs are arranged in substantially a homogeneous state. On the other hand, since BWRs are the direct cycle type, wherein steam is present in the center of the reactor core which is two phase flow, channel boxes are disposed to prevent cross flow of steam phase in the transverse direction, and cross shaped control rods are inserted into gaps between the channel boxes. In case of high burnup fuels, since a water rod is disposed at the center of the fuel assembly, the fuel looks like non-homogeneity, but this non-homogeneity inevitably results from the structure of the channel boxes and cross-shaped control rods. Thus, the basic concept for aiming at the homogeneity is common to the PWRs and BWRs. As a result, in the ABWR reactor cores having about 155 mm of a fuel assembly lattice pitch to secure sufficient moderating effect of two-phase flow, the two phase flow occupies 40% or more of valuable space in the reactor core. Thus, a volume rate of the fuel material area is only 20%, the volume rate being a volume rate of fuel pellet area. The investigation for positively increasing weight of uranium, plutonium and minor actinides (hereinafter referred to as heavy metal weight density) has not been made. The inventors of the present invention aimed at this point. They made the fuel assembly lattice into two regions of non-homogeneity comprising a tight fuel rod lattice region for cooling fuel rods and water (the saturated water includes sub-cool water) for moderating neutron. That is, an amount of the two phase flow cooling water including sub-cool water for cooling the fuel rods in the channel boxes is limited to the minimum amount necessary for cooling the fuel rods, whereby areas of unsaturated water and saturated water are increased and concentrated to the center and periphery of the fuel assembly lattice, thereby to obtain sufficient cooling effect. As a result, neutrons that are well moderated in the saturated water area are transported to the fuel rod lattice area by diffusion. According to this structure, the heavy metal density at the time of fuel loading on the core per unit volume in the core area which is defined as an active fuel length×the number of fuel assemblies×(fuel assembly lattice sectional area) can be increased by 10% or more, as explained in the examples. The fuel assembly lattice sectional area is defined as follows. In case where the core comprises square shaped fuel assemblies and cross shaped control rods inserted between the fuel assemblies at a rate of one control rod per 4 fuel assemblies, the above-mentioned sectional area is the division obtained by dividing the square area (refer to FIG. 2a) by 4, the square having apexes of a square constituted by the center points of 4 adjoining cross shape control rods. In case where the core comprises square shape fuel assemblies and cross shape control rods inserted between the fuel assemblies at a rate of one control rod per 2 fuel assemblies, the above-mentioned sectional area is the division obtained by dividing the square area (refer to FIG. 2b) by 2, the square having apexes of a square constituted by the center points of 4 adjoining cross shape control rods. In case where the core comprises hexagonal fuel assemblies and Y-type control rods inserted between the fuel assemblies, the above-mentioned sectional area is the division obtained by dividing the triangle area (refer to FIG. 2c) by 1.5, the equilateral triangle having apexes of a triangle constituted by the center points of 3 adjoining Y-type control rods. The control rods 4 are driven by control rod mechanisms 28, the control rods being inserted in gaps G between the channel boxes 1. In case where the core comprises square shape fuel assemblies and round shape control rods inserted into the channel box, the above-mentioned sectional area is the square area (refer to FIG. 2d), the square having apexes of a square constituted by the center points of 4 adjoining square shape fuel assemblies. In case where the core comprises hexagonal shape fuel assemblies and round or hexagonal shape control rods inserted into the channel box, the above-mentioned sectional area is the equilateral triangle area (refer to FIG. 2e) multiplied by 2, the triangle having apexes of a triangle constituted by the center points of 3 adjoining square shape fuel assemblies. In FIG. 3, there is shown the active fuel length necessary for making the same average linear heat generation ratio as that of ABWR as parameters of the fuel rod diameter and the concentration of the heavy metal contained in the core. As the fuel rod reduces its diameter, even a small heavy metal concentration makes the active fuel length shorter. Since the amount of heavy metals such as minor uranium, plutonium, actinides per one fuel rod can be made small, as the outer diameter of the fuel rod, it is possible to increase the number of fuel rods even at the same heavy metal density. The diameter of the fuel rod actually used in the conventional BWRs is about 10 mm. It is necessary to make the heavy metal weight density to 2.1 kg/L or more, which is larger than about 1.9 kg/L of ABWR, so as to attain the fuel length of 2.3 m or less, which makes the reactor building height lower by one floor, without increasing the average linear heat generation ratio. According to the present invention, the above target will be achieved, without losing thermal margin. When the active fuel length is enlarged to 3 m with the heavy metal weight density to 2.1 kg/L or more, the fuel loading amount can be increased keeping the advantages of short fuel length. Therefore, the continuous operation of the plant is increased to improve utilization rate, or fuel economy is improved by reduction of exchange of the number of fuels. When a fuel rod of an outer diameter of 9.5 mm, which is employed by the current PWRs, is employed, and when the fuel rods are arranged in a triangle lattice from the viewpoints of productivity of fuel assemblies and thermal margin so as to attain the fuel rod gap of 0.7 mm, which is the necessary minimum value, the active fuel length can be shortened to 1.3 m (power density is increased to 140 kW/L) without increase of the average linear heat generation ratio. In this case, the heavy metal weight density becomes 3.3 kg/L, so that the continuous operation period and fuel economy can be almost equivalent to those of ABWR in the cores of the active fuel length of 2.3 m, which makes the reactor building height lower by one floor. On the other hand, when the outer diameter of the fuel rod is larger than 9.5 mm at the fuel rod gap of 0.7 mm, the heavy metal weight density can be increased to 3.4 kg/L, while the advantage of the reduction in the active fuel length is reduced. When the active fuel length is made as short as 1.0 m at the heavy metal weight density of 2.1 to 3.4 kg/L, the reactor building height will be lower by two floors. Furthermore, the continuous operation and fuel economy will be improved if the amount of the fuel loading on the above-mentioned core (1) is increased. When the heavy metal weight density is made to 2.1 to 3.4 kg/L (this is equivalent to the heavy metal weight density of 2.8 to 4.5 kg/L at the time of fuel loading on the core, which is contained in the unit area of the channel box, and defined as (active fuel length)×(lateral sectional area of the channel box)), it is necessary to take into consideration the lowering of the reactor shutdown margin, which is legislated as a design parameter indicating whether the reactor has the capability of safe shutdown or not. However, in the present invention, since the increased sub-cooled water and saturated water areas are arranged to be concentrated at the center and periphery of the fuel assembly, the structure is employed to control the decrease in reactivity increase at the cold condition, without increasing an effective water to fuel volume ratio. FIG. 4 shows the relationship between the reactivity increase at the cold condition and the average distance of channel boxes of the adjoining fuel assemblies facing each other, when the heavy metal weight densities are 2.1 kg/L and 3.4 kg/L. The average distances between the channel boxes, facing each other, of the adjoining fuel assemblies are, as shown in FIGS. 5a and 5b, the average values of the distances (a, b, c, d) between the channel boxes of the core constituted by the square shape fuel assemblies, in the four directions. In case of the core constituted by the hexagonal shape fuel assemblies, the average value of distance is the average value of channel box distances (e, f, g, h, i, g) in the 6 directions. When the average distance between the channel boxes of the adjoining fuel assemblies is set to be 17 to 40 mm, which is wider than 16 mm incase of ABWR, the reactivity increase at the cold condition is controlled to that of ABWR or less, even when fuel assemblies having the average fuel assemblies enrichment of 8 wt % are employed. Further, since moderating of neutron drastically proceeds when the thickness of saturated water exceeds 19 mm, the width of gap water into which the control rod is inserted is made larger than that necessary for the control rods, and an area of the water rod at the center of the fuel assembly is enlarged. Neutron is moderated in the center and outside of the fuel assemblies; and the moderated neutron is supplied to the fuel lattice by diffusion. According to this concept, the two-phase flow in the fuel lattice is liberated from the role of neutron moderating; it is possible to limit the role of two phase flow in the fuel lattice to cooling of the fuel rods. As a result, the volume rate of the two phase flow that has occupied 40% or more of the core area can be reduced to 18 to 39% (as for the channel box area, 24 to 52%); if the volume rate of gap water and water rod are increased a little bit, it is possible to increase, to a large extent, the volume rate of fuel material area, which plays a major role in generating nuclear fission energy, by 23 to 37% (as for the channel box area, 30 to 49%). Although the effects of increase in the average gap between the channel boxes are commonly expected regardless of shapes and sizes of the fuel assemblies, these effects were not aimed at, because there was a prepossession in the conventional fuel design of the BWR core that achieving two functions, i.e. neutron moderating and heat removal was necessary. In the present invention that is based on a design concept for completely separate the functions of water, the effect of the concept could be utilized effectively. The volume rate of sub-cooled water and saturated water in the core areas is 26 to 38%. The volume rate of sub-cooled water and saturated water in the guide rod into which the control rod is inserted and water rod in the core area is 4% or more, particularly, 6 to 9% (as for the channel box area, 5% or more, particularly 8 to 12%). The ratio of the volume rate of two phase flow including sub-cool water for cooling the fuel rods in the-core area and channel box area except for water in the gaps outside of the channel boxes, in the guide rod into which the control rod is inserted, and in the water rod to the volume rate of the fuel material area is 0.5 to 1.8. The ratio of the outer width of the channel box of the fuel assembly to the average fuel assembly lattice width is 0.80 to 0.89. In the present invention, the average fuel assembly lattice width is defined as a diagonal length of an equilateral square or an equilateral hexagon having the same area as the fuel assembly lattice area. The gap between the fuel rods is set to the necessary minimum value as 0.7 mm or more from the viewpoints of productivity of fuel assemblies and securing of thermal margin, and the maximum value in case of square lattice is set to 2.6 mm (in case of a triangle lattice, the value is 3.6 mm, which is equivalent to the heavy metal weight density of the square lattice of the fuel rod lattice). Further, when on e or more of the water rod whose diameter is larger than the fuel rod and contains saturated water is disposed within the fuel assembly, it is possible to form sub-cooled water and saturated water areas in and outside of fuel assembly channel box. As a result, a sufficient neutron moderating effect is expected; and the reactivity increase at the cold condition can be controlled furthermore. On the other hand, when such the wide channel box gaps are secured, the area of two-phase flow water for removing heat of the fuel rods becomes smaller than that of ABWR. In this case, there is a fear of influence on fuel heat removal. However, as describe in the a water breeder BWR invented by the present inventors (Japanese Patent Laid-open Hei 8-21890), it is known that the same heat removal performance as that of ABWR can be obtained when the flow rate per unit power of the fuel rods is the same as that of ABWR. In one aspect of the present invention, since the fuel area is increased, the rate of two-phase flow area is decreased. However, the effective core length can be shortened, and cooling medium of an amount necessary for heat removal can be supplied, without increasing pressure drop; a power density can be increased to 50 kW/L or more, particularly 63 to 140 kW/L. Furthermore, in a core constituted by large sized fuel assemblies wherein the ratio of the number of fuel assemblies loaded on the core to the control rod drive mechanisms is less than 3, the heavy metal weight density contained in the unit volume of the core area at the time of fuel loading can be increased by 20% larger (2.3 to 3.4 kg/L) than ABWR, as is explained in detail in examples. At this time, the volume rate of the fuel material area is 25 to 37% (as for the channel box area, 30 to 49%). The volume rate of the two-phase flow including sub-cooled water for cooling fuel rods in the channel box is 18 to 39% (as for the channel box area, 24 to 49%). The volume rate of sub-cooled water and saturated water areas is 23 to 38%. The volume rate of sub-cooled water and saturated water in the guide rod into which the control rod is inserted and the water rod is 4% or more, particularly 7 to 9% (as for the channel box area, 5% or more, particularly 9 to 12%). The ratio of the volume of two phase flow including sub-cooled water for cooling the fuel rods in the core area and channel box except for water in the gaps outside of the channel boxes and, in the guide rod into which the control rod is inserted, and in the water rod to the volume of the fuel material area is 0.5 to 1.6. Since the reactor shutdown performance increases, the average fuel assembly lattice width can be enlarged. Thus, the ratio of the outer width of the channel box to the fuel assembly lattice width is 0.82 to 0.91. The fuel rod gap is 0.7 or more from the viewpoints of productivity of the fuel assembly and keeping of thermal margin. In case of square lattice, the maximum value is 2.3 mm (in case of a triangle lattice, the value is 3.3 mm where the heavy metal weight density of fuel rod lattice cell is equivalent to that of the square lattice). From the above, the thermal margin and safety are maintained in the same level as BWRs, which are now under operation; the height of the core is greatly reduced to lower the construction cost of the power plant, thereby achieving the first object of the present invention. Further, the second object of the present invention is achieved by the following two functions. In the fuel assembly according to the present invention, wherein the size of the channel box is smaller than the conventional ABWR fuel assembly and the heavy metal weight density is larger than the conventional ABWR fuel assembly, the heavy metal weight density per the unit volume in the area of the channel box can be made as large as 2.8 to 4.5 kg/L, while in case of ABWR, it is about 2.6 kg/L. Therefore, the ratio of water to fuel volume in the channel box becomes small, so that conversion of uranium 238 to plutonium can be increased. On the other hand, if the production of plutonium is increased, saturated water present in the gap between the channel boxes, which is wider than that in the conventional ABWR should be considered. In order to remove the saturated water, a water removal rod and a follower disposed at the tip of the control rod are inserted into the saturated water area such as gaps between the channel boxes, whereby the conversion of uranium 238 to plutonium is increased. When the same uranium enrichment fuel is used, a higher burnup than the conventional fuel assembly is realized. This is the first function. Further, when the water removal rod is inserted, the ratio of water to fuel volume is freely controlled. Thus, the excess reactivity at the beginning of the cycle can be controlled without using burnable poisons. Accordingly, neutrons that were absorbed in the burnable poisons in the conventional fuel assemblies can be used to produce plutonium; then a further higher burnup is realized. This is the second function. If the structure of the present invention is applied to the conventional reactor before the ABWR or to ABWR; in other words, if the active fuel length is increased from 2.3 m to 3.7 m, without changing the power per one fuel rod, it is possible to realize a core having a large fuel weight density, keeping the conventional core outer radius and height (the power density is 50 kW/L). In this case, since the active fuel length was increased, keeping the constant power density per one fuel rod, the average linear heat generation ratio becomes smaller, and the thermal margin can be maintained if the core flow amount is greatly reduced. As a result, the third object of the present invention is achieved to enlarge the continuous operation time of the plant and improve the utilization rate of the plant. Further, the present invention makes the fuel assembly lattice non-homogeneous, which comprises the tight lattice area for cooling fuel rods and the neutron moderating area, thereby to improve the neutron moderating effect by concentrating the saturated water area to the center and periphery of the fuel assembly lattice. As a result, the fourth object of the present invention is achieved to decrease reactivity increase at the cold condition, to reduce the absolute value of the void coefficient, to improve the reactor shutdown performance, and to improve the transient and stability performance. In the following, the embodiments of the present invention will be explained with reference to drawings. The first embodiment of the present invention is explained with reference to FIG. 1 and FIG. 6. FIG. 6 shows a lateral cross sectional view of the present invention having an electric power of 1356 MWe. Fuel assemblies of 720 and Y-type control rods of 223 at a rate of one control rod per 3 fuel assemblies are shown. FIG. 1 shows a cross sectional view of the fuel assembly lattice. The fuel assembly lattice is composed of channel boxes 1 of an equilateral hexagon shape including fuel rods 2, 6, 7 and a water rod 3, and Y-type control rod 4 disposed in the gap areas between the channel boxes and at the outside of the channel boxes. The fuel assembly has an equilateral hexagon shape, and the distance between the channel boxes is 22.5 mm. Fuel rods 2 having a diameter of 9.5 mm are disposed in the channel box 1 with a distance of 1.8 mm in a triangle lattice to form an equilateral hexagon fuel assembly having 9 fuel rod rows. At the center of the fuel assembly, the water rod 3 is disposed in an area of three fuel rod rows, i.e. in an area of 19 fuel rod unit lattice cells. The number of the fuel rods in the channel box 1 is 198. Wings of the Y-type control rods 4 have absorber rods made of stainless steel tubes filled with B4C; an angle between the wings is 120 degrees. The corner fuel rods 6, which tend to increase a power, have an enrichment concentration of uranium oxide of 4.0 wt %, while other fuel rods have a uranium enrichment concentration of 4.9 wt %. The fuel rods 7 that adjoin the channel box and the water rod, which tend to increase a power at the beginning of the cycle like the fuel rods at the corners, have an uranium enrichment concentration of 4.9 wt % to which 4.5 wt % of burnable poisons are added so that the peaking of local power at the beginning of the cycle is suppressed. According to the employment of this fuel arrangement, it is possible to increase the uranium enrichment average concentration of the lateral sectional area of the fuel assembly to 4.87 wt %. In the present embodiment, the fuel material of the uranium enrichment average concentration of the lateral sectional area of the fuel assembly of 4.87 wt % was constantly arranged in the axial direction of the fuel assembly. When the fuel assemblies are loaded on the core shown in FIG. 6, the core outer radius is 2.9 m, the active fuel length is 194 cm, the power density is 83 kW/L. The volume rate of the two phase flow including sub-cooled water for cooling the fuel rods in the channel box is 27%, the volume rate of water in the water rod is 5%, the volume rate of water in the gaps between channel boxes and in the water rod is 26%, the volume rate of the fuel material is 31%, and the volume ratio of the two phase flow cooling water to the volume rate of the fuel material is 0.88 in the reactor core area. The weight of uranium contained in the unit volume of the core area is 2.8 kg/L. The ratio of the outer width of the channel box to the fuel assembly lattice width is 0.89. The volume rate of the two phase flow cooling water including sub-cooled water for cooling the fuel rods is 36%, the volume rate of water in the water rod is 7%, the volume rate of the fuel material is 41%, and the ratio of the volume rate of the two phase flow cooling water to the volume rate of the fuel material is 0.88 in the channel box area. A weight of uranium in the unit area of the channel box is 3.8 kg/L. In the following, the functions of this embodiment are explained. In this embodiment, the fuel rods having the diameter of 9.5 mm, which have been used in the boiling water reactors and pressurized water reactors are arranged in the tight fuel rod lattice with a gap of 1.8 mm to constitute the hexagonal fuel assembly, thereby to increase the total number of fuel rods. As a result, the uranium weight contained in a unit volume per the core is 2.8 kg/L, which is about 47% larger than 1.9 kg/L of ABWR; and the active fuel length is 1.94 m, which is about 1.8 m shorter than 3.7 m of ABWR. Thus, in a boiling water reactor of a power of 1356 MWe, which is the same as ABWR, and the core outer radius is 2.9 m, which is also the same as ABWR, the active fuel length is about 1.8 m shorter than that of ABWR; and the reactor building height can be about 10 m lower than that of ABWR. Further, in this embodiment, the distance between the channel boxes is set to 22.5 mm, which is wider than 16 mm of ABWR, the reactivity increase at the cold condition can be suppressed and the reactor shutdown margin can be made 1.0% Δk or more. The void coefficient becomes −6.0×10−4 Δk/k % void by improving neutron moderating effect. The absolute number of the void coefficient of this embodiment is smaller than −8×10−4 Δk/k % void of ABWR. Further, in this embodiment, the fuel rods having the outer diameter of 9.5 mm are arranged in the triangle lattice with the gap of 1.8 mm, and the total number of fuel rods is increased to obtain the average linear heat generation ratio of 142 W/cm, which is about 17% lower than 172 W/cm of ABWR. Thus, MCPR of 1.3 or more is attained, thereby to achieve the same heat removal performance as that of ABWR. Accordingly, by this embodiment, it is possible to realize the boiling water reactor that contributes to lowering of the height of the reactor and reduction of construction cost of power plants, keeping the same thermal margin and safety as the ABWR. Further, the reactivity increase at the cold condition and the absolute value of the void coefficient are reduced to improve the reactor shutdown performance and transient and stability performance, keeping the same thermal margin and safety as the ABWR with high burnup. In this embodiment, although the structure, functions and advantages of the core of 1356 MWe have been described, the scale of the power is not limited to that. If the number of fuel assemblies is changed, the embodiment can be applied to other scale of reactors. This is the same as in other embodiments. In this embodiment, the structure, functions and advantages of low enriched uranium fuel that is used in the conventional boiling water reactors are explained. However, the following fuels can be utilized in place of the low enriched fuels: Mixed oxide fuels enriched with plutonium produced by spent fuel reprocessing, with depleted uranium obtained in production of enriched uranium for the boiling water reactor as a residual, or degraded uranium or low enriched uranium recovered by reprocessing of spent fuels, or natural uranium, mixed oxide fuels such as depleted uranium, degraded uranium, low enriched uranium or natural uranium enriched with reprocessed plutonium containing minor actinides obtained by reprocessing low decontamination reprocessing. This is the same as in the other embodiments. In this embodiment, a uranium enrichment concentration or fissile plutonium of enrichment is distributed homogeneously in the axial direction of the fuel assembly. However, distribution of the uranium enrichment concentration or fissile plutonium of enrichment in the axial direction is not limited to that. Such fuel assemblies as having different uranium enriched concentrations, fissile plutonium enrichment in the axial direction, having blanket regions of depleted uranium or degraded uranium or natural uranium at the both ends perform the same functions as this embodiment. Further, fuel assemblies constituted by fuel rods having plural active fuel lengths can perform the same function as this embodiment. This is the same as in other embodiments. The second embodiment of the present invention will be explained in the following. This embodiment increases, based on the structure of the embodiment 1, the plant utility by achieving a high burnup using a high enrichment concentration fuels. In this example, the electric power of the core is 1356 MWe; the structure of the core is the same as shown in FIG. 6 in the first embodiment; there are 720 fuel assemblies; and 223 Y-type control rods for every three fuel assemblies are used. FIG. 7 shows a cross sectional view of the fuel assembly. The fuel assembly lattice is constituted by fuel rods 8, 9, 10, channel boxes 1 having a water rod 3 therein, Y-type control rods 4, disposed in gaps between the channel boxes and outside of the channel boxes. The fuel assembly lattice has an equilateral hexagon shape. The distance between the channel boxes is 39.0 mm. The fuel rods 2 having an outer diameter of 9.5 mm are arranged in the channel box 1 with a fuel rod gap of 1.8 mm in the triangle lattice to form an equilateral hexagon fuel assembly lattice of 9 fuel rods arranged in row. A water rod 3 for the area of three rows of fuel rods, i.e. 19 fuel rod unit lattice cells is formed in the center of the fuel assembly. The number of the fuel rods in the channel box 1 is 198. Wings of the Y-type control rods 4 have absorber rods made of stainless steel tubes filled with B4C; an angle between the wings is 120 degrees. The corner fuel rods 9, which tend to increase a power, have an enrichment concentration of uranium oxide of 6.5 wt %, while other fuel rods 8 have a concentration of 7.5 wt %. The fuel rods 10 that adjoin the channel box and the water rod, which tend to increase a power at the beginning of cycle like the fuel rods at the corners, have an uranium enrichment concentration of 7.5 wt % to which 4.5 wt % of burnable poisons are added so that the peaking of localized power at the beginning of cycle is suppressed. According to employment of this fuel arrangement, it is possible to increase the uranium enrichment average concentration in the lateral sectional area of the fuel assembly to 7.47 wt %. In the present embodiment, the fuel material of the uranium enrichment average concentration in the lateral sectional area of the fuel assembly of 7.47 wt % was constantly arranged in the axial direction of the fuel assembly. When the fuel assemblies are loaded on the core shown in FIG. 6, the outer core diameter is 3.2 m, the active fuel length is 194 cm, the power density is 71 kW/L. The volume rate of the two phase flow including sub-cooled water for cooling the fuel rods in the channel box is 23%, the volume rate of water in the water rod is 5%, the volume rate of water in the gaps between channel boxes outside and in the water rod is 26%, the volume rate of the fuel material is 31%, and the volume ratio of the two phase flow cooling water to the volume rate of the fuel material is 0.88 in the reactor core area. The weight of uranium contained in the unit volume of the core area is 2.4 kg/L. The ratio of the outer width of the channel box to the fuel assembly lattice width is 0.82. The volume rate of the two phase flow cooling water including sub-cooled water for cooling the fuel rods is 36%, the volume rate of water in the water rod is 7%, and the ratio of the volume rate of the two phase flow cooling water to the volume rate of the fuel material is 0.88 in the channel box area. A weight of uranium in the unit area of the channel box is 3.8 kg/L. In this embodiment, the average uranium enrichment concentration in the fuel assembly lateral sectional area is changed from 4.87% of Embodiment 1 to 7.47% to increase the burnup. This embodiment realizes the increased plant utilization, compared with the first embodiment. In this embodiment, the weight of uranium contained in the unit area of the core area is 2.4 kg/L, which is about 26% larger than 1.9 kg/L of ABWR. As a result, the active fuel length becomes 1.94 m, which is about 1.8 m shorter than 3.7 m of ABWR. Further, when the distance between the channel boxes is set to 39.0 mm, which is wider than 16 mm of ABWR, it is possible to suppress the reactivity increase at the cold condition. In the embodiment, as same as in embodiment 1, fuel rods having outer diameter of 9.5 mm are arranged as a triangle lattice with a fuel rod gap of 1.8 mm so as to increase the total number. As a result, the average linear heat generation ratio is made 142 W/cm, which is about 17% smaller than 172 W/cm of ABWR. As a result, it is possible to attain MCPR of 1.3, which is almost the same heat removal performance as ABWR. As having described, the height of the core is greatly reduced to contribute to realization of the boiling water reactor by which the construction cost of the power plant is greatly reduced, keeping the same thermal margin and safety as ABWR. Further, the reduction in the reactivity increase at the cold condition and in the absolute value of the void coefficient improves the reactor shutdown performance and transient and stability performance, keeping the same thermal margin and safety as the ABWR with high burnup. Further, when the active fuel length of this embodiment is 381 cm, the reactor building height is equal to that of ABWR. When the number of fuel assemblies for replacement is 65 for each cycle, it is possible to constitute the reactor core having 4423 days of a super long-term average burnup days at the discharge burnup of 65 GWd/t. When the number of fuel assemblies for replacement is 313 for each cycle, it is possible to constitute the reactor core having a super long-term of 45 months of average burnup period at the discharge burnup of 48 GWd/t. The third embodiment according to the present invention will be explained with reference to FIGS. 8 and 9. This embodiment differs from embodiments 1 and 2 in that the present invention is applied to a fuel assembly whose lateral cross sectional view is not the equilateral hexagon. The core has an electric power of 1356 MWe, and is constituted by 720 fuel assemblies, which is the same as Embodiment 1, and 223 Y-type control rods at a rate of one control; rod for every 3 fuel assemblies. FIGS. 8a and 8b show an arrangement of 6 fuel assemblies and a cross sectional view of one of the fuel assemblies. The fuel assembly is constituted by fuel rods 2, 6, 7, an equilateral hexagon channel box 1 including a water rod 3 therein, and Y-type control rods 4 disposed in the gap between the channel boxes and outside of the channel box. The fuel assembly shown in FIG. 8 has a hexagonal shape of a long shape in longitude wherein, when the gaps between the six sides of the channel box 1 and the fuel assembly 4 are defined as k, m, n, p, q and r, the gaps k, m into which the Y-type control rod is inserted and gaps p, q at the opposite sides by way of the channel box are longer than the remaining gaps n, r. The center of the channel box 1 and that of the fuel assembly lattice are the same. The gaps k and m, p and q are equal, and gaps n and r are equal. The distance between the two sides of the channel boxes 1 at the side where the Y-type control rod 4 is inserted is 24.1 mm, which is twice that of k, and the distances of the remaining 4 sides are 21.6 mm (k+n). The average distance between the channel boxes is 22.4 mm. The fuel rods 2 having a diameter of 9.5 mm are arranged with a gap of 1.8 mm between the fuel rods in the triangle lattice in the channel box 1 to constitute an equilateral hexagon fuel assembly with 9 rows of fuel rods. A water rod 3 is located in the center of the fuel assembly, the area for the water rod being 19 fuel rod unit cells. The number of the fuel rods in the channel box is 198. Absorber rods made of stainless tubes filled with B4C are disposed in the wings of the Y-type control rods 4, and the dangle between the wings being 120 degrees. FIGS. 9a and 9b show another example of the fuel assembly structure and a cross sectional view of one of the fuel assemblies. There is an arrangement of 6 fuel assemblies. The fuel assembly lattice shown in FIG. 9b has a shape wherein the gaps k, m at the sides where the Y-type control rods 4 is inserted are longer than remaining 4 gaps n, p, q, r, thereby to form a longitude hexagon. The gaps k and m are equal, and the gaps n and p, q and r are equal. The distance between the channel boxes at the two sides where the control rod 4 is inserted 29.1 mm (twice of k), the distances of the remaining 4 sides are 19.1 mm (twice of n), and the average distance between the channel boxes is 22.4 mm. The arrangement and shape of the fuel rods 2, 6, 7 in the channel box 1 and the water rod 3 are the same as those in FIG. 8b. When this fuel assembly is loaded on the core shown in FIG. 6, the core outer radius is 2.9 m, the active fuel length is 194 m, and the power density is 83 kW/L. The volume rate of the two phase flow cooling water including sub-cooled water for cooling the fuel rods in the core area in the channel box is 27%, the volume rate of water in the water rod is 5%, and the volume rate of the gap between the channel boxes outside of thereof to the volume of water in the water rod is 26%, the volume rate of the fuel material is 31%, and the ratio of the volume rate of the two phase flow cooling water to the volume rate of the fuel material is 0.88 in the reactor core area. The weight of uranium contained in a unit volume in the core area is 2.8 kg/L. The ratio of the outer width of the channel box to the average fuel assembly lattice width obtained by making average of three lattice widths of the longitude hexagon shape fuel assembly is 0.89. The volume rate of the two phase flow cooling water including sub-cooled water for cooling the fuel rod in the channel box is 36%, the volume rate of water in the water rod is 7%, the volume rate of fuel material is 41%, and the ratio of the volume rate of the two phase flow cooling water to the volume rate of the fuel material is 0.88 in the channel box area. The amount of uranium contained in the unit volume in the channel box area is 3.8 kg/L. The arrangement of the fuel assembly is the same as in embodiment 1, the fuel rods having 4.87 wt % of the average uranium enriched concentration of the fuel assembly being constantly along the axial direction thereof. In this embodiment, as same as in embodiment 1, a boiling water reactor of which length of the fuel assembly is 1.94 m, which is about 1.8 m shorter than 3.7 m of the fuel assembly of ABWR, can be realized when the weight of uranium contained in the unit volume of the core area is 2.8 kg/L, which is about 47% larger than 1.9 kg/L of ABWR. Further, when the average distance between the channel boxes is set to 22.4 mm, which is larger than 16 mm of that of ABWR, the reactivity increase at the cold condition is suppressed, and the void coefficient becomes −6.0×10−4 Δk/k % void, the absolute value of which is smaller than that of ABWR so that the boiling water reactor having such the features is realized. Further, in this embodiment, as same as in embodiment 1, when the fuel rods having the outer diameter of 9.5 mm are arranged in the triangle lattice with 1.8 mm gaps between fuel rods to increase the number of fuel rods, thereby lessening the average linear heat generation ratio of 142, which is about 17% smaller than 172 W/cm of ABWR, 1.3 or more of MCPR is attained, and the same heat performance removal as that of ABWR is secured. From the above described reasons, the boiling water reactor is realized, thereby to contribute to lowering of reactor height and to reduction of construction cost of the power plant, keeping the same thermal margin and safety as those of ABWR. Further, the reactor shutdown performance and transient and stability which are the subjects in high burnup are improved by reducing the reactivity increase at the cold condition and the void coefficient, keeping the same thermal margin and safety as those of ABWR. The fourth embodiment of the present invention will be explained with reference to FIG. 10. This embodiment employs the mixed oxide fuel in which depleted uranium obtained as the residual in producing enriched uranium fuel is enriched with plutonium obtained by reprocessing the spent fuel. The reactor of this embodiment is 1356 MW, and the lateral cross sectional view of the core is the same as FIG. 6 of first embodiment 1. FIG. 10 shows a cross sectional view of the fuel assembly lattice. The arrangement, shape and size of the channel box 1 in the fuel assembly lattice, fuel rods 12, 13, 14, the water rod 3, and the Y-type control rod 4 are the same as those of first embodiment. A fuel material enriched with a fissile plutonium of 5.0 wt % is used for the corner fuel rod 13 where the local fuel rod power becomes larger at the time of beginning of the cycle, and other fuel rods have a fuel material enriched with fissile plutonium of 5.9 wt %. In the fuel rods 14 other than the fuel rod 13, the fuel rod 14 adjoining the channel box and water rod having a tendency of increasing the power at the beginning of the cycle, a fuel material containing 4.5 wt % of burnable poison enriched with 5.9 wt % of fissile plutonium of, to suppress the local power peaking. In this embodiment, the fuel material having the average fissile plutonium of enrichment 5.87 wt % in the fuel assembly lateral sectional area is arranged constantly in its axial direction. The core outer radius of this embodiment is 2.9 m, the active fuel length 194 mm, the power density is 83 kW/L, and the total weight of uranium and plutonium contained in the core area is 2.8 kg/L. Further, the total weight of uranium and plutonium contained in the channel box area is 3.8 kg/L. In this embodiment, the boiling water reactor of the active fuel length of 1.94 m, which is 1.8 m shorter than 3.7 m of ABWR is realized by making the total weight of 2.8 kg/L contained in the core area. When the channel box distance is 22.5 mm, which is wider than 16 mm of ABWR, it is possible to suppress the increase of the reactivity increase at the cold condition and to attain the reactor shutdown margin of 1.0% Δk or more. Further, in this embodiment as same as in the first embodiment, the fuel rods having the outer diameter of 9.5 mm are arranged in the triangle lattice with a gap between the duel rod of 1.8 mm, so as to increase the number of fuel rods. As a result, the average linear heat generation ratio can be made 142 W/cm, which is about 17% smaller than 172 W/cm is attained to achieve MCPR of 1.3 or more. From the above reason, the boiling water reactor that contributes to the reduction of the construction of the power plant, keeping the thermal margin and safety. The fifth embodiment of the present invention will be explained with reference to FIGS. 11, 12. In this embodiment, a large diameter round control rod is arranged in the center of the fuel assembly, in place of the Y-type control rod, which is disposed between the channel boxes, on the basis of embodiment 1. In FIG. 11, a lateral cross sectional view of a quarter of the 1356 MWe power core according to the present embodiment. The core comprises 720 fuel assemblies 5, and 223 control drive mechanisms 15 for driving the large diameter round control rods inserted into the fuel assemblies 3. FIG. 12 shows a cross sectional view of the fuel assembly lattice. The fuel assembly lattice is constituted by fuel rods 2, 6, 7, a water rod 3, an equilateral hexagon channel box 1 including a round control rod 16, and gaps between the channel boxes. The distance between the channel boxes is 22.5 mm. The fuel rods 2 having the outer diameter of 9.5 mm are arranged in the triangle lattice with the distance between the fuel rods of 1.8 mm to constitute the equilateral hexagon lattice of 9 rows of fuel rods. In the center of the fuel rod, the water rod for three rows of fuel rods, i.e. 19 fuel rod unit lattice cells is disposed. The large diameter round control rod 16 is inserted into the water rod 3. The number of the fuel rods is 198. The large diameter round control rod 16 is constituted by absorber rods of stainless tubes filled with B4C. The core outer radius is 2.9 m, when the above fuel assemblies are loaded on the core as shown in FIG. 11, the active fuel length is 194 cm, and the power density is 83 kW/L. The volume rate of the two phase flow cooling water including sub-cooled water in for cooling the fuel rods in the channel box is 27%, the volume rate of the water in the water rod is 5%, the volume rate of the water in gaps between the channel boxes outside of the channel box is 26%, the volume rate of the fuel material is 31%, and the ratio of the volume rate of the two phase flow cooling water to the volume rate of the fuel material is 0.88 in the reactor core area. The weight of uranium contained in the unit volume in the core area is 2.8 kg/L. The ratio of the outer width of the channel box to the fuel assembly lattice width is 0.89. The volume rate of the two phase flow cooling water including sub-cooled water for cooling the fuel rods is 36%, the volume rate of water in the water rod is 7%, the volume rate of the fuel material is 41%, and the ratio of the two phase flow cooling water to the volume rate of the fuel material is 0.88 in the channel box area. The weight of uranium contained in the unit volume contained in the channel box area is 3.8 kg/L. The arrangement of the fuel material in the fuel assembly is the same as in embodiment 1. The average uranium concentration in the fuel assembly lateral sectional area is 4.87 wt %, the fuel material being distributed constantly in the axial direction thereof. In this embodiment, a water rod disposed in the center of the fuel assembly is employed as a guide tube for a large diameter round control rod. AS the diameter of the control rod becomes large, the mechanical strength of the control rod is higher, thereby to suppress the bending or crash of the control rod at the time of insertion and withdrawal. Further, production of the control rods becomes easy and can reduce production cost by reducing the number of the control rods per the fuel assemblies. In this embodiment, as same as in the first embodiment, a boiling water reactor having an active fuel length of 1.94 m, which is about 1.8 m shorter than 3.7 m of WABWR is realized by increasing the uranium weight in the unit volume in the core area to 2.8 kg/L, which is about 47% higher than 1.9 kg/L of ABWR. When the distance between the channel boxes is set to 22.5 mm, which is wider than 16 mm of ABWR, the reactivity increase at the cold condition is suppressed, and the void coefficient becomes −6.0×10−4 Δk/k % void whose absolute value is smaller than that of ABWR. Further, in this embodiment as same as in embodiment 1, the fuel rods having the outer diameter of 9.5 mm are arranged in the triangle lattice with the distance between the fuel rods of 1.8 mm to increase the total number of fuel rods and to lower the average linear heat generation ratio to 142 W/cm, which is about 17% lower than 172 W/cm of ABWR; it is possible to secure 1.3 or more of MCPR, which is almost the same as the heat removal performance. The sixth embodiment according to the present invention will be explained with reference to FIGS. 13, 14. In this embodiment, the loading amount of the fuel is increased, on the basis of embodiment 5. FIG. 13 shows a lateral cross sectional view of the 1356 MWe according to this embodiment. There are shown 504 fuel assemblies 5, and 157 control drive mechanisms 15 for driving the control rods inserted into 3 fuel assemblies. FIG. 14 shows the cross sectional view of the fuel assembly lattice. The fuel assembly is constituted by fuel rods 2, 6, 7, a water rod 3, a channel box 1 including a large diameter round control rod 16, and gaps between the channel boxes. The distance between the channel boxes is 22.5 mm. The fuel rods 2 having the outer diameter of 9.5 mm are arranged in the triangle lattice with the distance between the fuel rods of 1.7 mm, to constitute the equilateral hexagon fuel assembly. In the center area of the fuel assembly, the water rod 3 is disposed in the area for 4 rows of the fuel rods, i.e. 37 of the fuel rod unit lattice cells. The water rod receives the large diameter round rod shape control rod 16 when it is inserted. The number of the fuel rods in the channel box 1 is 294. The large diameter round rod shape control rod 16 is constituted by an absorption stainless tube filled with B4C. The fuel rod 6 containing 4.0 wt % of uranium concentration where the local power becomes large at the time of beginning of the cycle is arranged, and other fuel rods 2 are provided with the uranium concentration of 4.9 wt %. Further, the fuel rods 7 adjoining the channel boxes and the water rod, the power of which tends to become large are provided with the fuel material containing 4.9 wt % of the uranium concentration to which 4.5 wt % of the burnable poison is added. The average enriched uranium concentration in the fuel lateral sectional area is 4.88 wt %. In this embodiment, the fuel material of the average enriched uranium concentration in the fuel lateral sectional area of 4.88 wt % is arranged constantly in the axial direction of the fuel assembly. The core outer radius when the fuel assemblies are loaded on the core shown in FIG. 13 is 2.9 m, the active fuel length is 194 cm, and the power density is 85 kW/L. The volume rate of the two phase flow cooling water including sub-cooled water for cooling the fuel rods in the channel box is 26%, the volume rate of water in the water rod is 7%, the volume rate of water in the gaps between the channel in the water rod is 25%, the volume rate of the fuel material is 32%, and the ratio of the volume rate of the two phase flow cooling water to the volume rate of the fuel material is 0.80 in the reactor core area. The uranium weight contained in the unit volume in the core area is 3.0 kg/L. The ratio of the outer width of the channel box to the fuel assembly lattice width is 0.90. The volume rate of the two phase flow cooling water for cooling the fuel rods including sub-cooled water in the channel box area is 33%, the volume rate of water in the water rod is 9%, the fuel volume rate is 42%, and the ratio of the volume rate of the two phase flow cooling water to the volume rate of the fuel material is 0.80 in the channel box area. The uranium weight contained in the unit volume in the channel box area is 3.9 kg/L. In this embodiment, as same as in embodiment 5, employed are round control rods with a large diameter that utilize water rods disposed in the fuel assemblies as guide tubes. By employment of the large diameter control rods, mechanical strength of the control rods increases, and bending and crash of the control rods at the time of withdrawing and insertion can be prevented. Further, by decreasing the number of the fuel rods per one fuel assembly, the production of the control rods becomes easy and production cost can be saved. In this embodiment, the uranium weight contained in the unit volume of the core area is 3.0 kg/L, and the active fuel length is 1.94 m, which is about 1.8 m shorter than 3.7 m of ABWR. In this embodiment, the number of fuel rods per one fuel assembly is increased to enlarge it, and the number of the fuel assemblies is reduced to 504 from 720 of embodiment 1. In this embodiment, with enlargement of the fuel assembly, the area occupied by the control rod is increased from 19 of fuel rod unit lattice cells to 37, thereby to attain almost the same control value as in embodiment 5. At the same time, the distance between the channel boxes is increased from 16 mm of ABWR to 22.5 mm, thereby to suppress the reactivity increase at the cold condition, and the boiling water reactor having the absolute value of the void coefficient, which is smaller than ABWR is realized. Further, in this embodiment, the fuel rods having the outer diameter of 9.5 mm are arranged in the triangle lattice with the distance between the fuel rods of 1.7 mm to increase the total number of fuel rods so that the average linear heat generation ratio can be made 137 W/cm, which is about 20% lower than 172 W/cm of ABWR to secure MCPR of 1.3 or more. Accordingly, it is possible to attain the same heat removal performance as that of ABWR. From the above-described reasons, the boiling water reactor that contributes to greatly reduce the height of the core and the construction cost of the power plant is realized, keeping the same heat removal performance and safety as those of ABWR. Furthermore, the reactivity increase at the cold condition and the absolute value of void coefficient are lowered to improve the reactor shutdown performance, which is the subject for the high burnup reactors and transient and stability performance, keeping the same thermal margin and safety as those of ABWRs now under operation. The seventh embodiment according to the present invention will be explained with reference to FIGS. 15, 16. This embodiment increases the fuel loading quantity based on the structure of the first embodiment. FIG. 15 shows the lateral cross sectional view of the 1356 MWe electric power. There are shown 426 fuel assemblies 5, and 124 Y-type control rods 4 at a rate of one control rod for 3 fuel assemblies. FIG. 16 shows a cross sectional view of the fuel assembly. The fuel assembly is constituted by the fuel rods 2, 6, 7, the equilateral hexagon channel box 1 including the fuel assembly and the water rod 3, and the Y-type control rod 4 disposed in the gaps between the channel boxes and outside of the channel boxes. The distance between the channel boxes is 26.8 mm. The fuel rods 2 having the outer diameter of 9.6 mm are arranged in the triangle lattice with the distance between the fuel rods of 1.4 mm in the channel box to constitute the equilateral hexagon fuel assembly with the 12 rows. In the center of the fuel assembly, the water rod 3 is formed in an area for 4 fuel rod rows, i.e. 37 fuel rod unit cells. The number of the fuel rods in the channel box is 360. The wings of the Y-type control rod 4 are provided with absorber rods of stainless tubes filled with B4C, the angle between the wings being 120 degrees. The fuel rods 6 at the corner of the fuel assembly where the local power becomes large at the beginning of the cycle contain a fuel material of 4.0% of uranium enrichment concentration, and other fuel rods contain a fuel material of 4.9% of uranium enrichment concentration. The local power peaking at the beginning of the cycle is suppressed by arranging a fuel material for the fuel rods 6, which adjoin the channel boxes and the water rod, the fuel rods containing 4.9 wt % of uranium enrichment concentration to which 4.5 wt % of burnable poison is added. The average uranium enrichment concentration in the lateral cross sectional area of the fuel assembly is 4.89%. In this example, the fuel material of 4.89 wt % of the average uranium enrichment concentration in the lateral cross sectional area of the fuel assembly is constantly arranged in the axial direction. When the fuel assemblies are loaded on the core shown in FIG. 15, the core outer radius is 2.9 m, the active fuel length is 194 m, and the power density is 85 kW/L. The volume rate of the two phase flow cooling water including sub-cooled water for cooling the fuel rods in the channel boxes is 23%, the volume rate of water in the water rod is 6%, the volume rate of water in the gaps between the channel boxes and in the water rod is 26%, the volume rate of the fuel material is 34%, and the ratio of the volume rate of two phase flow cooling water to the volume rate of the fuel material is 0.69 in the reactor core area. The weight of uranium contained in the unit volume of the core area is 3.2 kg/L. The ratio of the outer width of the channel box to the fuel assembly lattice width is 0.89. The volume rate of water including sub-cooled water for cooling the fuel rods in the area of the channel box is 31%, the volume rate of water in the water rod is 8%, the volume rate of the fuel material is 45%, and the ratio of the volume rate of two phase flow water to the volume rate of the fuel material is 0.69 in the channel box area. The uranium weight in the unit volume in the area of the channel box is 4.1 kg/L. In this embodiment, when the uranium weight in the core area is 3.2 kg/L, the active fuel length is 1.94 m, which is about 1.8 m shorter than 3.7 m of ABWR. In this embodiment, the fuel assembly is made in a large scale size by increasing the number of fuel rods per one fuel assembly, and the number of fuel assemblies loaded on the core is decreased from 720 to 426. In the embodiment, the distance between the channel boxes is 26.8 mm, which is wider than 16 mm of ABWR, thereby to suppress the increase in the reactivity increase at the cold condition, and to realize the boiling water reactor, which has a small absolute value of void coefficient, as well. Further, in this embodiment, the fuel rods having the outer diameter of 9.6 mm are arranged in the triangle lattice with the distance between the fuel rods of 1.4 mm to increase the total number of fuel rods. As a result, the average linear heat generation ratio is 132 W/cm, which is about 23% smaller than 172 W/cm of ABWR, and it is possible to attain MCPR of 1.3 or more, which is the same heat removal performance as ABWR. From the above reasons, the boiling water reactor is realized that contributes to reduction of height of the core and to reduction of construction cost of power plant, keeping the same thermal margin and safety as those of ABWR. Further, the reactivity increase at the cold condition and the absolute value of the void coefficient are decreased thereby to improve the reactor shutdown performance, transient and stability performance, which are the subjects for high burnup. The eighth embodiment according to the present invention will be explained with reference to FIG. 17. This embodiment is concerned with a natural circulation reactor, which is based on the structure of the seventh embodiment. This embodiment is concerned with a reactor of 518 MWe electric power, the lateral cross sectional view being the same as that of FIG. 15. FIG. 17 shows a cross sectional view of the fuel assembly lattice. The fuel assembly is constituted by fuel rods 2, 6, 7, an equilateral hexagon channel box 1 including a water rod 3, and a Y-type control rod 4 inserted into the gap areas between the channel boxes and disposed outside of the channel box. The distance between the channel boxes is 27.4 mm. The fuel rods 2 having the outer diameter of 14.5 mm are arranged in the channel box so as to form a triangle lattice with 1.3 mm of a distance between the fuel rods, thereby to constitute the equilateral hexagon fuel assembly of 8 rows. The number of the fuel rods the channel box is 150. The wings of the Y-type control rod 4 are provided with absorber rods of stainless tubes filled with B4C, and the angle between the wings is 120 degrees. The fuel rods 6 at the corners where the power becomes large at the beginning of cycle contains 4.0 wt % of the uranium enrichment concentration, other fuel rods 2 contain a fuel material of 4.9 wt % of uranium enrichment concentration. The fuel rods 7 that adjoin the channel boxes and the water rod where the power tends to large at the beginning of cycle use 4.9 wt % of uranium enrichment concentration to which 4.5 wt % of a burnable poison is added so as to suppress the local power peaking at the beginning of the cycle. The average uranium enrichment concentration in the lateral cross section of the fuel assembly is 4.86%. In this embodiment, fuel rods have 4.86% of the average uranium enrichment concentration in the cross section of the fuel assembly, the fuel rods being constantly arranged in the axial direction of the fuel assembly. When the fuel assemblies are loaded on the core shown in FIG. 15, the core outer radius is 2.9 m, the active fuel length is 131 cm, and the power density is 53 kW/L. The volume rate of the two phase flow cooling water including sub-cooled water for cooling the fuel rods in the channel box is 18%, the volume rate of water in the water rod is 7%, the volume rate of water in the gaps between the channel boxes and in the water rod is 28%, the volume rate of the fuel material is 36%, and the ratio of the volume rate of the two phase flow cooling water to the volume rate of the fuel material is 0.50 in the reactor core area. The weight of uranium contained in the unit volume of the core area is 3.3 kg/L. The ratio of the outer width of the channel box to the lattice width of the fuel assembly is 0.89. The volume rate of the two phase flow cooling water including sub-cooled water in the channel box area is 24%, the volume rate of water in the water rod is 9%, the volume rate of the fuel material is 48%, and the ratio of the volume rate of the two phase flow cooling water to the volume rate of the fuel material is 0.50 in the channel box area. The weight of uranium contained in the unit volume in the channel box area is 4.5 kg/L. In this embodiment, when the weight of uranium contained in the core area is 3.3 kg/L, a boiling water reactor having the active fuel length being 1.31 m, which is about 2.4 m shorter than 3.7 m of ABWR is realized. In this embodiment, when the distance between the channel boxes is 27.4 mm, which is 16 mm wider than ABWR, it is possible to suppress the increase of the reactivity increase at the cold condition that leads to 1.0% Δk or more of the reactor shutdown margin. Further, in this embodiment, 1.3 or more of MCPR is secured by 518 MWe of the electric power, thereby to attain the same heat removal performance as that of ABWR. From the above reasons, it is possible to realize the boiling water reactor that contributes to great reduction of the height of the core and reduction of the construction cost of the power plant, keeping the same thermal margin and safety as those of ABWR. Further, it is possible to improve the reactor shutdown performance and transient and stability performance that are subject for the high burnup, by lowering the reactivity increase at the cold condition and the absolute value of the void coefficient. In this embodiment, when the weight of uranium contained in the unit volume of the core area is 3.3 kg/L to make the ctive fuel length 1.31 m, and to make the power as small as 518 MWe, the thermal margin is kept as small as that of ABWR, and the flow rate in the core can be reduced. From the above reasons, the pressure drop of the core is reduced, and a boiling water reactor that is capable of natural circulation is realized. The ninth embodiment according to the present invention will be explained with reference to FIG. 18. The present embodiment reduces the fuel cycle cost by extending the life of the fuel assemblies, based upon the structure of the first embodiment. The present embodiment is concerned with a core of 1356 MWe of the electric power, the lateral cross sectional view is the same as FIG. 6 of the first embodiment. FIG. 18 shows the cross sectional view of the fuel assembly. The fuel assembly is constituted by fuel rods 2, 6, an equilateral hexagon channel box including a water rod 3 and a water removal rod 17, a Y-type control rod 4 to be arranged in gaps between the channel boxes and disposed outside of the channel box, and a water removal plate 18. The distance between the channel boxes is 22.5 mm. Fuel rods 2 having an outer diameter of 9.5 mm are arranged in the channel box 1 to form a triangle lattice with a fuel rod gap of 1.8 mm, thereby to constitute an equilateral hexagon fuel assembly with 9 rows of fuel rods. In the center area of the fuel assembly, a water rod 3 from which a water removal rod 17 is withdrawn during operation is disposed in the area for 3 rows of fuel rods, i.e. 19 of the fuel rod unit lattice cells. The number of fuel rods in the channel box is 198. The Y-type control rods 4 are disposed at two sides of 6 sides of the channel boxes. The wings of the Y-type control rod have absorber rods of stainless tubes filled with B4C. The angle between the wings is 120 degrees. At the tips of the Y-type control rod, a detachable water removal plate, which is capable of being withdrawn during operation, is disposed. A water removal plate 18, which is detachable and capable of being withdrawn, is disposed in the gap area between the channel boxes facing the 4 sides of 6 sides of the channel box. A fuel material containing 4.0 wt % of uranium enrichment concentration for the fuel rods 6 at the corners where the power of the fuel rods becomes large at the time of beginning of cycle is arranged, and for the other fuel rods 2, a fuel material containing 4.9 wt % of uranium enrichment concentration is arranged. The average uranium enrichment concentration on the lateral cross sectional area is 4.87 wt %. In this embodiment, a fuel material of 4.87 wt % of the uranium enrichment concentration of the fuel assembly cross sectional area is constantly arranged in the axial direction of the fuel assembly. The core outer radius is 2.9 m, when the fuel assemblies are loaded on the core shown in FIG. 6, the active fuel length is 194 cm, and the power density of 83 kW/L. The volume rate of two phase flow cooling water including sub-cooled water for cooling the fuel rods in the channel boxes is 27%, the volume rate of water in the water rod is 5%, the volume rate of water in gaps between the channel boxes and in the water rod is 26 the volume rate of the fuel material is 31%, and the ratio of the volume rate of two phase flow cooling water to the volume rate of the fuel material is 0.88 in the reactor core area. The weight of uranium contained in the core area is 2.8 kg/L. The outer width of the channel box to the lattice width of the fuel assembly is 0.89. The volume rate of the two-phase flow cooling water including sub-cooled water for cooling the fuel rods in the channel box area is 36%. The volume rate of water in the water rod is 7%. The volume rate of the fuel material is 41%. The ratio of the volume rate of two phase flow cooling water to the volume rate of the fuel material is 0.88 in the channel box area. The weight of uranium contained in the unit volume in the channel box area is 3.8 kg/L. In this embodiment, when water present in gaps between the channel boxes and in the water rod is removed by the water removal rod or water removal plate, it is possible to realize a reactor in which the conversion of uranium 238 to plutonium is increased. Further, since the water to fuel volume ratio can be freely adjusted, there is no need to use burnable poison to lengthen the life of the fuel assembly, thereby to reduce a fuel cycle cost. In this embodiment, as same as the first embodiment, when the weight of uranium contained in the unit volume is set to 2.8 kg/L, which is about 47% larger than 1.9 kg/L of ABWR, the boiling water reactor having the active fuel length having 1.94 m, which is about 1.8 m shorter than 3.7 m of ABWR. Further, when the distance between the channel boxes is made 22.5 mm, which is wider than 16 mm of ABWR, it is possible to suppress the increase of the reactivity increase at the cold condition. Further, in this embodiment, as same as in embodiment 1, when the fuel rods having an outer diameter of 9.5 mm are arranged in the triangle lattice with a fuel rod distance of 1.8 mm, and when the number of fuel rods is increased to lower the average linear heat generation ratio to be 142 W/cm, which is about 17% smaller than 172 W/cm of ABWR. Thus, MCPR can be kept as 1.3 or more, thereby to attain the same the heat removal performance. From the above reasons, it is possible to realize a boiling water reactor that contributes to great lowering of the height of the reactor and to reduction of construction of power plant. The tenth embodiment will be explained with reference to FIG. 19. This embodiment is constituted based on the embodiment 1 to contribute toward extending the life of the fuel assemblies, thereby to reduce fuel cost. This embodiment is concerned with a core of 1356 MWe, and the lateral cross sectional view is the same as embodiment 5 shown in FIG. 11. FIG. 18 shows a cross sectional view of the fuel assembly lattice. The fuel assembly lattice is constituted by fuel rods 2, 6, a channel box 1 including a water rod 3 and a large diameter round control rod 16 and a water removal plate 18 disposed in the gaps between the channel boxes and outside of the channel box. The distance between the channel boxes is 22.5 mm. The fuel rods 2 having an outer diameter of 9.5 mm are arranged in the channel box 1 in a triangle lattice with a distance of 1.8 mm between the fuel rods to constitute an equilateral hexagon fuel assembly with 9 rows of fuel rods. In the center of the fuel assembly, a water rod 3 into which a large diameter control rod 16 is inserted is disposed in an area of 3 rows of fuel rods, i.e. an area for 19 fuel rods. The number of the fuel rods is 198. The large diameter control rod 16 is constituted by an absorber rod made of stainless tube filled with B4C. At the tip of the large diameter control rod 16, there is disposed a detachable water removal rod, which is removable during the operation of the reactor. In the gap area between the channel boxes, a water removal plate 18 that is removable during operation of the reactor is disposed. The fuel rods 6 at the corners where power becomes large at the time of beginning of the cycle contain a fuel material whose enrichment concentration is 4.0 wt %, and other fuel rods 2 contain a fuel material whose enrichment concentration is 4.9 wt %. The average uranium enrichment concentration in the lateral cross sectional area of the fuel assembly is 4.87 wt %. In this embodiment, the fuel material whose average uranium enrichment concentration in the lateral cross sectional area is 4.87 wt % is constantly arranged in the axial direction of the fuel assembly. The core outer radius is 2.9 m, when the above fuel assemblies are loaded on the core shown in FIG. 11, the active fuel length is 194 cm, and the power density is 83 kW/L. The volume rate of two phase flow cooling water including sub-cooled water for cooling the fuel rods in the channel box is 27%, the volume rate of water in the water rod is 5%, the volume rate of water in the gaps between the channel boxes and in the water rod is 26%, the volume rate of the fuel material is 31%, and the ratio of the volume rate of two phase flow cooling water to the volume rate of the fuel material is 0.88 in the reactor core area. The weight of uranium contained in the unit volume of the core area is 2.8 kg/L. The volume rate of the two phase flow cooling water including sub-cooled water for cooling the fuel rods in the channel box area is 36%, the volume rate of water in the water rod is 7%, the volume rate of the fuel material is 41%, and the ratio of the volume rate of two phase flow cooling water to the volume rate of the fuel material is 0.88 in the channel box area. The weight of uranium contained in the unit volume in the channel box area is 3.8 kg/L. In this embodiment, as same as in embodiment 1, when the weight of uranium contained in the unit volume of the core area is 2.8 kg/L, which is about 47% larger than 1.9 kg/L of ABWR, a boiling water reactor is realized wherein the active fuel length becomes 1.94 m, which is about 1.8 m shorter than 3.7 m of ABWR. When the distance between the channel boxes is set to 22.5 mm, which is wider than 16 mm of ABWR, the reactivity increase at the cold condition is suppressed. In this embodiment, as same as in embodiment 1, when fuel rods having an outer diameter of 9.5 mm are arranged in the triangle lattice with a gap between the fuel rods of 1.8 mm to increase the total number of fuel rods, thereby to lower the average linear heat generation ratio to 142 W/cm, which is about 17% lower than 172 W/cm of ABWR, it is possible to secure 1.3 or more of MCPR, which is same as that of ABWR. From the above reasons, the height of the core can be lowered greatly to reduce the construction cost of the power plant, keeping the same thermal margin and safety as those of ABWR. In this embodiment, as same as in embodiment 5, the water rod disposed in the fuel assembly is used as a guide tube for the large diameter control rod. When the diameter of the control rod is large, the mechanical strength of the control rod increases, and the bending or crush of the control rod can be suppressed at the time of insertion and withdrawal of the control rod. Further, it is possible to make the production of control rods easy and reduce the production cost by reducing the number of the control rods per fuel assembly. In this embodiment, as same as in embodiment 9, when water present in gaps between the channel boxes and in the water rod is removed by the water removal plate or water removal rod, a boiling water reactor which increases conversion of uranium 238 to plutonium is realized. Further, since the volume ratio of water to fuel material volume is freely controlled by insertion and withdrawal of the water removal rod, the burnable poison is not necessary, thereby to reduce the fuel cost. The eleventh embodiment according to the present invention will be explained with reference to FIG. 20. This embodiment, as same as embodiment 3, is the case where the lateral cross sectional area of the fuel assembly lattice is not equilateral hexagonal. The electric power is 1356 MWe, and the core is constituted by, as same as embodiment 1, 720 of fuel assemblies and 223 of Y-type control rods at a rate one for 3 fuel assemblies. FIG. 20 shows a cross sectional view of the fuel assembly. The fuel assembly is constituted by fuel rods 2, 6, 7, an equilateral hexagon channel box 1 including water rods 3, and Y-type control rods 4. The fuel assembly lattice shown in FIG. 20 has a longitude hexagon shape wherein the gaps k, m into which the Y-type control rods are inserted and the gaps p, q on the opposite side are longer than the remaining two gaps n, r, when the distances between 6 sides of the channel box and the fuel assembly lattice are defined as k, m, n, p, q, and r. The center of the channel box 1 and the center of the fuel assembly are the same. The gaps k, m, p and q are equal, and gaps n and r are equal. The distance between the channel boxes at the two sides where the Y-type control rods are inserted are 20.8 mm, which is twice that of k, and the distances of the remaining 4 sides are 18.3 mm (k+n), and the average distance between the channel boxes is 19.1 mm. The fuel rods 2 having an outer diameter of 11.2 mm are arranged in the channel box 1 in the triangle lattice with a gap of 3.7 mm between the fuel rods to constitute an equilateral hexagon fuel assembly with 7 rows of fuel rods. In the center of the fuel assembly, two water rods 3 are disposed for the areas of 2 rows of fuel rods, i.e. 7 fuel rod lattice cells. The number of the fuel rods in the channel box is 113. The wings of the Y-type control rods 4 are provided with absorber rods made of stainless tubes filled with B4C. The angle between the wings is 120 degrees. A fuel material of 4.0 wt % of the uranium enrichment concentration is arranged for the fuel rods 6 at corners where fuel power is large at the beginning of the cycle. Further, a fuel material of 4.9 wt % of the uranium enrichment concentration to which 4.5 wt % of a burnable poison is added is arranged for the fuel rods 7 that adjoin the channel boxes and the water rods where the power at the beginning of the cycle tends to become large, thereby to suppress the local power peaking at the beginning of the cycle. The average uranium enrichment concentration of the fuel assembly cross sectional area is 4.85 wt %. In this embodiment, the fuel material having 4.85 wt % of the average uranium enrichment concentration is arranged constantly in the axial direction of the fuel assembly. When the fuel assemblies are loaded on the core shown in FIG. 8, the core outer radius is 2.9 m, the active fuel length is 266 cm, and the power density is 61 kW/L. The volume rate of the two phase flow cooling water including sub-cooled water for cooling the fuel rods in the channel box is 38%, the volume rate of water in the water rods is 5%, the volume rate of water in gaps between the channel boxes and in the water rods is 24%, the volume rate of the fuel material is 24%, and the ratio of the volume rate of the two phase flow cooling water to the volume rate of the fuel material is 1.61 in the reactor core area. The weight of uranium contained in the unit volume of the core area is 2.2 kg/L. The ration of the outer width of the channel box to the average width of the fuel assembly lattice is 0.90, the average width being obtained by averaging 3 lattices of the longitude hexagonal fuel assemblies. The volume rate of the two phase flow cooling water including sub-cooled water for cooling the fuel rod in the channel box area is 50%, the volume rate of water in the water rods is 7%, the volume rate of the fuel material is 31%, and the ratio of the volume rate of the two phase flow cooling water to the volume rate of the fuel material is 1.61 in the channel box area. The weight of uranium contained in the unit volume in the channel box area is 2.9 kg/L. In this embodiment, when the weight of uranium contained in the unit volume in the core area is increase to 2.2 kg/L, which is about 16% larger than 1.9 kg/L of ABWR, a boiling water reactor having 2.66 m of an active fuel length, which is about 1.0 m shorter than 3.7 m of ABWR is realized. When the distance between the channel boxes is 19.1 mm, which is wider than 16 mm of ABWR, the reactivity increase at the cold condition is suppressed and a boiling water reactor having the small absolute value of a void coefficient is realized. In this embodiment, when the active fuel length is made short such as 2.66 m, which is shorter than 3.7 m of ABWR, the core flow amount is increased to attain MCPR of 1.3 or more, thereby to secure the same heat removal performance as ABWR. From the above reasons, it is possible to realize the boiling water reactor that contributes to great reduction of the height of the core and reduction of the construction cost of the power plant, keeping the same thermal margin and safety as those of ABWR. Further, it is possible to improve the reactor shutdown performance and transient and stability performance that are subject for the high burnup, by lowering the reactivity increase at the cold condition and the absolute value of the void coefficient. The twelfth embodiment according to the present invention is explained with reference to FIG. 21. The present embodiment is concerned with a large scaled fuel assembly and core having a further lower height than the embodiment 1. This embodiment is concerned with a core of a power of 1356 MWe, which comprises 720 of fuel assemblies and 223 of Y-type control rods at a rate of one for 3 fuel assemblies. FIG. 21 shows a cross sectional view of the fuel assembly lattice. The fuel assembly lattice comprises fuel rods 2, 6, 7, a channel box 1 including a water rod 33, and Y-type control rods 4 arranged in gaps between the channel boxes and outside of the channel box. The distance between the channel boxes is 27.4 mm. Fuel rods 2 having an outer diameter of 10.0 mm are arranged in the channel box 1 in the triangle lattice with a gap between the fuel rods of 1.8 mm, thereby to constitute an equilateral hexagon fuel assembly lattice with 10 rows of fuel rods. In the center of the fuel assembly, there is disposed a water rod 3 for 4 rows of fuel rods, i.e. 37 of fuel rod unit lattice cells. The number of fuel rods in the channel box area is 234. The wings of the Y-type control rods 4 are provided with absorber rods of stainless tubes filled with B4C. The angle between the wings is 120 degrees. A fuel material containing 4.0 wt % of uranium enrichment concentration for the fuel rods 6 at the corners where the power of the fuel rods becomes large at the time of beginning of the cycle is arranged, and for the other fuel rods 2, a fuel material containing 4.9 wt % of uranium enrichment concentration is arranged. Further, a fuel material of 4.9 wt % of the uranium enrichment concentration to which 4.5 wt % of a burnable poison is added is arranged for the fuel rods 7 that adjoin the channel boxes and the water rods where the power at the beginning of the cycle tends to become large, thereby to suppress the local power peaking at the beginning of the cycle. The average uranium enrichment concentration on the lateral cross sectional area is 4.88 wt %. In this embodiment, the fuel material of 4.88 wt % of the uranium enrichment concentration of the fuel assembly cross sectional area is constantly arranged in the axial direction of the fuel assembly. The core outer radius is 3.4 m, when the fuel assemblies are loaded on the core, the active fuel length is 150 cm, and the power density of 79 kW/L. The volume rate of the two phase flow cooling water including sub-cooled water for cooling the fuel rods in the channel boxes is 25%, the volume rate of water in the water rod is 8%, the volume rate of water in gaps between the channel boxes and in the water rod is 30%, the volume rate of the fuel material is 29%, and the ratio of the volume rate of two phase flow cooling water to the volume rate of the fuel material is 0.84 in the reactor core area. The weight of uranium contained in the core area is 2.7 kg/L. The outer width of the channel box to the lattice width of the fuel assembly is 0.88. The volume rate of the two phase flow cooling water including sub-cooled water for cooling the fuel rods in the channel box area is 33%. The volume rate of water in the water rod is 11%. The volume rate of the fuel material is 40%. The ratio of the volume rate of two phase flow cooling water to the volume rate of the fuel material is 0.84 in the channel box area. The weight of uranium contained in the unit volume in the channel box area is 3.7 kg/L. In this embodiment, the fuel rods having the outer diameter of 10.0 mm are arranged in the tight triangle lattice with the 1.8 mm gap between the fuel rods to increase the total number of fuel rods so that the weight of uranium in the unit volume of the core area is 2.7 kg/L, which is about 42% larger than 1.9 kg/L of ABWR, and the fuel assembly lattice is enlarged so that the outer diameter of the core is 3.4 m and the active fuel length is 1.5 m, which is about 2.0 m shorter than 3.7 m of ABWR, thereby to realize a boiling water reactor whose reactor building height can be lowered by two floors. In this embodiment, when the distance between the channel boxes is 27.4 mm, which is larger than 16 mm of ABWR, the reactivity increase at the cold condition can be suppressed and the reactor shutdown margin can be 1.0% Δk or more. From the above reasons, it is possible to realize a boiling water reactor of 1356 MWe power by lowering the reactivity increase at the cold condition and the absolute value of the void coefficient. Further, in this embodiment, the fuel rods having the outer diameter of 10.0 mm are arranged in the triangle lattice to increase the total number of fuel rods so that the average linear heat generation ratio becomes 155 W/cm, which is about 10% lower than 172 W/cm of ABWR and MCPR is kept to 1.3 or more, which is the same as that of ABWR. From the above reasons, it is possible to realize the boiling water reactor that contributes to great reduction of the height of the core and reduction of the construction cost of the power plant, keeping the same thermal margin and safety as those of ABWR. Further, it is possible to improve the reactor shutdown performance and transient and stability performance that are subject for the high burnup, by lowering the reactivity increase at the cold condition and the absolute value of the void coefficient. The thirteenth embodiment according to the present invention will be explained in the following. This embodiment enlarges the fuel assembly and core to further lower the height of the core than that of embodiment 1. This embodiment is concerned with a core of 1356 MWe, as same as embodiment 1 shown n FIG. 6, comprising 720 of fuel assemblies, and 223 of Y-type control rods at a rate of one for every 3 fuel assemblies. Although the channel boxes, fuel rods, water rod, arrangement of Y-type control rods, and structure are the same as in embodiment 1, the outer diameter of the fuel rods is 12.3 mm, and the distance between the fuel rods is 1.3 mm. When the fuel assemblies are loaded on the core, the core outer radius is 3.8 m, the active fuel length is 110 cm, and the power density is 85 kW/L. The volume rate of the two phase flow cooling water including sub-cooled water for cooling the fuel rods in the channel boxes is 19%, the volume rate of water in the water rod is 8%, the volume rate of water in gaps between the channel boxes and in the water rod is 29%, the volume rate of the fuel material is 35%, and the ratio of the volume rate of two phase flow cooling water to the volume rate of the fuel material is 0.55 in the reactor core area. The weight of uranium contained in the core area is 3.2 kg/L. The outer width of the outer width of the channel box to the lattice width of the fuel assembly is 0.89. The volume rate of the two-phase flow cooling water including sub-cooled water for cooling the fuel rods in the channel box area is 25%. The volume rate of water in the water rod is 11%. The volume rate of the fuel material is 46%. The ratio of the volume rate of two-phase flow cooling water to the volume rate of the fuel material is 0.55 in the channel box area. The weight of uranium contained in the unit volume in the channel box area is 4.2 kg/L. The arrangement of the fuel material in the fuel assemblies is the same as in embodiment 12, and the fuel material having an average uranium enrichment concentration of 4.88 wt % is distributed constantly in the axial direction of the fuel assemblies. In this embodiment, the fuel rods having the outer diameter of 12.3 mm are arranged in the tight triangle lattice with the 1.3 mm gap between the fuel rods to increase the total number of fuel rods so that the weight of uranium in the unit volume of the core area is 3.2 kg/L, which is about 68% larger than 1.9 kg/L of ABWR, and the fuel assembly lattice is enlarged so that the outer diameter of the core is 3.8 m and the active fuel length is 1.1 m, which is about 2.6 m shorter than 3.7 m of ABWR, thereby to realize a boiling water reactor whose reactor building height can be lowered by three floors. In this embodiment, when the distance between the channel boxes is 27.4 mm, which is larger than 16 mm of ABWR, the reactivity increase at the cold condition can be suppressed and the reactor shutdown margin can be 1.0% Δk or more. From the above reasons, it is possible to realize a boiling water reactor of 1356 MWe power by lowering the reactivity increase at the cold condition and the absolute value of the void coefficient. Further, in this embodiment, when the active fuel length is made short such as 1.1 m, which is shorter than 3.7 m of ABWR, the core flow amount is increased to attain MCPR of 1.3 or more, thereby to secure the same heat removal performance as ABWR. From the above reasons, it is possible to realize the boiling water reactor that contributes to great reduction of the height of the core and reduction of the construction cost of the power plant, keeping the same thermal margin and safety as those of ABWR. Further, it is possible to improve the reactor shutdown performance and transient and stability performance that are subject for the high burnup, by lowering the reactivity increase at the cold condition and the absolute value of the void coefficient. The fourteenth embodiment according to the present invention will be explained in the following. This embodiment enlarges the fuel assembly and core to further lower the height of the core than that of embodiment 1. This embodiment is concerned with a core of a power of 1356 MWe, which comprises 720 of fuel assemblies and 223 of Y-type control rods at a rate of one for 3 fuel assemblies. Although the channel boxes, fuel rods, water rod, arrangement of Y-type control rods, and structure are the same as in embodiment 12, shown in FIG. 21, the distance between the channel boxes is 32.0 mm, the outer diameter of the fuel rods is 9.3 mm, and the distance between the fuel rods is 1.3 mm. When the fuel assemblies are loaded on the core, the core outer radius is 3.2 m, the active fuel length is 100 cm, and the power density is 137 kW/L. The volume rate of the two phase flow cooling water including sub-cooled water for cooling the fuel rods in the channel boxes is 20%, the volume rate of water in the water rod is 8%, the volume rate of water in gaps between the channel boxes and in the water rod is 35%, the volume rate of the fuel material is 29%, and the ratio of the volume rate of two phase flow cooling water to the volume rate of the fuel material is 0.68 in the reactor core area. The weight of uranium contained in the core area is 2.7 kg/L. The outer width of the outer width of the channel box to the lattice width of the fuel assembly is 0.85. The volume rate of the two-phase flow cooling water including sub-cooled water for cooling the fuel rods in the channel box area is 29%. The volume rate of water in the water rod is 11%. The volume rate of the fuel material is 43%. The ratio of the volume rate of two-phase flow cooling water to the volume rate of the fuel material is 0.68 in the channel box area. The weight of uranium contained in the unit volume in the channel box area is 4.0 kg/L. The arrangement of the fuel material in the fuel assemblies is the same as in embodiment 12, and the fuel material having an average uranium enrichment concentration of 4.88 wt % is distributed constantly in the axial direction of the fuel assemblies. In this embodiment, the fuel rods having the outer diameter of 9.3 mm are arranged in the tight triangle lattice with the 1.3 mm gap between the fuel rods to increase the total number of fuel rods so that the weight of uranium in the unit volume of the core area is 2.7 kg/L, which is about 42% larger than 1.9 kg/L of ABWR, and the fuel assembly lattice is enlarged so that the core outer radius is 3.2 m and the active fuel length is 1.0 m, which is shorter than 3.7 m of ABWR, thereby to realize a boiling water reactor whose reactor building height can be lowered by three floors. In this embodiment, when the active fuel length is made short such as 1.0 m, which is shorter than 3.7 m of ABWR, the core flow amount is increased to attain MCPR of 1.3 or more, thereby to secure the same heat removal performance as ABWR. From the above reasons, it is possible to realize the boiling water reactor that contributes to great reduction of the height of the core and reduction of the construction cost of the power plant, keeping the same thermal margin and safety as those of ABWR. Further, it is possible to improve the reactor shutdown performance and transient and stability performance that are subject for the high burnup, by lowering the reactivity increase at the cold condition and the absolute value of the void coefficient. The fifteenth embodiment according to the present invention will be explained with reference to FIG. 22. This embodiment makes the active fuel length longer than the embodiment 1 to realize the increased plant utilization rate. The lateral cross sectional area of this embodiment is the same as FIG. 6 of embodiment 1. FIG. 22 shows a cross sectional view of the fuel assembly lattice. The fuel assembly lattice comprises fuel rods 2, 6, 7, a channel box 1 including a water rod 33, and Y-type control rods 4 arranged in gaps between the channel boxes and outside of the channel box. The distance between the channel boxes is 27.4 mm. Fuel rods 2 having an outer diameter of 10.2 mm are arranged in the channel box 1 in the triangle lattice with a gap between the fuel rods of 2.2 mm, thereby to constitute an equilateral hexagon fuel assembly lattice with 8 rows of fuel rods. In the center of the fuel assembly, there is disposed a water rod 3 for 3 rows of fuel rods, i.e. 19 of fuel rod unit lattice cells. The number of fuel rods in the channel box area is 150. The wings of the Y-type control rods 4 are provided with absorber rods of stainless tubes filled with B4C. The angle between the wings is 120 degrees. A fuel material containing 4.0 wt % of uranium enrichment concentration for the fuel rods 6 at the corners where the power of the fuel rods becomes large at the time of beginning of cycle is arranged, and for the other fuel rods 2, a fuel material containing 4.9 wt % of uranium enrichment concentration is arranged. Further, a fuel material of 4.9 wt % of the uranium enrichment concentration to which 4.5 wt % of a burnable poison is added is arranged for the fuel rods 7 that adjoin the channel boxes and the water rods where the power at the beginning of the cycle tends to become large, thereby to suppress the local power peaking at the beginning of the cycle. The average uranium enrichment concentration of the fuel assembly cross sectional area is 4.86 wt %. In this embodiment, the fuel material having 4.86 wt % of the average uranium enrichment concentration is arranged constantly in the axial direction of the fuel assembly. The core outer radius is 2.9 m, when the fuel assemblies are loaded on the core, the active fuel length is 223 cm, and the power density of 72 kW/L. The volume rate of the two phase flow cooling water including sub-cooled water for cooling the fuel rods in the channel boxes is 27%, the volume rate of water in the water rod is 6%, the volume rate of water in gaps between the channel boxes and in the water rod is 32%, the volume rate of the fuel material is 27%, and the ratio of the volume rate of two phase flow cooling water to the volume rate of the fuel material is 1.01 in the reactor core area. The weight of uranium contained in the core area is 2.5 kg/L. The outer width of the channel box to the lattice width of the fuel assembly is 0.86. The volume rate of the two phase flow cooling water including sub-cooled water for cooling the fuel rods in the channel box area is 39, the volume rate of water in the water rod is 8%, the volume rate of the fuel material is 38%, and the ratio of the volume rate of two phase flow cooling water to the volume rate of the fuel material is 1.01 in the channel box area. The weight of uranium contained in the unit volume in the channel box area is 3.5 kg/L. In this embodiment, the fuel rods having the outer diameter of 10.2 mm are arranged in the tight triangle lattice with the 2.2 mm gap between the fuel rods to increase the total number of fuel rods so that the weight of uranium in the unit volume of the core area is 2.5 g/L, which is about 32% larger than 1.9 kg/L of ABWR, and it is possible to realize a boiling water reactor having the active fuel length is 2.23 m, which is about 1.5 m shorter than 3.7 m of ABWR. In this embodiment, when the distance between the channel boxes is 27.4 mm, which is larger than 16 mm of ABWR, the reactivity increase at the cold condition can be suppressed and the reactor shutdown margin can be made 1.0% Δk or more. A boiling water reactor having a smaller void coefficient than that of ABWR can be realized. Further, in this embodiment, the fuel rods having the outer diameter of 10.2 mm are arranged in the triangle lattice to increase the total number of fuel rods so that the average linear heat generation ratio becomes 163 W/cm, which is about 5% lower than 172 W/cm of ABWR and MCPR is kept to 1.3 or more, which is the same as that of ABWR. From the above reasons, it is possible to realize the boiling water reactor that contributes to great reduction of the height of the core and reduction of the construction cost of the power plant, keeping the same thermal margin and safety as those of ABWR. Further, it is possible to improve the reactor shutdown performance and transient and stability performance that are subject for the high burnup, by lowering the reactivity increase at the cold condition and the absolute value of the void coefficient. In this embodiment, when the height of the core is made higher than that of embodiment 1, the higher burnup than embodiment 1 can be possible so that the utilization rate of the plant will increase. Accordingly, the boiling water reactor has the increased plant utility rate, thereby to reduce the electric generation cost, keeping the same thermal margin and safety as those of ABWR. The sixteenth embodiment according to the present invention will be explained in the following. In this embodiment, the active fuel length is further elongated to realize the increase of the plant utility rate by high burnup. This embodiment is concerned with a core of 1356 MWe, wherein the core lateral cross sectional view is the same as embodiment 1 shown in FIG. 6, and the fuel assembly is the same as embodiment 15 shown in FIG. 22. In this embodiment, the fuel rods having the outer diameter of 10.2 mm are arranged in the tight triangle lattice with the 2.2 mm gap between the fuel rods to increase the total number of fuel rods so that the weight of uranium in the unit volume of the core area is 2.5 kg/L, which is about 32% larger than 1.9 kg/L of ABWR, and the active fuel length is 2.85 m, which is about 0.9 m shorter than 3.7 m of ABWR, thereby to realize a boiling water reactor. In this embodiment, when the distance between the channel boxes is 27.4 mm, which is larger than 16 mm of ABWR, the reactivity increase at the cold condition can be suppressed and the reactor shutdown margin can be made 1.0% Δk or more. A boiling water reactor having a smaller void coefficient than that of ABWR can be realized. Further, in this embodiment, the fuel rods having the outer diameter of 10.2 mm are arranged in the triangle lattice with the fuel rod distance of 2.2 mm to increase the total number of fuel rods so that the average linear heat generation ratio becomes 128 W/cm, which is about 26% lower than 172 W/cm of ABWR and MCPR is kept to 1.3 or more, which is the same as that of ABWR. From the above reasons, it is possible to realize the boiling water reactor that contributes to reduction of the height of the core and reduction of the construction cost of the power plant, keeping the same thermal margin and safety as those of ABWR. Further, it is possible to improve the reactor shutdown performance and transient and stability performance that are subject for the high burnup, by lowering the reactivity increase at the cold condition and the absolute value of the void coefficient. In this embodiment, the higher burnup than the embodiment 15 is possible, and the plant utility rate can be increased, by increasing the height of the core, which is higher than that of the embodiment 15. Accordingly, in this embodiment, when the continuous operation time is elongated, the plant utility rate is increased and the electric generation cost can be lowered, keeping the same thermal margin and safety as those of ABWR. The seventeenth embodiment according to the present invention will be described with reference to FIGS. 23, 24. Although the present embodiment is concerned with the ABWR core, the same advantages will be expected when the present invention is applied the core before ABWR. FIG. 23 shows the lateral cross sectional view of a quarter of the 1356 MWe electric power core. There are shown 872 fuel assemblies 19, and 205 cross-shaped control rods 20 at a rate of one control rod for 4 fuel assemblies. FIG. 24 shows a cross sectional view of the fuel assembly. The fuel assembly is constituted by the fuel rods 2, 6, 7, the channel box 21 including the fuel assembly and the water rod 22, and the cross-shaped control rod 20 disposed in the gaps between the channel boxes and outside of the channel boxes. The distance between the channel boxes is 21.8 mm. The fuel rods 2 having the outer diameter of 10.2 mm are arranged in the square lattice with a fuel rod pitch of 11.5 mm to constitute the square fuel assembly with the 11 rows. In the center of the fuel assembly, the water rod 22 is formed in an area for 13 fuel rod unit cells. The number of the fuel rods in the channel box is 108. The wings of the cross-shaped control rod 20 are provided with absorber rods of stainless tubes filled with B4C. The fuel rods 6 at the corner of the fuel assembly where the local power becomes large at the beginning of the cycle contain a fuel material of 4.0% of uranium enrichment concentration, and other fuel rods contain a fuel material of 4.9% of uranium enrichment concentration. The local power peaking at the beginning of the cycle is suppressed by arranging a fuel material for the fuel rods 6, which adjoin the channel boxes and the water rod, the fuel rods containing 4.9 wt % of uranium enrichment concentration to which 4.5 wt % of burnable poison is added. The average uranium enrichment concentration in the lateral cross sectional area of the fuel assembly is 4.87%. In this example, the fuel material of 4.87 wt % of the average uranium enrichment concentration in the lateral cross sectional area of the fuel assembly is constantly arranged in the axial direction. When the fuel assemblies are loaded on the core shown in FIG. 23, the core outer radius is 2.7 m, the active fuel length is 371 cm, which is the same as ABWR. The power density is 51 kW/L. The volume rate of the two phase flow cooling water including sub-cooled water for cooling the fuel rods in the channel boxes is 26%, the volume rate of water in the water rod is 5%, the volume rate of water in the gaps between the channel boxes and in the water rod is 31%, the volume rate of the fuel material is 27%, and the ratio of the volume rate of two phase flow cooling water to the volume rate of the fuel material is 0.95 in the reactor core area. The weight of uranium contained in the unit volume of the core area is 2.5 kg/L. The ratio of the outer width of the channel box to the fuel assembly lattice width is 0.86. The volume rate of water including sub-cooled water for cooling the fuel rods in the area of the channel box is 38%, the volume rate of water in the water rod is 7%, the volume rate of the fuel material is 40%, and the ratio of the volume rate of two phase flow water to the volume rate of the fuel material is 0.95 in the channel box area. The uranium weight in the unit volume in the area of the channel box is 3.7 kg/L. In this embodiment, by arranging the fuel rods having the outer diameter of 10.2 mm in the square lattice with a fuel rod pitch of 11.5 mm for the fuel assembly lattice of ABWR, it is possible to realize a boiling water reactor having 2.5 kg/L of a uranium weight for the average of the core, contained in the unit volume, which is 32% larger than about 1.9 of ABWR. From the above reasons, in this embodiment, the boiling water reactor of the power of 1356 MWe whose core outer radius and the active fuel length are the same as ABWR has a continuous operation of 27 months at the discharge burnup of 55 GWd/t. In this embodiment, when the channel box distance is set to 21.8 mm, which is wider than 16 mm of ABWR, it is possible to suppress the reactivity increase at the cold condition and to secure the reactor shutdown margin of 1.0% Δk or more, keeping the small absolute value of void coefficient. From the above reasons, it is possible to keep the same thermal margin as that of ABWR in the boiling water reactor having the same power of 1356 MWe, the core outer radius and the active fuel length. Accordingly, keeping the same thermal margin and safety as those of ABWR, the reactor can contribute to reduction of electric generation cost by increasing the continuous operation time of the plant. Further, it is possible to improve the reactor shutdown performance and transient and stability performance that are subject for the high burnup, by lowering the reactivity increase at the cold condition and the absolute value of the void coefficient. The eighteenth embodiment according to the present invention will be explained in the following. This embodiment is a further modification of embodiment 17. The application of this embodiment to BWR cores of the type before ABWR will bring about the same advantages. The power of the core of this embodiment is 1356 MWe, and the lateral cross sectional area is the same as embodiment 17 shown in FIG. 23. The channel boxes in the fuel assemblies, fuel rods, water rod, arrangement of the cross-shaped control rods, and contour are the same as those in embodiment shown in FIG. 24, but the outer diameter of the fuel rods in this embodiment is 9.4 mm. The arrangement of fuel material in the fuel assemblies is the same as in embodiment 17. The uranium enrichment concentration in the average cross sectional area of the fuel assembly is 4.87 wt %. In this embodiment, a fuel material having 4.87 wt % of the average cross sectional area of the fuel assembly is distributed constantly in the axial direction of the fuel assembly. When the fuel assemblies are loaded on the core shown in FIG. 23, the core outer radius is 2.7 m, the active fuel length is 371 cm, which is the same as in ABWR. The power density is 51 kW/L. The volume rate of the two phase flow cooling water including sub-cooled water for cooling the fuel rods in the channel box is 32%, the volume rate of water in the water rod is 5%, the volume rate of water in gaps between the channel boxes and in the water rod is 31%, the volume rate of fuel material is 23%, and the ratio of the volume rate of the two phase flow cooling water to the volume rate of the fuel material is 1.41 in the reactor core area. The weight of uranium contained in the unit volume in the core area is 2.1 kg/L. The ratio of the outer width of the channel box to the fuel assembly lattice width is 0.86. The volume rate of the two phase flow cooling water including sub-cooled water for cooling the fuel rods is 47%, the volume rate of water in the water rod is 7%, the volume rate of fuel material is 33%, and the ratio of the volume rate of the two phase flow cooling water to the volume rate of the fuel material is 1.41 in the channel box area. The weight of uranium contained in the unit volume in the channel box area is 3.1 kg/L. In this embodiment, when the fuel rods having 9.4 mm of the outer diameter are arranged in a square lattice with 11.5 mm of fuel rod pitch, a boiling water reactor is realized, wherein an core average uranium weight contained in a unit volume is 2.1 kg/L, which is increase by about 11% of 1.9 kg/L of ABWR. From the above reasons, in the boiling water reactor having a power of 1356 MWe and the active fuel length, which are the same as in ABWR, the continuous operation period cab be about two years at the discharge burnup of 55 GWd/t. In this embodiment, when the distance between the channel boxes is 21.8 mm, which is wider than 16 mm of ABWR, it is possible to suppress the increase in the reactivity increase at the cold condition to obtain the reactor shutdown of 1.0% Δk or more, and the reactor has a smaller void coefficient than ABWR. In this embodiment, when the fuel rods having an outer diameter of 9.4 mm are arranged in a square lattice with a fuel rod pitch of 11.5 mm to increase the total number of fuel rods, the average linear heat generation ratio becomes 112 W/cm, which is about 35% smaller than 172 W/cm of ABWR, and MCPR becomes 1.3 or more, thereby to secure the same thermal removal performance as that of ABWR. From the above reasons, the boiling water reactor having the power of 1356 MWe, the core outer radius and active fuel length, which are the same as in ABWR, will have the same thermal margin as that of ABWR. Accordingly, the reactor core of this embodiment contributes to increase in the plant utility rate and reduction of electric generation cost by increasing the period of continuous operation of the plant, keeping the same thermal margin and safety as those in ABWR. Further, it is possible to improve the rector shutdown performance and transient and stability that are the subject at the time of high burnup, by lowering the reactivity increase at the cold condition and the absolute value of void coefficient. The nineteenth embodiment according to the present invention will be explained in the following. This embodiment is a further modification of embodiment 17. This embodiment will be applied to the conventional BWRs before ABWR to achieve the same advantages. This embodiment is concerned with a core of the power of 1356 MWe, the lateral cross sectional area of which is same as in the embodiment 17 shown in FIG. 23. The channel boxes in the fuel assemblies, fuel rods, water rod, arrangement of the cross-shaped control rods, and contours are the same as those in embodiment 17, but the outer diameter of the fuel rods in this embodiment is 9.5 mm. The arrangement of fuel material in the fuel assemblies is the same as in embodiment 17. The uranium enrichment concentration in the average cross sectional area of the fuel assembly is 4.87 wt %. In this embodiment, a fuel material having 4.87 wt % of the average cross sectional area of the fuel assembly is distributed constantly in the axial direction of the fuel assembly. When the fuel assemblies are loaded on the core shown in FIG. 23, the core outer radius is 2.7 m, the active fuel length is 371 cm, which is the same as in ABWR. The power density is 51 kW/L. The volume rate of the two phase flow cooling water including sub-cooled water for cooling the fuel rods in the channel box is 31%, the volume rate of water in the water rod is 5%, the volume rate of water in gaps between the channel boxes and in the water rod is 31%, the volume rate of fuel material is 23%, and the ratio of the volume rate of the two phase flow cooling water to the volume rate of the fuel material is 1.36 in the reactor core area. The weight of uranium contained in the unit volume in the core area is 2.14 kg/L. The ratio of the outer width of the channel box to the fuel assembly lattice width is 0.86. The volume rate of the two phase flow cooling water including sub-cooled water for cooling the fuel rods is 46%, the volume rate of water in the water rod is 7%, the volume rate of fuel material is 34%, and the ratio of the volume rate of the two phase flow cooling water to the volume rate of the fuel material is 1.36 in the channel box area. The weight of uranium contained in the unit volume in the channel box area is 3.14 kg/L. In this embodiment, the fuel rods having the outer diameter of 9.5 mm are arranged in the square lattice with the 11.5 mm fuel rod pitch so that the weight of uranium in the unit volume of the core area is 2.14 kg/L, which is about 13% larger than 1.9 kg/L of ABWR. From the above reasons, in the boiling water reactor having a power of 1356 MWe and the active fuel length, which are the same as in ABWR, the continuous operation period cab be about two years at the discharge burnup of 55 GWd/t. In this embodiment, when the distance between the channel boxes is 21.8 mm, which is larger than 16 mm of ABWR, the reactivity increase at the cold condition can be suppressed and the reactor shutdown margin can be made 1.0% Δk or more. A boiling water reactor having a smaller absolute value of void coefficient than that of ABWR can be realized. Further, in this embodiment, the fuel rods having the outer diameter of 9.5 mm are arranged in the square lattice with a fuel rod pitch of 11.5 mm to increase the total number of fuel rods so that the average linear heat generation ratio becomes 112 W/cm, which is about 35% lower than 172 W/cm of ABWR and MCPR is kept to 1.3 or more, which is the same as that of ABWR. From the above reasons, it is possible to keep the same thermal margin as that of ABWR in the boiling water reactor whose power is 1356 MWe and the same core outer. Accordingly, keeping the same thermal margin and safety as those of ABWR, the reactor can contribute to reduction of electric generation cost by increasing the continuous operation time of the plant. Further, it is possible to improve the reactor shutdown performance and transient and stability performance that are subject for the high burnup, by lowering the reactivity increase at the cold condition and the absolute value of the void coefficient. The twentieth embodiment according to the present invention will be explained in the following with reference to FIG. 25. This embodiment reduced the average linear heat generation ratio, based upon the embodiment 17. The core of this embodiment has an electric power of 1356 MWe, the lateral cross sectional area of which is the same as that of embodiment 17 shown in FIG. 17. FIG. 25 shows a cross sectional view of the fuel assembly lattice. The fuel assembly is constituted by the fuel rods 2, 6, 7, the channel box 21 including the fuel assembly and the water rod 23, and the cross-shaped control rod 20 disposed in the gaps between the channel boxes and outside of the channel boxes. The distance between the channel boxes is 21.8 mm. The fuel rods 2 having the outer diameter of 9.3 mm are arranged in the square lattice with a fuel rod pitch of 10.6 mm to constitute the square fuel assembly with the 12 rows. In the center of the fuel assembly, the water rod 23 is formed in an area for 12 fuel rod unit cells. The number of the fuel rods in the channel box is 132. The wings of the cross-shaped control rod 20 are provided with absorber rods of stainless tubes filled with B4C. The fuel rods 6 at the corner of the fuel assembly where the local power becomes large at the beginning of the cycle contain a fuel material of 4.0% of uranium enrichment concentration, and other fuel rods 2 contain a fuel material of 4.9% of uranium enrichment concentration. The local power peaking at the beginning of the cycle is suppressed by arranging a fuel material for the fuel rods 7 other than the fuel rods 6, the fuel rods 7 adjoining the channel boxes and the water rod and having a tendency of a large power at the beginning of cycle, the fuel rods containing 4.9 wt % of uranium enrichment concentration to which 4.5 wt % of burnable poison is added. The average uranium enrichment concentration in the lateral cross sectional area of the fuel assembly is 4.87%. In this example, the fuel material of 4.87 wt % of the average uranium enrichment concentration in the lateral cross sectional area of the fuel assembly is constantly arranged in the axial direction. When the fuel assemblies are loaded on the core shown in FIG. 23, the core outer radius is 2.7 m, the active fuel length is 371 cm, which is the same as ABWR. The power density is 51 kW/L. The volume rate of the two phase flow cooling water including sub-cooled water for cooling the fuel rods in the channel boxes is 27%, the volume rate of water in the water rod is 4%, the volume rate of water in the gaps between the channel boxes and in the water rod is 30%, the volume rate of the fuel material is 27%, and the ratio of the volume rate of two phase flow cooling water to the volume rate of the fuel material is 0.98 in the reactor core area. The weight of uranium contained in the unit volume of the core area is 2.5 kg/L. The ratio of the outer width of the channel box to the fuel assembly lattice width is 0.86. The volume rate of water including sub-cooled water for cooling the fuel rods in the area of the channel box is 39%, the volume rate of water in the water rod is 6%, the volume rate of the fuel material is 40%, and the ratio of the volume rate of two phase flow water to the volume rate of the fuel material is 0.98 in the channel box area. The uranium weight in the unit volume in the area of the channel box is 3.7 kg/L. In this embodiment, by arranging the fuel rods having the outer diameter of 9.3 mm in the square lattice with a fuel rod pitch of 10.6 mm for the fuel assembly lattice of ABWR, it is possible to realize a boiling water reactor having 2.5 kg/L of a uranium weight for the average of the core, contained in the unit volume, which is 32% larger than about 1.9 of ABWR. From the above reasons, in this embodiment, the boiling water reactor of the power of 1356 MWe whose core outer radius and the active fuel length are the same as ABWR has a continuous operation of 27 months at the discharge burnup of 55 GWd/t. In this embodiment, when the channel box distance is set to 21.8 mm; which is wider than 16 mm of ABWR, it is possible to suppress the reactivity increase at the cold condition and to secure the reactor shutdown margin of 1.0% Δk or more, keeping the small absolute value of void coefficient. Further, in this embodiment, since the fuel rods having the outer diameter of 9.3 mm are arranged in the square lattice with the fuel rod pitch of 10.6 mm in the ABWR core, the total number of fuel rods is increased to attain the average linear heat generation ratio of 92 W/cm, which is about 47% smaller than 172 W/cm of ABWR, and to secure 1.3 or more of MCPR, thereby to secure the same heat removal as that of ABWR. From the above reasons, it is possible to keep the same thermal margin as that of ABWR in the boiling water reactor having the same power of 1356 MWe, the radius of core outer radius and the active fuel length as those of ABWR. Accordingly, keeping the same thermal margin and safety as those of ABWR, the reactor can contribute to reduction of electric generation cost by increasing the continuous operation time period of the plant. Further, it is possible to improve the reactor shutdown performance and transient and stability performance that are subject for the high burnup, by lowering the reactivity increase at the cold condition and the absolute value of the void coefficient. The twenty-first embodiment according to the present invention will be explained with reference to FIG. 26. This embodiment employs, based upon the embodiment 17, large-diameter round control rods in the center of the fuel assembly in place of the cross shaped control rods, which are arranged in the gaps between the channel boxes. The embodiment is concerned with a core of 1356 MWe, the lateral cross sectional area being the same as FIG. 23. The fuel assembly lattice is constituted by fuel rods 2, 6, 7, a channel box including a water rod and the large diameter round control rods 16, and gaps between the channel boxes. The channel box distance is 21.8 mm. The fuel rods 2 having the outer diameter of 10.2 mm are arranged in the square lattice of 11 rows of fuel rods in the channel box 21 with a fuel rod pitch of 11.5 mm. In the area of 13 fuel rod unit lattice of the center of the fuel assembly, a water rod 22 where the control rod is inserted is disposed. The number of the fuel rods in the channel box 21 is 108. The large diameter round control rod 16 is absorber rods made of stainless tubes filled with B4C. For the fuel rods 6 at the corners where the local power at the beginning of the cycle becomes large, a fuel material of a uranium enrichment concentration of 4.0% is arranged, and for other fuel rods, a fuel material of a uranium enrichment concentration of 4.9% is arranged. The local power peaking at the beginning of cycle is suppressed by arranging a fuel material for the fuel rods 7 other than the fuel rods 6, the fuel rods 7 adjoining the channel boxes and the water rod and having a tendency of a large power at the beginning of cycle, the fuel rods containing 4.9 wt % of uranium enrichment concentration to which 4.5 wt % of burnable poison is added. The average uranium enrichment concentration in the lateral cross sectional area of the fuel assembly is 4.87%. In this embodiment, the fuel material of 4.87 wt % of the average uranium enrichment concentration in the lateral cross sectional area of the fuel assembly is constantly arranged in the axial direction. When the fuel assemblies are loaded on the core shown in FIG. 23, the core outer radius is 2.7 m, the active fuel length is 371 cm, which is the same as ABWR. The power density is 51 kW/L. The volume rate of the two phase flow cooling water including sub-cooled water for cooling the fuel rods in the channel boxes in the core area is 26%, the volume rate of water in the water rod is 5%, the volume rate of water in the gaps between the channel boxes and in the water rod is 31%, the volume rate of the fuel material is 27%, and the ratio of the volume rate of two phase flow cooling water to the volume rate of the fuel material is 0.95 in the reactor core area. The weight of uranium contained in the unit volume of the core area is 2.5 kg/L. The ratio of the outer width of the channel box to the fuel assembly lattice width is 0.86. The volume rate of water including sub-cooled water for cooling the fuel rods in the area of the channel box is 38%, the volume rate of water in the water rod is 7%, the volume rate of the fuel material is 40%, and the ratio of the volume rate of two phase flow water to the volume rate of the fuel material is 0.95 in the channel box area. The uranium weight in the unit volume in the area of the channel box is 3.7 kg/L. In this embodiment, the large diameter round control rods are employed wherein the water rods are used as guide rubes for the control rods. By enlarging the diameter of the control rods, the mechanical strength of the control rods increases, thereby to prevent bending or crash of the control rods at the time of insertion and withdrawal. Further, by reducing the number of the control rods, per fuel assemblies, the production of the control rods becomes easy and production cost can be reduced. In this embodiment, as same as embodiment 17, the fuel rods having the outer diameter of 10.2 mm are arranged in the square lattice with the 11.5 mm fuel rod pitch so that the weight of uranium in the unit volume of the core area is 2.14 kg/L, which is about 32% larger than 1.9 kg/L of ABWR. From the above reasons, in this embodiment, the boiling water reactor of the power of 1356 MWe whose core outer radius and the active fuel length are the same as ABWR has a continuous operation of 27 months at the discharge burnup of 55 GWd/t. In this embodiment, as same as embodiment 17, when the channel box distance is set to 21.8 mm, which is wider than 16 mm of ABWR, it is possible to suppress the reactivity increase at the cold condition and to secure the reactor shutdown margin of 1.0% Δk or more, keeping the small absolute value of void coefficient. Further, in this embodiment, since the fuel rods having the outer diameter of 10.2 mm are arranged in the square lattice with the fuel rod pitch of 11.5 mm in the ABWR core, the total number of fuel rods is increased to attain the average linear heat generation ratio of 112 W/cm, which is about 35% smaller than 172 W/cm of ABWR, and to secure 1.3 or more of MCPR, thereby to secure the same heat removal performance as that of ABWR. Accordingly, keeping the same thermal margin and safety as those of ABWR, the reactor can contribute to reduction of electric generation cost by increasing the continuous operation time of the plant. Further, it is possible to improve the reactor shutdown performance and transient and stability performance that are subject for the high burnup, by lowering the reactivity increase at the cold condition and the absolute value of the void coefficient. The twenty-second embodiment according to the present invention will be explained with reference to FIG. 27. This embodiment, based upon embodiment 20, employs a large diameter round control rod in the center of the fuel assembly in place of the cross control rod disposed in the gap between the channel boxes. The core of this embodiment has an electric power of 1356 MWe, the lateral cross sectional area of which is the same as that of embodiment 17 shown in FIG. 23. FIG. 27 shows a cross sectional view of the fuel assembly lattice. The fuel assembly is constituted by the fuel rods 2, 6, 7, the channel box 21 including the fuel assembly and the water rod 23, and the large diameter control rods 16 disposed in the gaps between the channel boxes 21. The distance between the channel boxes is 21.8 mm. The fuel rods 2 having the outer diameter of 9.3 mm are arranged in the square lattice with a fuel rod pitch of 10.6 mm to constitute the square fuel assembly with the 12 rows. In the center of the fuel assembly, the water rod 23 is formed in an area for 12 fuel rod unit cells. The number of the fuel rods in the channel box is 132. The wings of the large diameter control rods 16 are provided with absorber rods of stainless tubes filled with B4C. The fuel rods 6 at the corners of the fuel assembly where the local power becomes large at the beginning of the cycle contain a fuel material of 4.0% of uranium enrichment concentration, and other fuel rods 2 contain a fuel material of 4.9% of uranium enrichment concentration. The local power peaking at the beginning of the cycle is suppressed by arranging a fuel material for the fuel rods 7 other than the fuel rods 6, the fuel rods 7 adjoining the channel boxes and the water rod and having a tendency of a large power at the beginning of cycle, the fuel rods containing 4.9 wt % of uranium enrichment concentration to which 4.5 wt % of burnable poison is added. The average uranium enrichment concentration in the lateral cross sectional area of the fuel assembly is 4.87%. In this example, the fuel material of 4.87 wt % of the average uranium enrichment concentration in the lateral cross sectional area of the fuel assembly is constantly arranged in the axial direction. When the fuel assemblies are loaded on the core shown in FIG. 23, the core outer radius is 2.7 m, the active fuel length is 371 cm, which is the same as ABWR. The power density is 51 kW/L. The volume rate of the two phase flow cooling water including sub-cooled water for cooling the fuel rods in the channel boxes is 27%, the volume rate of water in the water rod is 4%, the volume rate of water in the gaps between the channel boxes and in the water rod is 30%, the volume rate of the fuel material is 27%, and the ratio of the volume rate of two phase flow cooling water to the volume rate of the fuel material is 0.98 in the reactor core area. The weight of uranium contained in the unit volume of the core area is 2.5 kg/L. The ratio of the outer width of the channel box to the fuel assembly lattice width is 0.86. The volume rate of water including sub-cooled water for cooling the fuel rods in the area of the channel box is 39%, the volume rate of water in the water rod is 6%, the volume rate of the fuel material is 40%, and the ratio of the volume rate of two phase flow water to the volume rate of the fuel material is 0.98 in the channel box area. The uranium weight in the unit volume in the area of the channel box is 3.7 kg/L. This embodiment employs the large diameter control rod which uses the water rod as a guide tube therefor. By enlarging the diameter of the control rod, the mechanical strength of the control rod increases, and it is possible to prevent bending and crash of the control rods when inserted or withdrawn. Further, by decreasing the number of the control rods per fuel assembly, the production of the control rods becomes easy and the production cost can be lowered. In this embodiment, as same as embodiment 20, by arranging the fuel rods having the outer diameter of 9.3 mm in the square lattice with a fuel rod pitch of 10.6 mm for the fuel assembly lattice of ABWR, it is possible to realize a boiling water reactor having 2.5 kg/L of a uranium weight, for the core average, contained in the unit volume, which is 32% larger than about 1.9 of ABWR. From the above reasons, in this embodiment, the boiling water reactor of the power of 1356 MWe whose core outer radius and the active fuel length are the same as ABWR has a continuous operation of 27 months at the discharge burnup of 55 GWd/t. In this embodiment, as same as embodiment 17, when the channel box distance is set to 21.8 mm, which is wider than 16 mm of ABWR, it is possible to suppress the reactivity increase at the cold condition and to secure the reactor shutdown margin of 1.0% Δk or more, keeping the small absolute value of void coefficient. Further, in this embodiment, since the fuel rods having the outer diameter of 9.3 mm are arranged in the square lattice with the fuel rod pitch of 10.6 mm in the ABWR core, the total number of fuel rods is increased to attain the average linear heat generation ratio of 92 W/cm, which is about 47% smaller than 172 W/cm of ABWR, and to secure 1.3 or more of MCPR, thereby to secure the same heat removal performance as that of ABWR. Accordingly, keeping the same thermal margin and safety as those of ABWR, the reactor can contribute to reduction of electric generation cost by increasing the continuous operation time of the plant. Further, it is possible to improve the reactor shutdown performance and transient and stability performance that are subject for the high burnup, by lowering the reactivity increase at the cold condition and the absolute value of the void coefficient. The twenty-third embodiment according to the present invention will be explained in the following. The present embodiment shortens the active fuel length shorter than that in embodiment 17. The present embodiment is concerned with a core of power of 1356 MWe, the lateral cross sectional area being the same as that of embodiment 17 shown in FIG. 23 and the fuel assembly lattice being the same as embodiment 17 shown in FIG. 22. In this embodiment, by arranging the fuel rods having the outer diameter of 10.2 mm in the square lattice with a fuel rod pitch of 11.5 mm for the fuel assembly lattice of ABWR, it is possible to realize a boiling water reactor having 2.5 kg/L of a uranium weight for the average of the core, contained in the unit volume, which is 32% larger than about 1.9 of ABWR. Thus, it is possible to realize a boiling water reactor having the active fuel length of 2.36 m, which is about 1.4 m shorter than 3.7 m of ABWR. In this embodiment, when the channel box distance is set to 21.8 mm, which is wider than 16 mm of ABWR, it is possible to realize a boiling water reactor by shortening the active fuel length to 2.36 m, which is shorter than that of ABWR. A reactivity increase at the cold condition is suppressed to secure the reactor shutdown margin of 1.0% Δk or more, nd as well as to secure the small absolute value of void coefficient so that, the core flow rate increases and it is possible to secure 1.3 or more of MCPR, thereby to secure the same heat removal performance as that of ABWR. From the above reasons, it is possible to realize the boiling water reactor that contributes to great reduction of the height of the core and reduction of the construction cost of the power plant, keeping the same thermal margin and safety as those of ABWR. Further, it is possible to improve the reactor shutdown performance and transient and stability performance that are subject for the high burnup, by lowering the reactivity increase at the cold condition and the absolute value of the void coefficient. The twenty-fourth embodiment according to the present invention will be explained with reference to FIG. 28. This embodiment reduces, based upon embodiment 23, the fuel cycle cost by enlarging the life of the fuel assembly. The core of this embodiment has an electric power of 1356 MWe, the lateral cross sectional area of which is the same as that of embodiment 17 shown in FIG. 23. FIG. 28 shows a cross sectional view of the fuel assembly lattice. The fuel assembly is constituted by the fuel rods 2, 6, 7, the channel box 21 including the fuel assembly, the water rod 23, a water removal rod 17, cross-shape control rods 20 disposed in the gaps between the channel boxes 21, and a water removal plate 18. The distance between the channel boxes is 21.8 mm. The fuel rods 2 having the outer diameter of 10.2 mm are arranged in the square lattice with a fuel rod pitch of 11.5 mm to constitute the square fuel assembly with the 11 rows. In the center of the fuel assembly, the water rod 22 is formed in an area for 13 fuel rod unit cells. The number of the fuel rods in the channel box is 108. The wings of the cross-shape control rods 20 are provided with absorber rods of stainless tubes filled with B4C. The cross-shape control rod 20 is provided with at its tip the water removal plate, which is detachable and capable of being withdrawn during operation. In the gaps of the channel box 21 where the cross-shape control rod is not located, a water removal plate 18, which is capable of being withdrawn. The fuel rods 6 at the corners of the fuel assembly where the local power becomes large at the beginning of the cycle contain a fuel material of 4.0% of uranium enrichment concentration, and other fuel rods 2 contain a fuel material of 4.9% of uranium enrichment concentration. The local power peaking at the beginning of cycle is suppressed by arranging a fuel material for the fuel rods 7 other than the fuel rods 6, the fuel rods 7 adjoining the channel boxes and the water rod and having a tendency of a large power at the beginning of the cycle, the fuel rods containing 4.9 wt % of uranium enrichment concentration to which 4.5 wt % of burnable poison is added. The average uranium enrichment concentration in the lateral cross sectional area of the fuel assembly is 4.87%. In this example, the fuel material of 4.87 wt % of the average uranium enrichment concentration in the lateral cross sectional area of the fuel assembly is constantly arranged in the axial direction. When the fuel assemblies are loaded on the core shown in FIG. 23, the core outer radius is 2.7 m, the active fuel length is 236 cm. The power density is 79 kW/L. The volume rate of the two phase flow cooling water including sub-cooled water for cooling the fuel rods in the channel boxes is 26%, the volume rate of water in the water rod is 5%, the volume rate of water in the gaps between the channel boxes and in the water rod is 31%, the volume rate of the fuel material is 27%, and the ratio of the volume rate of two phase flow cooling water to the volume rate of the fuel material is 0.95 in the reactor core area. The weight of uranium contained in the unit volume of the core area is 2.5 kg/L. The ratio of the outer width of the channel box to the fuel assembly lattice width is 0.86. The volume rate of water including sub-cooled water for cooling the fuel rods in the area of the channel box is 38%, the volume rate of water in the water rod is 7%, the volume rate of the fuel material is 40%, and the ratio of the volume rate of two phase flow water to the volume rate of the fuel material is 0.95 in the channel box area. The uranium weight in the unit volume in the area of the channel box is 3.7 kg/L. In this embodiment, as same as in embodiment 23, by arranging the fuel rods having the outer diameter of 10.2 mm in the square lattice with a fuel rod pitch of 11.6 mm for the fuel assembly lattice of ABWR, it is possible to realize a boiling water reactor having 2.5 kg/L of a uranium weight for the average of the core, contained in the unit volume, which is 32% larger than about 1.9 of ABWR. When the channel box distance is set to 21.8 mm, which is wider than 16 mm of ABWR, it is possible to suppress the reactivity increase at the cold condition and to secure the reactor shutdown margin of 1.0% Δk or more, keeping the small absolute value of void coefficient. In this embodiment, when the active fuel length is shortened to 2.36 m, which is shorter than 3.7 m of ABWR to increase the core flow amount, it is possible to attain 1.3 or more of MCPR, thereby to secure the same heat removal as that of ABWR. From the above reasons, it is possible to realize the boiling water reactor that contributes to great reduction of the height of the core and reduction of the construction cost of the power plant, keeping the same thermal margin and safety as those of ABWR. Further, it is possible to improve the reactor shutdown performance and transient and stability performance that are subject for the high burnup, by lowering the reactivity increase at the cold condition and the absolute value of the void coefficient. In this embodiment, when water present in gaps between the channel boxes and in the water rod is removed by the water removal rod or water removal plate, it is possible to realize a reactor in which the conversion of uranium 238 to plutonium is increased. Further, since the water to fuel volume ratio can be freely adjusted by insertion and withdrawal of the water removal rod or water removal plate, there is no need to use burnable poison to lengthen the life of the fuel assembly, thereby to reduce a fuel cycle cost. The twenty-fifth embodiment according to the present invention will be explained with reference to FIGS. 29, 30. FIG. 29 shows a lateral cross sectional view of a quarter of the core of 1356 MWe to which the present embodiment is applied. There are shown 424 of fuel assemblies, and 197 of cross-shape control rods at a rate of one for every 2 fuel assemblies. FIG. 30 shows a cross sectional view of the fuel assembly lattice. The fuel assembly is constituted by the fuel rods 2, 6, 7, a channel box 24 partitioned by separating plates into 4 sections, and the cross-shaped control rod 20 disposed in the gaps between the channel boxes and outside of the channel boxes. The distance between the channel boxes is 22.5 mm. There are arranged fuel rods 2 having an outer diameter of 10.0 mm at a fuel rod pitch of 11.3 mm in the 4 sections of the channel box 24 to constitute a square lattice with 9 rows. In the center of the fuel assembly, a water rod 25 partitioned by plates is disposed. The number of the fuel rods is 300. The wings of the cross-shaped control rod 20 are provided with absorber rods of stainless tubes filled with B4C. The fuel rods 6 at the corner of the fuel assembly where the local power becomes large at the beginning of the cycle contain a fuel material of 4.0% of uranium enrichment concentration, and other fuel rods 2 contain a fuel material of 4.9% of uranium enrichment concentration. The local power peaking at the beginning of cycle is suppressed by arranging a fuel material for the fuel rods 7 other than the fuel rods 6, the fuel rods 7 adjoining the channel boxes and the water rod and having a tendency of a large power at the beginning of the cycle. The average uranium enrichment concentration in the lateral cross sectional area of the fuel assembly is 4.89%. In this example, the fuel material of 4.89 wt % of the average uranium enrichment concentration in the lateral cross sectional area of the fuel assembly is constantly arranged in the axial direction. When the fuel assemblies are loaded on the core shown in FIG. 29, the core outer radius is 2.9 m, the active fuel length is 217 cm. The power density is 79 kW/L. The volume rate of the two phase flow cooling water including sub-cooled water for cooling the fuel rods in the channel boxes is 29%, the volume rate of water in the water rod is 5%, the volume rate of water in the gaps between the channel boxes and in the water rod is 24%, the volume rate of the fuel material is 32%, and the ratio of the volume rate of two phase flow cooling water to the volume rate of the fuel material is 0.92 in the reactor core area. The weight of uranium contained in the unit volume of the core area is 2.9 kg/L. The ratio of the outer width of the channel box to the fuel assembly lattice width is 0.90. The volume rate of water including sub-cooled water for cooling the fuel rods in the area of the channel box is 37%, the volume rate of water in the water rod is 7%, the volume rate of the fuel material is 40%, and the ratio of the volume rate of two phase flow water to the volume rate of the fuel material is 0.92 in the channel box area. The uranium weight in the unit volume in the area of the channel box is 3.7 kg/L. In this embodiment, by arranging fuel rods having an outer diameter of 10.0 mm in a square lattice, with a fuel rod pitch of 11.3 mm, the uranium weight contained in the unit volume can be increased to 2.9 kg/L, which is 53% larger than 1.9 kg/L of. ABWR, so that a boiling water reactor having an active fuel length of 2.17 m, which is about 1.5 m shorter than that of ABWR is realized. In this embodiment, when the channel box distance is set to 22.5 mm, which is wider than 16 mm of ABWR, it is possible to realize a boiling water reactor that keeps the small absolute value of void coefficient by suppressing the reactivity increase at the cold condition and securing the reactor shutdown margin of 1.0% Δk or more. Further, in this embodiment, by shortening the active fuel length to 2.17 m, which is shorter than that of ABWR, the core flow rate increases and it is possible to secure 1.3 or more of MCPR, thereby to secure the same heat removal performance as that of ABWR. From the above reasons, it is possible to realize the boiling water reactor that contributes to great reduction of the height of the core and reduction of the construction cost of the power plant, keeping the same thermal margin and safety as those of ABWR. Further, it is possible to improve the reactor shutdown performance and transient and stability performance that are subject for the high burnup, by lowering the reactivity increase at the cold condition and the absolute value of the void coefficient. The twenty-sixth embodiment according to the present invention will be explained in the following. This embodiment enlarges a plant utility rate by achieving a high degree of burnup, using a highly enriched fuel material, based upon embodiment 25. The present embodiment is concerned with a reactor of 1356 MWe, the core of which has the same structure as that of embodiment 25 shown in FIG. 29; there are 424 of fuel assemblies and 197 of cross-shape control rods arranged at a rate of one for every 2 fuel assemblies. FIG. 31 shows a cross sectional view of the fuel assembly lattice. The fuel assembly is constituted by the fuel rods 8, 9, 10, a channel box 24 partitioned by separating plates into 4 sections, and the cross-shaped control rod 20 disposed in the gaps between the channel boxes and outside of the channel boxes. The distance between the channel boxes is 39.0 mm. There are arranged fuel rods 8 having an outer diameter of 10.0 mm at a fuel rod pitch of 11.3 mm in the 4 sections of the channel box 24 to constitute a square lattice with 9 rows. In the center of the fuel assembly, a water rod 25 partitioned by plates is disposed. The number of the fuel rods is 300. The wings of the cross-shaped control rod 20 are provided with absorber rods of stainless tubes filled with B4C. The fuel rods 9 at the corner of the fuel assembly where the local power becomes large at the beginning of the cycle contain a fuel material of 6.5% of uranium enrichment concentration, and other fuel rods 8 contain a fuel material of 7.5% of uranium enrichment concentration to which 4.5 wt % of a burnable poison is added. The local power peaking at the beginning of cycle is suppressed by arranging a fuel material for the fuel rods 10 other than the fuel rods 9, the fuel rods 10 adjoining the channel boxes and the water rod and having a tendency of a large power at the beginning of cycle. The average uranium enrichment concentration in the lateral cross sectional area of the fuel assembly is 7.49%. In this example, the fuel material of 7.49 wt % of the average uranium enrichment concentration in the lateral cross sectional area of the fuel assembly is constantly arranged in the axial direction. When the fuel assemblies are loaded on the core, the core outer radius is 2.9 m, the active fuel length is 217 cm. The power density is 69 kW/L. The volume rate of the two phase flow cooling water including sub-cooled water for cooling the fuel rods in the channel boxes is 25%, the volume rate of water in the water rod is 5%, the volume rate of water in the gaps between the channel boxes and in the water rod is 34%, the volume rate of the fuel material is 27%, and the ratio of the volume rate of two phase flow cooling water to the volume rate of the fuel material is 0.92 in the reactor core area. The weight of uranium contained in the unit volume of the core area is 2.5 kg/L. The ratio of the outer width of the channel box to the fuel assembly lattice width is 0.84. The volume rate of water including sub-cooled water for cooling the fuel rods in the area of the channel box is 37%, the volume rate of water in the water rod is 7%, the volume rate of the fuel material is 40%, and the ratio of the volume rate of two phase flow water to the volume rate of the fuel material is 0.92 in the channel box area. The uranium weight in the unit volume in the area of the channel box is 3.7 kg/L. In this embodiment, the average uranium enrichment concentration in the lateral cross sectional area of the fuel assembly is increased from 4.89 wt % to 7.49 wt % to increase the discharge burnup, a boiling water reactor with a higher plant utility rate than that of embodiment 25 is realized. In this embodiment, by arranging fuel rods having an outer diameter of 10.0 mm in a square lattice, with a fuel rod pitch of 11.3 mm, the uranium weight contained in the unit volume can be increased to 2.5 kg/L, which is about 32% larger than 1.9 kg/L of ABWR, so that a boiling water reactor having an active fuel length of 2.17 m, which is about 1.5 m shorter than that of ABWR is realized. When the channel box distance is set to 39.0 mm, which is wider than 16 mm of ABWR, it is possible to realize a boiling water reactor that keeps the small absolute value of void coefficient by suppressing the reactivity increase at the cold condition and securing the reactor shutdown margin of 1.0% Δk or more. Further, in this embodiment, as same as embodiment 25, the fuel rods having the outer diameter of 10.0 mm are arranged in the square lattice with a fuel rod pitch of 11.3 mm to increase the total number of fuel rods. As a result, the average linear heat generation ratio can be made 142 W/cm, which is about 17% lower than 172 W/cm of ABWR to attain MCPR of 1.3 or more. Thus, the same heat removal performance as that of ABWR is secured. From the above reasons, it is possible to realize the boiling water reactor that contributes to great reduction of the height of the core and reduction of the construction cost of the power plant, keeping the same thermal margin and safety as those of ABWR. Further, it is possible to improve the reactor shutdown performance and transient and stability performance that are subject for the high burnup, by lowering the reactivity increase at the cold condition and the absolute value of the void coefficient. The twenty-seventh embodiment according to the present invention will be explained with reference to FIG. 32. This embodiment reduces, based upon embodiment 25, the fuel cycle cost by enlarging the life of the fuel assembly. This embodiment is concerned with a reactor of electric power of 1356 MWe, the lateral cross sectional area being the same as that of embodiment 25 shown in FIG. 29. FIG. 32 shows a cross sectional view of the fuel assembly lattice. The fuel assembly is constituted by the fuel rods 2, 6, a water removal rod 17, a channel box 24 partitioned by separating plates into 4 sections, and the cross-shaped control rods 20 disposed in the gaps between the channel boxes and outside of the channel boxes. The distance between the channel boxes is 22.5 mm. There are arranged fuel rods 2 having an outer diameter of 10.0 mm at a fuel rod pitch of 11.3 mm in the 4 sections of the channel box 24 to constitute a square lattice with 9 rows. In the center of the fuel assembly, a water rod 25 partitioned by plates is disposed. The water removal rod 17 is inserted into the water rod. The number of the fuel rods is 300. The wings of the cross-shaped control rod 20 are provided with absorber rods of stainless tubes filled with B4C. The cross-shape control rods have their tips water removal plates which are detachable and capable of being withdrawn during operation. The fuel rods 6 at the corner of the fuel assembly where the local power becomes large at the beginning of the cycle contain a fuel material of 4.0% of uranium enrichment concentration, and other fuel rods 2 contain a fuel material of 4.9% of uranium enrichment concentration. The average uranium enrichment concentration in the fuel assembly is 4.89 wt %. In this embodiment, the fuel material of the average uranium enrichment concentration of 4.89 wt % is arranged constantly in the axial direction of the fuel assembly. When the fuel assemblies are loaded on the core shown in FIG. 28, the core outer radius is 2.9 m, the active fuel length is 217 cm. The power density is 79 kW/L. The volume rate of the two phase flow cooling water including sub-cooled water for cooling the fuel rods in the channel boxes is 29%, the volume rate of water in the water rod is 5%, the volume rate of water in the in the water rod is 24%, the volume rate of the fuel material is 32%, and the ratio of the volume rate of two phase flow cooling water to the volume rate of the fuel material is 0.92 in the reactor core area. The weight of uranium contained in the unit volume of the core area is 2.9 kg/L. The ratio of the outer width of the channel box to the fuel assembly lattice width is 0.90. The volume rate of water including sub-cooled water for cooling the fuel rods in the area of the channel box is 37%, the volume rate of water in the water rod is 7%, the volume rate of the fuel material is 40%, and the ratio of the volume rate of two phase flow water to the volume rate of the fuel material is 0.92 in the channel box area. The uranium weight in the unit volume in the area of the channel box is 3.7 kg/L. In this embodiment, as same as in embodiment 25, by arranging the fuel rods having the outer diameter of 10.0 mm in the square lattice with a fuel rod pitch of 11.3 mm, it is possible to realize a boiling water reactor having 2.9 kg/L of a uranium weight for the average of the core, contained in the unit volume, which is 53% larger than about 1.9 of ABWR. When the channel box distance is set to 22.5 mm, which is, wider than 16 mm of ABWR, it is possible to suppress the reactivity increase at the cold condition and to secure the reactor shutdown margin of 1.0% Δk or more, keeping the small absolute value of void coefficient. In this embodiment, as same as in the embodiment 25, when the fuel rods having an outer diameter of 10.0 mm are arranged in the square lattice to increase the total number of fuel rods and to lower the average linear heat generation ratio to 142 W/cm, which is about 17% lower the 172 W/cm of ABWR, it is possible to attain 1.3 or more of MCPR, thereby to secure the same heat removal as that of ABWR. From the above reasons, it is possible to realize the boiling water reactor that contributes to great reduction of the height of the core and reduction of the construction cost of the power plant, keeping the same thermal margin and safety as those of ABWR. In this embodiment, when water present in gaps between the channel boxes and in the water rod is removed by the water removal rod or water removal plate, it is possible to realize a reactor in which the conversion of uranium 238 to plutonium is increased. Further, since the water to fuel volume ratio can be freely adjusted by insertion and withdrawal of the water removal rod or water removal plate, there is no need to use burnable poison to lengthen the life of the fuel assembly, thereby to reduce a fuel cycle cost. The twenty-eighth embodiment according to the present invention will be explained in the following. The present embodiment aims at increasing in the utility of plant by high burnup wherein the active fuel length is made longer than the embodiment 25. The present embodiment relates to a reactor of a power of 1356 MWe; the lateral cross sectional area is the same as that of embodiment 25 shown in FIG. 29, and the fuel assembly lattice is the same as that of embodiment 25 shown in FIG. 30. In this embodiment, the active fuel length is 371 cm, which is the same as that of ABWR. In this embodiment, by arranging fuel rods having an outer diameter of 10.0 mm in a square lattice with a fuel rod pitch of 11.3 mm, the uranium weight contained in the unit volume can be increased to 2.9 kg/L, which is 53% larger than 1.9 kg/L of ABWR. From the above reasons, in this embodiment, in a boiling water reactor having a power of 1356 MWe and an active fuel length, which are the same as those of ABWR, the continuous operation period can be extended to 30 months at the discharge burnup of 50 GWd/t. Further, in this embodiment, when the channel box distance is set to 22.5 mm, which is wider than 16 mm of ABWR, it is possible to suppress the reactivity increase at the cold condition and to secure the reactor shutdown margin of 1.0% Δk or more. Further, in this embodiment, since the fuel rods having the outer diameter of 10.0 mm are arranged in the square lattice with the fuel rod pitch of 11.3 mm in the ABWR core, the total number of fuel rods is increased to attain the average linear heat generation ratio of 83 W/cm, which is about 52% smaller than 172 W/cm of ABWR, and to secure 1.3 or more of MCPR, thereby to secure the same heat removal performance as that of ABWR. Accordingly, keeping the same thermal margin and safety as those of ABWR, the reactor can contribute to reduction of electric generation cost by increasing the continuous operation time period of the plant. Further, it is possible to improve the reactor shutdown performance and transient and stability performance that are subject for the high burnup. The twenty-ninth embodiment according to the present invention will be explained with reference to FIG. 33. The present embodiment increases electric power, based upon embodiment 25. This embodiment relates to a reactor of a power of 1700 MWe; the lateral cross sectional area is the same as that of embodiment 25 shown in FIG. 29. FIG. 33 shows a cross sectional view of the fuel assembly lattice. The fuel assembly is constituted by the fuel rods 2, 6, 7, a channel box 24 partitioned by separating plates into 4 sections, and the cross-shaped control rod 20 disposed in the gaps between the channel boxes and outside of the channel boxes. The distance between the channel boxes is 22.5 mm. There are arranged fuel rods 2 having an outer diameter of 10.1 mm at a fuel rod pitch of 22.5 mm in the 4 sections of the channel box 24 to constitute a square lattice with 8 rows. In the center of the fuel assembly, a water rod 25 partitioned by plates is disposed. The number of the fuel rods is 232. The wings of the cross-shaped control rod 20 are provided with absorber rods of stainless tubes filled with B4C. The fuel rods 6 at the corner of the fuel assembly where the local power becomes large at the beginning of the cycle contain a fuel material of 4.0% of uranium enrichment concentration, and other fuel rods 2 contain a fuel material of 4.9% of uranium enrichment concentration. The local power peaking at the beginning of the cycle is suppressed by arranging a fuel material for the fuel rods 7 other than the fuel rods 6, the fuel rods 7 adjoining the channel boxes and the water rod and having a tendency of a large power at the beginning of the cycle. The average uranium enrichment concentration in the lateral cross sectional area of the fuel assembly is 4.88%. In this embodiment, the fuel material of 4.88 wt % of the average uranium enrichment concentration in the lateral cross sectional area of the fuel assembly is constantly arranged in the axial direction. When the fuel assemblies are loaded on the core shown in FIG. 29, the core outer radius is 2.9 m, the active fuel length is 371 cm. The power density is 58 kW/L. The volume rate of the two phase flow cooling water including sub-cooled water for cooling the fuel rods in the channel boxes is 37%, the volume rate of water in the water rod is 7%, the volume rate of water in the gaps between the channel boxes and in the water rod is 25%, the volume rate of the fuel material is 25%, and the ratio of the volume rate of two phase flow cooling water to the volume rate of the fuel material is 1.48 in the reactor core area. The weight of uranium contained in the unit volume of the core area is 2.3 kg/L. The ratio of the outer width of the channel box to the fuel assembly lattice width is 0.90. The volume rate of water including sub-cooled water for cooling the fuel rods in the area of the channel box is 47%, the volume rate of water in the water rod is 8%, the volume rate of the fuel material is 32%, and the ratio of the volume rate of two phase flow water to the volume rate of the fuel material is 1.48 in the channel box area. The uranium weight in the unit volume in the area of the channel box is 2.9 kg/L. In this embodiment, by arranging fuel rods having an outer diameter of 10.1 mm in a square lattice with a fuel rod pitch of 12.5 mm, it is possible to realize a boiling water reactor wherein the uranium weight contained in the unit volume at the core average is 2.3 kg/L, which is about 21% larger than about 1.9 kg/L of ABWR. As a result, in the reactor of 1700 MWe and the active fuel length, which are same as ABWR, the continuous operation time period can be 20 months at 55 GWd/t of the withdrawal. In this embodiment, when the channel box distance is set to 22.5 mm, which is wider than 16 mm of ABWR, it is possible to suppress the reactivity increase at the cold condition and to secure the reactor shutdown margin of 1.0% Δk or more, keeping the small absolute value of void coefficient. Further, in this embodiment, since the fuel rods having the outer diameter of 10.1 mm are arranged in the square lattice with the fuel rod pitch of 12.5 mm in the ABWR core, the total number of fuel rods is increased to attain the average linear heat generation ratio of 136 W/cm, which is about 21% smaller than 172 W/cm of ABWR, and to secure 1.3 or more of MCPR, thereby to secure the same heat removal performance as that of ABWR. Accordingly, keeping the same thermal margin and safety as those of ABWR, the reactor can contribute to reduction of electric generation cost by increasing the continuous operation time period of the plant. Further, it is possible to improve the reactor shutdown performance and transient and stability performance that are subject for the high burnup, by lowering the reactivity increase at the cold condition and the absolute value of the void coefficient. The thirtieth embodiment according to the present invention will be explained with reference to FIG. 34. This embodiment is a modification of embodiment 25 of electric power of 1356 MWe, and the lateral cross sectional area is the same as that of embodiment 25 shown in FIG. 29. FIG. 34 shows a cross sectional view of the fuel assembly lattice. The fuel assembly is constituted by the fuel rods 2, 6, 7, a channel box 24 partitioned by separating plates into 4 sections, and the cross-shaped control rod 20 disposed in the gaps between the channel boxes and outside of the channel boxes. The distance between the channel boxes is 22.5 mm. There are arranged fuel rods 2 having an outer diameter of 10.0 mm at a fuel rod pitch of 11.3 mm in the 4 sections of the channel box 24 to constitute a square lattice with 9 rows. In the center of the fuel assembly, a water rod 25 partitioned by plates is disposed. The number of the fuel rods is 288. The wings of the cross-shaped control rod 20 are provided with absorber rods of stainless tubes filled with B4C. The fuel rods 6 at the corner of the fuel assembly where the local power becomes large at the beginning of the cycle contain a fuel material of 4.0% of uranium enrichment concentration, and other fuel rods 2 contain a fuel material of 4.9% of uranium enrichment concentration, the fuel material for the fuel rods being added with 4.5% of a burnable poison. The local power peaking at the beginning of the cycle is suppressed by arranging a fuel material for the fuel rods 7 other than the fuel rods 6, the fuel rods 7 adjoining the channel boxes and the water rod and having a tendency of a large power at the beginning of the cycle. The average uranium enrichment concentration in the lateral cross sectional area of the fuel assembly is 4.89%. In this example, the fuel material of 4.89 wt % of the average uranium enrichment concentration in the lateral cross sectional area of the fuel assembly is constantly arranged in the axial direction. When the fuel assemblies are loaded on the core shown in FIG. 29, the core outer radius is 2.9 m, the active fuel length is 217 cm. The power density is 79 kW/L. The volume rate of the two phase flow cooling water including sub-cooled water for cooling the fuel rods in the channel boxes is 28%, the volume rate of water in the water rod is 8%, the volume rate of water in the gaps between the channel boxes and in the water rod is 27%, the volume rate of the fuel material is 30%, and the ratio of the volume rate of two phase flow cooling water to the volume rate of the fuel material is 0.90 in the reactor core area. The weight of uranium contained in the unit volume of the core area is 2.8 kg/L. The ratio of the outer width of the channel box to the fuel assembly lattice width is 0.90. The volume rate of water including sub-cooled water for cooling the fuel rods in the area of the channel box is 35%, the volume rate of water in the water rod is 10%, the volume rate of the fuel material is 39%, and the ratio of the volume rate of two phase flow water to the volume rate of the fuel material is 0.92 in the channel box area. The uranium weight in the unit volume in the area of the channel box is 3.6 kg/L. In this embodiment, by arranging fuel rods having an outer diameter of 10.0 mm in a square lattice with a fuel rod pitch of 11.3 mm, it is possible to realize a boiling water reactor wherein the uranium weight contained in the unit volume at the core average is 2.8 kg/L, which is about 47% larger than about 1.9 kg/L of ABWR. As same as embodiment 25, when the distance between the channel boxes is set to 22.5 mm, it is possible to suppress the increase of the reactivity increase at the cold condition and to secure the reactor shutdown margin of 1.0% Δk or more, keeping the small absolute value of void coefficient. In this embodiment, the fuel rods having the outer diameter of 10.0 mm are arranged in the square lattice with the fuel rod pitch of 11.3 mm to increase the total number of duel rods, thereby to lower the average linear heat generation ratio to 148 W/cm, which is about 14% smaller than 172 of ABWR. As a result, the MCPR of i.3 or more is attained and the same heat removal performance as that of ABWR is attained. From the above reasons, it is possible to realize the boiling water reactor that contributes to great reduction of the height of the core and reduction of the construction cost of the power plant, keeping the same thermal margin and safety as those of ABWR. Further, it is possible to improve the reactor shutdown performance and transient and stability performance that are subject for the high burnup, by lowering the reactivity increase at the cold condition and the absolute value of the void coefficient. The thirty-first embodiment according to the present invention will be explained with reference to FIG. 35 and FIG. 36. This embodiment employs part-length fuel rods for the fuel assembly in the twentieth embodiment. The fuel assembly lattice shown in FIG. 35 comprises fuel rods 2, 6, 7, a channel box including the fuel rods and a water rod 23, and cross-shaped control rods 20 inserted into gaps between the channel boxes and disposed outside of the channel box. The distance between the channel boxes is 19.2 mm. The channel box distance is larger than that of the conventional fuel assemblies; the channel box outer width at the top end of the fuel assembly is larger than the other part, as shown in FIG. 36, so as to make the gaps between the lattice plate at the top of the core equal to that of the conventional ones. This structure is not only limited to this embodiment, but also applied to other embodiments. In the channel box 21, fuel rods 2 having an outer diameter of 9.3 mm are arranged in a square lattice with a fuel rod pitch of 10.8 mm to constitute a square fuel assembly with 12 rows of fuel rods. The fuel rods 30 are part-length fuel rods. In the center of the fuel assembly, the water rod 23 for 12 of fuel rod unit lattice cells is disposed. The number of the fuel rods in the channel box 21 in the lower region where the part-length fuel rods are present is 132, and in the upper region where the pert-length fuel rods are not present, the number of the fuel rods is 116. The wings of the cross-shaped control rods 20 have absorber rods made of stainless tube that are filled with B4C. A fuel material having a uranium enrichment concentration of 4.0 wt % is arranged in the fuel rods at the corners where the fuel rod output at the beginning of burnup becomes large, and a fuel material having a uranium enrichment concentration of 4.9 wt % is arranged in the other fuel rods. The average uranium enrichment concentration in the cross sectional area of the fuel assembly is 4.87 wt %. When the fuel assemblies are loaded on the core shown in FIG. 23, the core outer diameter is 2.7 m, the active fuel length is 381 cm, and the power density is 49 W/L. A volume rate of two-phase flow cooling water including sub-cooled water for cooling the fuel rods is 31%, the volume rate of water in the rod is 5%, the volume rate of the water in the gaps between the channel boxes outside of the channel box and water in the water rod is 28%, a volume rate of the fuel material is 26%, and a ratio of the volume rate of the two-phase flow water to the fuel material volume rate is 1.19. An amount of uranium contained in the unit volume of the core area is 2.4 kg/L. The ratio of the outer width of the channel box to the fuel assembly lattice width is 0.88. The volume rate of the two-phase flow cooling water including sub-cooled water for cooling the fuel rods in the channel box area is 43%, the volume rate of water in the water rod is 7%, the volume rate of the fuel material is 36%, and the ratio of the two-phase flow cooling water to the volume rate of the fuel material is 1.19. The weight of uranium contained in the unit volume of the channel box area is 3.3 kg/L. When the fuel assembly shown in FIG. 35 is employed, and when the number of replacement of fuel rods is 108 for each cycle, it is possible to constitute the reactor core having 3017 days of a super long-term average burning days at the discharge burnup of 63 Gd/t. When, the distance between the channel boxes is 19.2 mm, which is larger than 16 mm of ABWR, and when the part-length fuel rods are employed, it is possible to suppress the increase of the reactivity at the cold condition, and to acquire the reactor shutdown margin of 1.0 & Δk or more, thereby realizing the boiling water reactor having a smaller absolute value of the void coefficient than ABWR. When fuel rods having an outer diameter of 9.3 mm are arranged in a square lattice with a fuel rod pitch of 10.8 mm to increase the number of the fuel rods, thereby decreasing the average linear heat generation ratio to 95 W/cm, which is about 45% smaller than 172 W/cm of ABWR, it is possible to acquire MCPR of 1.3 or more, which leads to the same heat removal performance as that of ABWR. From the above reasons, it is possible to keep the same thermal margin as that of ABWR, in the boiling water reactor having the same power of 1356 MWe, and the same core outer radius of the core and the same active fuel length as those of ABWR. Accordingly, in this embodiment, by increasing the continuous operation term of the plant, it is possible to realize a boiling water reactor wherein the utility rate of the plant increases to contribute to reduction of the electricity generation cost, keeping the same thermal margin and safety as those of ABWR. Further, it is possible to improve the reactor shutdown margin, and the transient and stability performance by reducing the reactivity increase at the cold condition and the absolute value of the void coefficient. The thirty-second embodiment and other embodiments according to the present invention will be explained with reference to FIGS. 37 to 43. These embodiments are examples of application of the structure to a BWR/5 core. FIG. 37 shows a quarter of a lateral cross sectional view of a core of an electric power of 1100 MWe according to the present embodiment. There are shown a quarter of 764 fuel assemblies 19, and a quarter of 185 control rods 20 at a rate of one for every 4 fuel assemblies. Although the arrangement and contours of channel boxes, fuel rods, water rod, cross-shaped control rods and part-length fuel rods are the same as in the thirty-first embodiment shown in FIG. 35, the channel box distance is 16.7 mm in this embodiment. The core outer radius is 2.6 m and the active fuel length is 381 mm, when the fuel assemblies are loaded on the core shown in FIG. 37. The power density is 49 kW/L. A volume rate of two-phase flow cooling water including sub-cooled water for cooling the fuel rods is 32%, the volume rate of water in the rod is 5%, the volume rate of the water in the gaps between the channel boxes outside of the channel box and water in the water rod is 26%, a volume rate of the fuel material is 27%, and a ratio of the volume rate of the two-phase flow water to the fuel material volume rate is 1.19. An amount of uranium contained in the unit volume of the core area is 2.4 kg/L. The ratio of the outer width of the channel box to the fuel assembly lattice width is 0.89. The volume rate of the two-phase flow cooling water including sub-cooled water for cooling the fuel rods in the channel box area is 43%, the volume rate of water in the water rod is 7%, the volume rate of the fuel material is 36%, and the ratio of the two-phase flow cooling water to the volume rate of the fuel material is 1.19. The weight of uranium contained in the unit volume of the channel box area is 3.3 kg/L. When the fuel assembly shown in FIG. 35 is employed, and when the number of replacement of fuel rods is 188 for each cycle, it is possible to constitute the reactor core having a super long-term of 23 months of average burning period at the discharge burnup of 49 Gd/t. When, the distance between the channel boxes is 16.7 mm, which is larger than 13 mm of BWR/5, and when the part-length fuel rods are employed, it is possible to suppress the increase of the reactivity at the cold condition, and to acquire the reactor shutdown margin of 1.0% Δk or more, thereby realizing the boiling water reactor having a smaller absolute value of the void coefficient than BWR/5. When fuel rods having an outer diameter of 9.3 mm are arranged in a square lattice for the BWR/5 with a fuel rod pitch of 10.8 mm to increase the number of the fuel rods, thereby decreasing the average linear heat generation ratio to 95 W/cm, which is about 45% smaller than 1164 W/cm of BWR/5, it is possible to acquire MCPR of 1.3 or more, which leads to the same heat removal performance as that of BWR/5. From the above reasons, it is possible to keep the same thermal margin as that of BWR/5, in the boiling water reactor having the same power of 1100 MWe, and the same core outer radius and the same active fuel length as those of BWR/5. The word BWR/5 is one type of a boiling water reactor. Accordingly, in this embodiment, by increasing the continuous operation term of the plant, it is possible to realize a boiling water reactor wherein the utility rate of the plant increases to contribute to reduction of the electricity generation cost, keeping the same thermal margin and safety as those of BWR/5. Further, it is possible to improve the reactor shutdown margin, and the transient and stability performance by reducing the reactivity increase at the cold condition and the absolute value of the void coefficient. Although the contour of the water rod, in the fuel assembly is a cross-shape, the contour of the water rod is not limited. Shapes of lozenge or square shown in FIG. 38, FIG. 39, or FIG. 40 are acceptable. In 11 rows of fuel rods in embodiments 17-19, 21, 23 and 24, the contour of the water rod is not limited to lozenge, but the contours of water rods shown in FIG. 41, FIG. 42, or FIG. 43 are acceptable. According to the embodiments of the present invention, one or more of the following advantages are brought about. (1) Contribution to great reduction of the height of the core, thereby to reduce the construction cost of the reactor building (2) Contribution to increase in the production of plutonium to lengthen the life of the fuel assembly, thereby to reduce the fuel cycle cost (3) Contribution to expansion of continuous operation time period, thereby to improve the plant utility rate and to reduce the generation cost of electricity (4) Contribution to building of the core by improvement of reactor shutdown performance, and transient and stability performance that are subjects at high burnup, by lowering the reactivity increase at the cold condition and the absolute value of void coefficient
description
This paragraph is reserved. The present disclosure relates generally to radioisotope power sources for use in various vehicles. Example vehicles include satellites, undersea vehicles, and the like. The design objectives of various vehicles make long-lasting power supplies a desirable option. For example, satellites launched to outer space or deep-sea submersibles may be unable to be reached frequently for maintenance, if ever. As such, power supplies must be functional for as long as a maintenance interval or the expected serviceable life of the vehicle. The inaccessibility of these vehicles allows for use of radioisotope power supplies while reducing risks associated with radiation exposure. However, radioisotopes have half-lives, which reduce an effective thermal output for a given quantity of a radioisotope by a factor of fifty-percent each half-life. Further, many thermal-to-electric power generation systems lose efficiency when exposed to temperatures outside of ideal operating temperature bands. As such, it is desirable to have radioisotope power sources able to operate for extended durations with a near constant electrical power output. In accordance with one aspect of the present disclosure the power source includes a dielectric liquid held within a vessel, a radioisotope material dissolved as an ionic salt within the dielectric liquid thereby forming an ionic salt solution, and a thermal-to-electric power conversion system configured to receive thermal heat generated from the decay of the radioisotope material and to generate electrical power. In accordance with another aspect of the present disclosure, a power source for a vehicle is provided for. The power source includes a dielectric liquid held within a vessel having a first end and a second end opposite the first end. The power source further includes a radioisotope material, dissolved as an ionic salt within the dielectric liquid, thereby forming an ionic salt solution. A first electrode is disposed on the first end of the vessel and a second electrode is disposed on the second end of the vessel. An electric power supply is in communication with the first and second electrodes. The electric power supply is capable of providing a positive electrical charge to the first electrode and a negative electrical charge to the second electrode. A thermal-to-electric power conversion system is disposed on one of the first end or the second end based at least in part on an ionic charge of the radioisotope material. The thermal-to-electric power conversion system receives thermal heat generated from the decay of the radioisotope material to generate electrical power for an electrical load of the vehicle. In accordance with yet another aspect of the present disclosure, a method of operating a power source is provided for. The method includes dissolving a radioisotope material as an ionic salt in a dielectric liquid contained within a vessel to form an ionic salt solution, applying a positive electrical charge to a first end of the vessel and applying a negative electrical charge to a second end of the vessel opposite the first end of the vessel, and generating electrical power from a thermal-to-electric power conversion system disposed on either one of the first end of the vessel and the second end of the vessel based at least in part on an ionic charge of the radioisotope material. The features, functions, and advantages disclosed herein can be achieved independently in various embodiments or may be combined in yet other embodiments, the details of which may be better appreciated with reference to the following description and drawings. It should be understood that the drawings are not necessarily to scale and that the disclosed embodiments are illustrated diagrammatically, schematically, and in some cases in partial views. In certain instances, details which are not necessary for an understanding of the disclosed methods and apparatuses or which render other details difficult to perceive may have been omitted. It should be further understood that the following detailed description is merely exemplary and not intended to be limiting in its application or uses. As such, although the present disclosure is for purposes of explanatory convenience only depicted and described in illustrative embodiments, the disclosure may be implemented in numerous other embodiments, and within various systems and environments not shown or described herein. The following detailed description is intended to provide both methods and devices for carrying out the disclosure. The actual scope of the disclosure is as defined by the appended claims. Referring to FIG. 1, FIG. 1 is a schematic overview of a power source, in accordance with the present disclosure. In particular, the schematic overview 10 depicts a power source 100 that includes a dielectric liquid 102 held within a vessel 103. The vessel 103 may have a variety of shapes. As depicted in the schematic overview 10, the vessel 103 maybe cylindrical or a rectangular prism, however other shapes are envisioned based on form-factors available on a host vehicle. The power source 100 includes, or alternatively is configured to be loaded with, a radioisotope material 104, dissolved as an ionic salt (104-1, 104-2) within the dielectric liquid 102, thereby forming an ionic salt solution. As depicted in FIG. 1, the radioisotope material 104 separates into cations 104-1 and anions 104-2 when dissolved into the dielectric liquid 102. The cations 104-1 and anions 104-2 are generally evenly distributed throughout the vessel 103. The dielectric liquid 102 is selected based on various design considerations. Example selections of a dielectric liquid 102 may be water, glycerol, formamide, and propylene glycol. The design considerations for selection of the dielectric liquid 102 include the ability to dissolve the radioisotope material 104 to form the ionic salt solution, the boiling temperature at the operating pressure within the vessel 103, corrosion considerations with the selected material of the vessel 103, and the like. In various embodiments, the contents of the vessel 103 (e.g., the dielectric liquid 102 and the ionic salt solution) may be pressurized to increase the boiling point of the dielectric liquid 102 above that of the operational temperature of a thermal-to-electric power conversion system 106. In one embodiment, the radioisotope material 104 is a salt made with Strontium-90. Thus, when dissolved, the cation 104-1 is the Strontium-90 ion with a +2 electrical charge. The anion 104-2 may be selected to be any appropriate ion suitable to form an ionic salt with Strontium-90. Strontium-90 is a radioactive isotope of Strontium produced by nuclear fission and has a half-life of approximately 28.8 years. It undergoes β− decay into Yttrium-90, with a decay energy of 0.546 MeV. In an embodiment with the radioisotope material 104 being Strontium-90 having a +2 ionic charge, the thermal-to-electric power conversion system 106 is disposed on the second end 110 with the second electrode 114 configured to receive the negative electrical charge 124. In one non-limiting example, the magnitude of the positive and negative electrical charges may be approximately 100 VDC. Other selections of radioisotope material 104 may be used. For example, the radioisotope material 104 may be selected to be either Cesium-137, Cobalt-60, or any other suitable radioisotope material. Aspects to consider when selecting the radioisotope material 104 include the half-life, the type of radioactive decay, the electrical charge of the ions, the ability to dissolve into the selected dielectric liquid 102, the availability of the radioisotope material 104, and the like. It is envisioned that the radioisotope material 104 may be added to the vessel 103 after the power source 100 is constructed, but before the power source 100 is placed into operation. This may provide for easier storage options of the power source 100 when it does not contain a radioisotope (e.g., no radiation controls may be required for a power source 100 not yet loaded with a radioisotope) as compared to a power source 100 that does include the radioisotope material 104. Further, this may extend the operational life of the power source 100 by avoiding decay of the radioisotope material 104 while the power source 100 is placed in storage after it is manufactured but before it is placed into operation. Thus, it is expected that a power source 100 may be manufactured without loading either one or both of the dielectric liquid 102 the radioisotope material 104 until just prior to being placed into operation. The power source 100 may also include a thermal-to-electric power conversion system 106 configured to receive thermal heat 512 generated from the decay of the radioisotope material 104 in order to generate electrical power 128. One example thermal-to-electric power conversion system 106 that may be used in the power source 100 is a Peltier device. The thermal-to-electric power conversion system 106 may operate with improved efficiency when exposed to temperatures in a first temperature band. Temperatures outside of this first temperature band may result in reduced efficiency in converting the thermal energy produced by the decay of the radioisotope into electrical power. As depicted throughout the Figures, the power source 100 includes a first end 108, generally depicted on the left side of the Figures, and a second end 110, that is opposite the first end 108, and is generally depicted on the right side of the Figures. A first electrode 112 is disposed on the first end 108 of the vessel 103, and a second electrode 114 is disposed on the second end 110 of the vessel 103. An electric power supply 116 is in communication with the first electrode 112 and the second electrode 114. The electric power supply 116 is capable of providing a positive electrical charge 122 to the first electrode 112 and a negative electrical charge 124 to the second electrode 114. The electrodes 112, 114 are able to apply an electric charge to the opposing ends 108, 110, of the vessel in order to attract opposite-charged ions dissolved within the dielectric liquid 102 towards the respective electrodes 112, 114. The thermal-to-electric power conversion system 106 is disposed on either one of the first end 108 of the vessel 103 and the second end 108 of the vessel 103 based at least in part on an ionic charge of the radioisotope material 104. As shown in FIG. 1, the thermal-to-electric power conversion system 106 is disposed on the second end 110 of the vessel 103. In embodiments with a positively charged radioisotope 104, a negative electrical charge is applied via the second electrode 114 to attract the positively charged radioisotope 104 to the second end 110 of the vessel 103. By way of convention, this application considers positively charged radioisotopes being attracted to a negative electrical charge 124 being applied to the second electrode 114 at the second end 110 of the vessel 103, although any of these parameters may be reversed. For example, a negatively charged radioisotope 104 being attracted to a positive electrical charge being applied to the second electrode 114, or the like. The electric power supply 116 may be controlled by a power-supply controller 118 so that the electric power supply 116 is configured to adjust a magnitude of both the positive electrical charge 122 and the negative electrical charge 124 applied via the first and second electrodes 112, 114, respectively. The electric power supply 116 is controlled to maintain an operational temperature of the ionic salt solution at either one of the first end 1080 of the vessel 103 or the second end 110 of the vessel 103 that includes the thermal-to-electric power conversion system 106. The power-supply controller 118 may be configured to maintain the operational temperature within the first temperature band to improve efficiency of the thermal-to-electric power conversion system. In some embodiments, the first temperature band may be approximately 400° C.+/−50° C., although other temperature bands may be used as suitable for the design constraints of the power source 100 and the associated vehicle and electrical loads. In some embodiments, the electric power supply 116 includes a switch 130 that is configured to selectively interrupt or permit the positive electrical charge 122 and the negative electrical charge 124, respectively, to the first and second electrodes 112, 114. The switch 130 may interrupt the electrical charge from being applied to the electrodes to further extend an operational life of the power source 100. This may reduce the formation of corrosion on various components (e.g., the electrodes), that may occur at higher temperatures and electrical potentials in the presence of the applied electrical charges. The positive electrical charge 122 and the negative electrical charge 124 may be applied to the first end 108 and the second end 110 of the vessel 103, respectively, responsive to receiving an operational command signal from the associated vehicle which the power source 100 is installed within and configured to provide electrical power 128. In some embodiments, the power source 100 further includes a temperature monitoring device 120 that is configured to monitor the temperature of the ionic solution in the vicinity of the thermal-to-electric power conversion system 106. The temperature monitoring device 120 provides a temperature reading 126 to the power-supply controller 118. The temperature reading 126 may be used by the power-supply controller 118 to control the operational temperature of the ionic solution by way of altering the magnitude of the electrical charges 122, 124 applied to the ends 108, 110 of the vessel 103. FIG. 2 is a schematic overview of a vehicle having the power source of FIG. 1, in accordance with the present disclosure. In particular, FIG. 2 depicts the power source 100 for a vehicle 200. The vehicle 200 is depicted as a satellite, although other vehicles may be used. The vehicle 200 includes the power source 100 having a means 206 to control the power source 100, a vehicle controller 202 operably connected to the power source 100 via the link 204. The vehicle controller 202 provides for electrical flow paths for the electrical power produced by the power source 100 to be provided to the electrical loads 208. The power source 100 in the vehicle 200 may be the power source 100 described in conjunction with FIG. 1. Here, the power source 100 includes the dielectric liquid 102, held within a vessel 103 having a first end 108 and a second end 110 opposite the first end 108. A radioisotope material 104 is dissolved as an ionic salt forming the ions 104-1, 104-2 within the dielectric liquid 102, thereby forming an ionic salt solution. A first electrode 112 is disposed on the first end 108 of the vessel 103 and a second electrode 114 is disposed on the second end 110 of the vessel 103. An electric power supply 116 is in communication with the first and second electrodes 112, 114. The electric power supply 116 is capable of providing a positive electrical charge 122 to the first electrode 112 and a negative electrical charge 124 to the second electrode 114. A thermal-to-electric power conversion system 106 is disposed on one of the first end 108 or the second end 110 based at least in part on an ionic charge of the radioisotope material 104. The thermal-to-electric power conversion system 106 is configured to receive thermal heat 512 generated from the decay of the radioisotope material 104 and to generate electrical power 128 for an electrical load 208 of the vehicle 200. In operation, the power source 100 provides electrical power 128 to the electrical loads 208 as determined by the vehicle controller 202. The power source 100 may include a means 206 to control an operational temperature of the ionic salt solution adjacent to the thermal-to-electric power conversion system 106. Although discussed more fully below, the means to control the operational temperature may be a power-supply controller 118 configured to monitor the operational temperature of the ionic salt solution and to control the magnitudes of the positive and negative electrical charges, heat-driven diffusion of the radioisotope material 104, ionization by radioactive emissions that controls a conductivity of the dielectric liquid, monitoring radiation emitted from the power source 100, monitoring the electrical power output of the thermal-to-electric power conversion system 106, and the like. FIG. 3 depicts a flowchart illustrating an exemplary method of operating a power source, in accordance with the present disclosure. In particular, FIG. 3 depicts the method 300 that includes dissolving the radioisotope material in a dielectric liquid to form an ionic salt in a vessel at block 302, applying electrical charges to the ends of the vessel at block 304, generating electric power from a thermal-to-electric power conversion system at block 306 and monitoring an operational temperature of the ionic solution at block 308. If at block 310 the temperature is too high, the electrical charge is lowered at block 312, and the monitoring continues at block 308. However, if at block 314 the temperature is too low, the electrical charge is raised at block 316, and the monitoring continues at block 308. At block 318, the pressure of the ionic salt solution is maintained. The power source 100 may be used in a variety of applications. For example, it may provide electrical power to satellites or deep-sea submersibles, or other similar vehicles. The teachings of this disclosure allow for operational temperatures of the power source 100 to be maintained within a constant temperature band for several half-lives of the radioisotope as compared to conventional radioisotope power sources. Thus, the power source 100 may be able to provide a constant electrical power output on the order of 1 kW (although other power outputs are certainly envisioned), over a long period of time. The power source 100 may also experience a reduction in weight as compared to conventional radioisotope power sources by requiring less overall radioactive material 104 to maintain a constant thermal output. In some embodiments, the radioisotope material 104 is selected to have a half-life of at least two years. It is expected that a power source disclosed herein may provide for a constant output of electrical power for at least three half-lives of the radioisotope material 104. Thus, for a radioisotope having a 2 year half-life, it is expected that the power source 100 will have an operational lifespan of at least 6 years. By way of example, the method 300 of FIG. 3 may be used with the power source 100 of FIG. 1, as explained more fully below with the discussion of FIGS. 4-7. FIGS. 4-6 depict the power source 100 at various states, and FIG. 7 depicts the power source 700 having a vessel 103 with a frustum-shape. Returning to FIG. 4, it depicts the power source 100 at an initial un-energized state, in accordance with the present disclosure. In particular, FIG. 4 depicts the schematic overview 40 of the power source 100 at an initial un-energized state. In the following Figures, components with similar reference numbers serve the same functions as described throughout. From block 302 of the method 300, the radioisotope material 104 is dissolved as an ionic salt in the dielectric liquid 102 that is contained within the vessel 103. The radioisotope material 104 forms an ionic salt solution, as represented by the cations 104-1 and the anions 104-2. In the initial un-energized state, such as when no electrical charge is being provided to the electrodes 112, 114, for example by way of the switch 130 prohibiting the electrical charges 122, 124 from being applied to the electrodes 112, 114, the cations 104-1 and anions 104-2 of the ionic salt solution disseminate throughout the dielectric liquid 102 uniformly. In the embodiments discussed in FIGS. 4-6, the cation is the radioisotope material 104 of Strontium-90. The cation 104-1 undergoes radioactive decay and produces thermal heat throughout the complete volume of the vessel 103. Because the Strontium-90 ions are distributed throughout the vessel 103, the temperature at the second end 110 is lower than if the Strontium-90 ions were concentrated on the second end 110. Thus, the thermal-to-electric power conversion system 106 may be able to convert some of the residual heat from the vessel 103 into electrical power 128, but it is envisioned that the temperature of the ionic solution in the vicinity of the second end 110 would be well below the first operational temperature band and provide a low amount of electrical power 128. FIG. 5 depicts the power source at an initial energized state, in accordance with the present disclosure. In particular, FIG. 5 depicts the schematic overview 50 of the power source 100 at an initial energized state. At block 304 of the method 300, electrical charges are applied to the ends of the vessel 103. Here, a positive electrical charge 122 is provided to the first electrode 112 at the first end 108. A negative electrical charge 124 is provided to the second electrode 114 at the second end 110. Applying these electrical charges attracts ions of the opposite electrical charge to the respective ends of the vessel 103. Here, the anions 104-2 are attracted, and concentrated, in the vicinity of the first end 108, and the cations 104-1 are attracted, and concentrated, in the vicinity of the second end 110 having the thermal-to-electric power conversion system 106. As the cations ions 104-1 (e.g., the radioisotope Strontium-90) are attracted to the second end 110 by the negative electrical charge 124 applied via the second electrode 114, the temperature of the second end 110 of the vessel 103 will increase due to the radioactive decay of the radioisotope ions. This increased temperature causes the ions in solution to move via thermal, or heat-driven, diffusion. This motion of the ions may be referred to as Brownian motion that results from the continuous bombardment of molecules of the surrounding medium. The heat-driven diffusion 510 serves as one means 206 to control the operational temperature of the ionic salt solution adjacent to the thermal-to-electric power conversion system. This heat-driven diffusion 510 causes the radioisotope cations 104-1 to migrate away from the hot portions of the vessel (e.g., the second end 110 when the electrical charge is applied) towards the cold portion of the vessel (e.g., towards the first end 108 when the electrical charge is applied). This serves as an intrinsic feedback mechanism to reduce the operational temperature of the ionic solution in the vicinity of the thermal-to-electric power conversion system 106. As the radioisotope material 104 decays, it provides thermal heat 512. In the schematic overview 50, the thermal heat 512 is produced by the cations 104-1 that are concentrated toward the second end 110. This concentration of the radioisotope material 104 accumulates the thermal heat 512 to increase the temperature of the ionic solution in the vicinity of the thermal-to-electric power conversion system 106. This may raise the temperature of the ionic salt solution to be within the first temperature band to provide for efficient conversion of thermal energy to electrical power 128. Further, in the embodiments discussed herein, the radioisotope material 104 is selected to be Strontium-90 having a +2 electrical charge. Thus, for a given applied electrical current to the electrodes, it is expected that the Strontium-90 ions would have a greater concentration in the vicinity of the associated electrode as compared to a radioisotope ion having a +1 electrical charge. FIG. 6 depicts the power source in an energized state after a first half-life has elapsed, in accordance with the present disclosure. In particular, FIG. 6 depicts the schematic overview 60 of the energized power source 100 after a first half-life has elapsed. Although it is anticipated that the number of ions in solution would greatly exceed that which is depicted in the accompanying Figures, it can be seen that between the initially energized state of FIG. 5 to the state of the power source 100 after one half-life has elapsed in FIG. 6, the number of cations 104-1 has reduced in half due to natural radioactive decay. The radioactive emissions 602 are now present in the ionic salt solution. These radioactive emissions 602 may further serve as a means 206 to control the operational temperature of the ionic salt solution. These radioactive emissions 602 control a conductivity of the dielectric liquid 102. The radioactive emissions 602 may knock loose electrons from the dielectric liquid 102. This increases the non-ionic electrical conductivity of the dielectric liquid 102 near the second end 110. However, at the first end 108 with fewer radioisotope cations 104-1, the conductivity is not as altered as the second end 110. Thus, for a fixed electrical charge applied to the electrodes 112, 114, the electric field near the second end 110 decreases compared to the electric field of the first end 108. This reduces the force driving the radioactive ions 104-1 towards the second end 110, so more of them diffuse towards the first end 108. The combination of the heat-driven diffusion 510 and the ionization by radioactive emissions 602 allows for a nearly steady temperature at the second end 110 having the thermal-to-electric power conversion system 106, despite the decay of most of the radioisotope material 104, over a span of several half-lives. This results in radioisotope power sources that require less quantity, and weight, of radioisotope material 104 to produce the same desired electrical power output over the life of the power source 100. This may result in less regulatory controls of the power source. Further, the lighter weight of the power source 100 may be a very beneficial design aspect in satellite use cases. FIG. 7 depicts a power source having a frustum-shape, in accordance with the present disclosure. In particular, FIG. 7 depicts the schematic overview 70 of the power source 700, which may be similar to the power source 100 discussed herein. As compared to the power source 100, the vessel 103 of the power source 700 has a frustum-shape. Thus, a cross-sectional area at 702 in the vicinity of the first end 108 is greater than a cross-sectional area 704 in the vicinity of the second end 110. The reduced cross-sectional area at the second end 110 having the thermal-to-electric power conversion system 106 may allow for a greater concentration of cations 104-1 as compared to a vessel 103 that has a uniform cross section at each end of the vessel 103. Also, as compared to the power source 100, the power source 700 includes the thermal-to-electric power conversion system 106 that is disposed along an outer circumference 706 of the vessel 103 rather than on the far-right end. Disposing the thermal-to-electric power conversion system 106 along the outer circumference 706 may be realized in other shape vessels 103, such as the vessel 103 of the power source 100 of FIG. 1. It is further envisioned that the thermal-to-electric power conversion system 106 may be configured to enclose both an outer circumferential surface 706 and a far end in order to increase the conversion of the thermal energy into electrical power. Additionally, the power source 100 may further include a radiation detector 708 disposed in proximity to the second end 110. The radiation detector 708 may be configured to detect the radiation produced by the cations 104-1, and provide the radiation reading 710 to the power-supply controller 118 for use in control of the operational temperature of the ionic solution. As an alternative, the power-supply controller 118 may monitor the electrical power 128 being output (e.g., the power-supply controller 118 monitoring the voltage and/or current) from the thermal-to-electric power conversion system 106 for use in controlling the operational temperature of the ionic solution. As such, blocks 308, 310, and 314 of the method 300 may be changed as appropriate from monitoring the operational temperature of the ionic solution to monitoring either the electrical output or the radiation emitted in order to decrease the electrical charge (e.g., block 312) or increase the electrical charge (e.g., block 316) based on the radiation or electrical output being too high or too low, respectively. It may be realized that further reduction in weight may be realized by eliminating additional and extraneous sensors from the power source 100. As such, a power source 100 may be realized with only one of a radiation detector 708, a temperature monitoring device 120, or an electrical output monitor (e.g., as monitored by the power-supply controller 118). While the foregoing detailed description has been given and provided with respect to certain specific embodiments, it is to be understood that the scope of the disclosure should not be limited to such embodiments, but that the same are provided simply for enablement and best mode purposes. The breadth and spirit of the present disclosure is broader than the embodiments specifically disclosed and encompassed within the claims appended hereto. Moreover, while some features are described in conjunction with certain specific embodiments, these features are not limited to use with only the embodiment with which they are described, but instead may be used together with or separate from, other features disclosed in conjunction with alternate embodiments.
description
The embodiment of the present invention will be described below with reference to the drawings. FIG. 1 is a block diagram of the reactor power output measurement device according to an embodiment of the present invention. In FIG. 1, a reactor core 2 of a reactor 1 is installed with a plurality of LPRM detector assemblies 3 in a number corresponding to the reactor power output. For example, in a nuclear power plant of 1100 MWe class, forty-three LPRM detector assemblies 3 are provided. One LPRM detector assembly 3 contains LPRM detectors 5a, 5b, 5c, and 5d and LPRM detectors 5e, 5f, 5g, and 5h, respectively. The LPRM detector assembly 3 is provided with guide tubes 4a, 4b for inserting the TIP detector 18a. One TIP detector drive system 17a for driving the TIP detector 18a is installed for every ten LPRM detector assemblies. Therefore, in a nuclear power plant of 1100 MWe class, five TIP detector drive systems 17a, 17b, 17c, 17d and 17e are installed, as is shown in FIG. 2. A neutron flux measured by the TIP detector 18a is calculated at a TIP integrated controller 8, and is input to a process computer 7. Also, the neutron flux measured by the LPRM detector 5a of the LPRM detector assembly 3 is calculated at a LPRM 6, and is input to a process computer 7. The output of the TIP integrated controller 8 is input to a TIP drive controller 13a. The output of the TIP drive controller 13a operates the TIP detector drive system 17a, and drives the TIP detector 18a. FIG. 2 shows the structure of the overall system of the present embodiment. The TIP detector drive system 17a is connected to a drive control device 14a via a signal cable and a drive unit input/output device 16a, and is controlled by the control signal of the drive control device 14a. A drive unit operation/monitor device 15a for operating/monitoring the drive control device 14a, such as a flat display and the like, is connected to the drive control device 14a. The drive control device 14a, the drive unit operation/monitor device 15a, and the drive unit input/output device 16a constitute the TIP drive controller 13a. The same structure applies to other TIP drive controllers 13b through 13e. The TIP drive controllers 13a through 13e are connected to an integrated control device 9 via a transmission path 12 such as an optical transmission and the like. The integrated control device 9 transmits the control data to all of the TIP drive control devices 13a through 13e, and controls and monitors all of the detector drive system. An integrated unit operation/monitor device 10, such as a flat display and the like, is connected to the integrated control device 9, and operates/monitors the integrated control device 9. The detector signal obtained from the TIP detector 18a is input to the integrated control device 9 via a signal cable and an integrated unit input/output device 11. The signals obtained from the TIP detectors 18b through 18e are also input to the integrated control device 9 via the integrated unit input/output device 11. The integrated control device 9, the integrated unit operation/monitor device 10, the integrated unit input/output device 11 constitute a TIP integrated controller 8. The backup operation of the present embodiment will be described below with reference to FIG. 3. In FIG. 3, the drive control device 14a is equipped with an operation/monitor device switching circuit 23 and a logic circuit 24, and the TIP detector drive system 17a is equipped with an interlock circuit 19 including an operation-switching switch 21 and an operation-authorizing switch 22, and a detector drive system driver 20. When conducting inserting/extracting operation of the TIP detector with the drive unit operation/monitor device 15a of the TIP controller 13a, the inserting/extracting command output from the drive unit operation/monitor device 15a is input to the drive control device 14a. Under the normal condition, the operation/monitor device switching circuit 23 is input with the signal from the drive unit operation/monitor device 15a side. When the AND condition is established by the logic circuit 24 from the inserting/extracting command and the operation-authorizing switch 22 provided to the interlock circuit 19, the inserting/extracting command is input to the TIP detector drive system 17a through the drive unit input/output device 16a. The inserting/extracting command input to the TIP detector drive system 17a is input to the detector drive system driver 20 via the interlock inside the interlock circuit 19, and carries out inserting/extracting operation of the TIP detector 18a. When only the drive unit operation/monitor system 15a of the TIP drive controller 13a suffers from failure, the driving of the TIP is continued with the process mentioned hereinbelow, to backup the same. It is detected by the self-diagnosis function whether the device has failed or not. Also, the integrated unit operation/monitor device 10 displays the same screen as the drive unit operation/monitor device 15a under the normal condition. When the failure of the drive unit operation/monitor device 15a is detected with the self-diagnosis function, the operator operates the operation-switching switch 21 provided to the interlock circuit 19. With such operation, the operation/monitor device switching circuit 23 switches from the drive unit operation/monitor device 15a side to the TIP integrated controller 8 side. At the same time, the inserting/extracting operation command is output from the integrated unit operation/monitor device 10. The inserting/extracting operation command output from the integrated unit operation/monitor device 10 is input to the drive control device 14a via the integrated control device 9 and the transmission path 12, and is input to the TIP detector drive system 17a via the drive unit input/output device 16a when the AND condition is established by the logic circuit with the operation-authorizing switch 22, as is in the case where the command is output from the drive unit operation/monitor device 15a under the normal condition. Next, the command is input to the detector drive system driver 20 via the interlock inside the interlock circuit 19, and the detector drive system driver 20 carries out the extracting operation of the TIP detector 18a. As is mentioned above, when the drive unit operation/monitor device 15a suffers from failure, the present embodiment continues the TIP drive with the backup by the TIP integrated controller 8, as well as prevents operational error by outputting the TIP operation command output from the TIP integrated controller 8 under the condition of the operation-authorization. Next, the memorizing/storing/recording of the neutron flux data measured by the present embodiment will be described with reference to FIG. 4. In FIG. 4, the integrated control device 9 is equipped with a processor 31, a memory 32, an operation/monitor device arithmetic unit 33, and a communication arithmetic unit 34, and is also provided with a printer 36 connected to the integrated unit operation/monitor device 10, a data processing terminal 35 such as a personal computer and the like connected to the communication arithmetic unit 34 via a communication cable, and a printer 36 connected to the data processing terminal 35. The neutron flux data detected by the TIP detectors 18a through 18e is input to the integrated control device 9 via the integrated unit input/output device 11 of the TIP integrated controller 8. The input neutron flux data is calculated at the processor 31, converted to a screen display signal at the operation/monitor device arithmetic unit 33, and is displayed at the screen of the integrated unit operation/monitor device 10. At this point, the data may be recorded by the printer 36 with the printout operation by the operator. Also, the neutron flux data calculated by the processor 31 is input to the memory 32 and is memorized therein. When a download commencement command is output from the integrated unit operation/monitor device 10, the download commencement command is input to the processor 31 via the operation/monitor device arithmetic unit 33. The neutron flux data memorized in the memory 32 is read out from the memory 32 by the processor 31, and is converted to a communication data by the communication arithmetic unit 34. The neutron flux data converted to the communication data is input to the data processing terminal 35 via the communication cable. At the data processing terminal 35, the neutron flux data is memorized/stored as an application data operating on the data processing terminal 35. The stored neutron flux data could be indicated on the data processing terminal 35 as is in the case with the integrated unit operation/monitor device 10. Also, the neutron flux data stored in the data processing terminal 35 could be recorded by the printer 36 with the printout operation by the operator. FIG. 5 indicates the display screen of the integrated unit operation/monitor device according to the present embodiment. At the integrated unit operation/monitor device 10, the neutron flux distribution in the axial direction inside the nuclear reactor is displayed, with the positional data of the axis within the nuclear reactor taken as the x-axis, and the measured neutron flux data taken as they-axis. In the figure, CH. 18a represents the TIP detector 18a, No. 10 represents the LPRM No. 10, and 32-33 represents the position of the LPRM No. 10 inside the nuclear reactor. By operating the printout of the displayed screen, the neutron flux distribution in the axial direction inside the nuclear reactor is recorded by the printer 36. Also, by operating the download commencement, the download commencement command is input to the processor 31 via the operation/monitor device arithmetic unit 33. The measured neutron flux data is read out from the memory 32 by the processor 31, is converted to the communication data by the communication arithmetic unit 34, and is input to the data processing terminal 35 via the communication cable. FIG. 6 indicates the captured screen of the data processing terminal according to the present embodiment. At the data processing terminal 35, the neutron flux distribution in the axial direction inside the nuclear reactor is displayed as is in the case with the integrated unit operation/monitor device 10, with the positional data of the axis within the nuclear reactor taken as the x-axis, and the measured neutron flux data taken as the y-axis. The measured neutron flux data is transmitted from the integrated controller 8 to the drive controller 13a via the transmission path 12. As is explained above, according to the present invention, the operating/monitoring of the TIP with the operation/monitor device of the TIP integrated control device in a detector drive system of the traveling neutron flux detector could be continued, even when the operation/monitor device of the TIP drive controller suffers from failure. Therefore, the elongation of the plant start-up time could be prevented. Also, with the processing by the TIP integrated controller according to the present invention, the measured neutron flux data could be memorized/stored/recorded efficiently, so that the accuracy of the reactor core performance calculation and the accuracy of the calibration of the LPRM detector could be enhanced.
047553286
claims
1. A process for reducing the uranium content of a uraniferous solution to render the solution compatible with the natural environment into which the solution may be discharged, said solution having a pH of about 2.5 to about 6.5 and containing from about 1 to about 100 mg/l uranium, said process comprising adding to said solution an aluminum salt soluble in said solution and which, after hydrolysis in the solution, results in the formation of Al(OH).sub.3 and an increase of pH of said solution, said aluminum salt being added in an amount sufficient to raise the pH of the solution to about 5.5 to 8.5 and sufficient to effect precipitation, coagulation and adsorption of at least about 90% of the uranium originally present in the solution. 2. A process according to claim 1 wherein the pH of said solution, prior to adding said aluminum salt, is about 2.5 to 5.5. 3. A process according to claim 1, wherein said aluminum salt is selected from the group consisting of ammonium aluminate, and aluminates of alkali or alkaline-earth metals. 4. A process according to claim 3, wherein said aluminum salt comprises sodium aluminate. 5. A process according to claim 1, wherein the aluminum salt is added in a proportion of about 10 to about 250 mg. per liter of solution to be treated. 6. A process according to claim 1 wherein the solution has an initial pH of less than 5 and wherein said process further comprises, prior to adding said aluminum salt, adding a base to said solution to raise its pH to about 5. 7. A process according to claim 6 wherein the aluminum salt is added in an amount sufficient to raise the pH of said solution to about 6 to 7.5. 8. A process according to claim 1 wherein said uraniferous solution has a pH of about 6.5 to about 8 and wherein said process further comprises, prior to adding said aluminum salt, adding acid to said solution in an amount sufficient to acidify the solution to a pH of about 2.5 to about 6.5. 9. A process according to claim 8 wherein said acid is added in an amount sufficient to acidify the solution to a pH of about 2.5 to about 5.5. 10. A process for reducing the uranium content of an aqueous uraniferous solution having a pH of about 5 or less and containing about 1 to 100 mg/l uranium; said process comprising the step of: adding to said solution an aluminate selected from the group consisting of ammonium aluminate, alkali metal aluminates and alkaline earth metal aluminates to form Al(OH).sub.3 in said solution and increase the pH of said solution to about 6 to 7.5 and thereby coagulate and precipitate at least about 90% of the uranium originally present in said solution. 11. A process according to claim 10 wherein, prior to adding said aluminate to said solution, said solution has a pH of about 6.5 to 8 and an acid is added to said solution in an amount sufficient to lower its pH to about 5 or less. 12. A process according to claim 10 wherein said aluminate is sodium aluminate.
050158635
claims
1. A radiation-shielding material comprising composite particles obtained by coating various kinds of minute particles of about 20.mu.m to about 100 .mu.m in diameter and having radiation-shielding property with the various kinds of metals of high thermal conductivity. 2. A shaped radiation-shielding material comprising a radiation-shielding material according to claim 1, which has been formed into composite particles by hot-press forming or other forming processes. 3. A shaped radiation-shielding material comprising a radiation-shielding material according to claim 1, wherein a radiation shield formed is comprised of not only the same kind of composite particles but also the different kinds of ones. 4. A shaped radiation-shielding material comprising a radiation-shielding material according to claim 1, wherein said composite particles are separately formed into various shapes of a radiation shield and appropriately combined in accordance with service conditions. 5. A radiation-shielding material according to claim 1, having a packing density of the composite particles in the range of from 1 to 3 g/cm.sup.3. 6. A radiation-shielding material according to claim 1, wherein a core of said composite particles are made of at least one material selected from the group consisting of polyethylene, polystyrene, polypropylene, bakelite, graphite, beryllium, oxides of beryllium, boron, compounds of boron, aluminum, oxides of aluminum, iron, ferroalloys, lead, leaded alloys, gadolinium, oxides of gadolinium, cadmium, cadmium alloys, indium, indium alloys, hafnium, hafnium alloys, and delected uranium, and the coating of said composite particles is made of at least one material selected from the group consisting of aluminum, aluminum alloys, beryllium, beryllium alloys, copper, copper alloys, iron ferroalloys, silver, silver alloys, magnesium, magnesium alloys, molybdenum, molybdenum alloys, zinc, zinc alloys, tin, tin alloys, tungsten, tungsten alloys, iridium, iridium alloys, and gold.
abstract
A power source converts xcex1-particle energy to electricity for use in electrical systems. Liquid gallium or other liquid medium is subjected to xcex1-particle emissions. Electrons are freed by collision from neutral gallium atoms to provide gallium ions. The electrons migrate to a cathode while the gallium ions migrate to an anode. A current and/or voltage difference then arises between the cathode and anode because of the work function difference of the cathode and anode. Gallium atoms are regenerated by the receiving of electrons from the anode enabling the generation of additional electrons from additional xcex1-particle collisions.
abstract
A method of fine surface finishing a cladding tube for a nuclear fuel element comprising a cladding tube containing fuel pellets, comprises heating the tube to thermal creep temperatures (e.g., 1750-2000 degrees Celsius) for sapphire and forming inner and outer surfaces of the tube to reduce ridge heights while limiting changes in the crystalline structure of the bulk of the tube. Alternatively, the tube may be placed in a mould and heating the tube-mould assembly to the range of the creep temperature of the sapphire at which differential thermal expansion of the mould and tube cause pressure at an interface between the sapphire and the mould. Maintaining the assembly at an upper end of the creep temperature range allows creep to progress and relieve stresses resulting from the pressure; and cooling the assembly to allow the sapphire tube to part from the mould and be withdrawn.
abstract
The present disclosure provides systems and methods for fast molten salt reactor fuel-salt preparation. In one implementation, the method may comprise providing fuel assemblies having fuel pellets, removing the fuel pellets and spent fuel constituents from the fuel assemblies, granulating the removed fuel pellets or process feed to a chlorination process, processing the granular spent fuel salt into chloride salt by ultimate reduction and chlorination of the uranium and associated fuel constituents chloride salt solution, enriching the granular spent fuel salt, chlorinating the enriched granular spent fuel salt to yield molten chloride salt fuel, analyzing, adjusting, and certifying the molten chloride salt fuel for end use in a molten salt reactor, pumping the molten chloride salt fuel and cooling the molten chloride salt fuel, and milling the solidified molten chloride salt fuel to predetermined specifications.
claims
1. A collimation system for controlling x-ray exposure during a dynamic pitch helical scan using a translatable table comprising:a collimator positioned between an x-ray source and an object to be scanned configured to shutter an x-ray fan beam generated by the x-ray source to at least one of translate the x-ray fan beam along a z-axis of the scan and vary the width of the x-ray beam along the z-axis; anda collimator controller configured to dynamically position the collimator using at least one of predetermined trajectory of the translatable table and an actual position of the translatable table. 2. A collimation system in accordance with claim 1 further comprising a table position encoder configured to determine an actual position of the translatable table. 3. A collimation system in accordance with claim 2 wherein said collimator controller is configured to determine at least one of a velocity of the translatable table and an acceleration of the translatable table. 4. A collimation system in accordance with claim 1 further comprising a trajectory selector configured to store at least one of a predetermined trajectory and a received trajectory. 5. A collimation system in accordance with claim 1 wherein the trajectory is described by the coefficients of an equation describing the translational position of the translatable table as a function of at least one time and a gantry rotation angle. 6. A collimation system in accordance with claim 5 wherein the coefficients are transmitted to the collimator controller at least one of before the beginning of the scan and during the scan. 7. A collimation system in accordance with claim 5 wherein the translational position of the translatable table is described by: z ⁡ ( s ) = Tk ⁡ ( 1 - cos ⁡ ( s T ) ) + v 0 ⁢ s + 1 2 ⁢ a 0 ⁢ s 2 + z 0 ,wherein T represents a trajectory selected,k represents a constant,v0 represents an initial velocity,a0 represents an initial acceleration, andz0 represents an initial position. 8. A system for reducing x-ray exposure in a computed tomography system, the computed tomography system including an x-ray source, a detector array comprising a plurality of detector cells extending in a z-axis, and a pre-patient collimator, said system configured to:determine a position of a patient table along the z-axis; andposition said collimator to define a thickness of a fan beam to be directed towards an object to be imaged using at least one of the position of the patient table and a predetermined table trajectory. 9. A system in accordance with claim 8 wherein said system is configured to determine a position of a patient table using a position encoder. 10. A method of controlling x-ray dosage in a computed tomography (CT) system including a patient table translatable along a z-axis at a dynamically changeable rate and a collimator that defines an aperture, said method comprising:receiving data concerning a scan trajectory of the patient table;determining an amount of collimation on the detector using a selected image reconstruction algorithm requirement; andpositioning the collimator aperture such that the determined collimation is maintained during the scan. 11. A method in accordance with claim 10 wherein receiving data concerning a scan trajectory of the patient table comprises receiving a selectable one of a plurality of predetermined scan trajectories. 12. A method in accordance with claim 10 wherein receiving data concerning a scan trajectory of the patient table comprises determining an adaptive scan trajectory determined using scan data received during the scan. 13. A method in accordance with claim 10 wherein receiving data concerning a scan trajectory of the patient table comprises determining an equation that describes the translational position of the patient table as a function of at least one of time and an angle of rotation of a gantry portion of the CT system. 14. A method in accordance with claim 13 wherein receiving data concerning a scan trajectory of the patient table comprises receiving coefficients of the terms of the equation. 15. A method in accordance with claim 10 further comprising describing a translational position of the patient table using: z ⁡ ( s ) = Tk ⁡ ( 1 - cos ⁡ ( s T ) ) + v 0 ⁢ s + 1 2 ⁢ a 0 ⁢ s 2 + z 0 ,wherein T represents a trajectory selected,k represents a constant,v0 represents an initial velocity,a0 represents an initial acceleration, andz0 represents an initial position. 16. A method in accordance with claim 15 further comprising receiving coefficients T, k, v0, a0, and z0 by a collimator controller. 17. A method in accordance with claim 10 further comprising receiving coefficients of the terms of the equation by a collimator controller. 18. A method in accordance with claim 10 wherein determining an amount of collimation of the detector comprises receiving at least one of a current position of the patient table and a current angular position of the gantry. 19. A method for reducing x-ray exposure in a computed tomography (CT) system, the CT system including a gantry having at least an x-ray source, a detector array comprising a plurality of detector cells extending in a z-axis, a patient table translatable in the Z axis, and a collimator positioned between the x-ray source and the patient table, said method comprising:receiving coefficients of the terms of an equation that describes the translational position of the patient table as a function of at least one of time and an angle of rotation of a gantry portion of the CT system, by a collimator controller;determining an amount of collimation of the detector for an image reconstruction algorithm selected; andadjusting the aperture of the collimator in the Z direction to illuminate a portion of the detector that is used by the reconstruction algorithm. 20. A method in accordance with claim 19 wherein determining an amount of collimation of the detector comprises receiving at least one of a current position of the patient table and a current angular position of the gantry.
abstract
In a drawing method, all information on a pattern to be drawn on a drawing surface, represented by first vector-graphic data of a drawing-coordinate-system, is transmitted to an exposure drawing apparatus having a plurality of optical modulation elements. The first vector-graphic data is converted to second vector-graphic data of an exposing-coordinate-system that conforms to the exposure drawing apparatus, and the second vector-graphic data is converted to raster-graphic data of the exposing-coordinate-system. Finally, the drawing surface is multi-exposed for drawing the pattern, based on the raster-graphic data to control the exposure by the optical modulation elements.
040627241
description
DESCRIPTION OF THE PREFERRED EMBODIMENTS The nuclear reactor shown in FIG. 1 is of the liquid sodium cooled fast breeder kind which comprises a mass of nuclear fuel 1 constituting the reactor core submerged in a pool 2 of liquid sodium contained by a vessel 3. The vessel 3 is suspended within a concrete vault 4 from the roof 5 and the core 1 is supported on a diagrid 6 also suspended from the roof. The core 1 is enclosed by a shroud 7 which is connected to a heat exchanger inlet 8, the heat exchanger outlet 9 discharging into the pool. A sodium pump 10 which draws sodium from the pool 2 is connected to the diagrid 6 so that, in use of the nuclear reactor, sodium coolant is pumped from the pool to the diagrid upwardly through the core 1 thence through the shroud 7 to the heat exchanger inlet 8 and back to the pool. A collecting tray for molten nuclear fuel according to the invention is designated 11 and comprises a base plate 12 forming a plane tube sheet in which one end of each tube of a complex of cooling tubes 13 is received. A peripheral wall 14 of the tray forms an annular tube sheet in which the other open end of each tube of the complex is received. The tube connections with the plane tube sheet are arranged on concentric pitch circles and the tube connections with the annular tube sheet are arranged in layers thereby to effect a regular distribution of cooling tubes throughout the tray so that in the event of a melt down of the core the molten mass is cooled substantially uniformly by sodium flowing through the tubes by natural convection. The perimeter of the tray extends almost to the wall of the vessel which carries an internal skirt 15 arranged to overlap the perimeter of the collecting tray so that fuel debris carried upwardly by coolant flow through the shroud thence to the outer regions of the pool is directed into the tray instead of on the floor of the vessel. Thermal syphoning pipes 16 penetrate the skirt 15 and extend to the upper regions of the pool of liquid sodium to enable coolant below the skirt and tray to flow into upper regions of the pool coolant. In a second construction of nuclear reactor generally similar to the first described construction the collecting tray 21 is as shown in FIG. 2 and provides for increased coolant flow natural head and increases the local heat transfer coefficient. The tray comprises an annular base plate 22 forming a plane tube sheet in which one open end of each tube 23 of a complex of cooling tubes is received. A peripheral vertical wall 24 forms an annular tube sheet in which the other open end of each tube of the complex is received. The tube connections with the plane tube sheet are arranged on concentric pitch circles and the tube connections with the annular tube sheet are arranged in layers thereby to effect a regular distribution of cooling tubes throughout the tray. The base plate 22 has an inner, annular, vertical wall 25 surmounted by a conical portion 26 having apex disposed on the vertical axis of the reactor core. The wall of the conical portion 26 and an upper wall extension 27 of the wall 24 are inclined at an angle greater than the angle of repose of the fuel (for example, uranium oxide) in sodium so that debris from the core is directed into the wall formed by the inner and peripheral walls of the tray. A basic feature of the second construction is that a layer of low temperature solid fuel (which could be uranium dioxide) is formed on the base plate to a height determined by the redundancy requirements of the cooling tubes and then a limitless amount of molten fuel can be allowed to collect on top of this solid layer without affecting the temperature gradient through the base plate. The described constructions of nuclear reactors have advantage in that, in the event of an emergency, the devices for collecting molten fuel debris are completely passive, circulation of coolant being by natural convection. By interrupting the mass of fuel debris by the cooling pipes nuclear criticality of the mass is also reduced. Except for a small quantity of finely divided fuel debris which can be supported by the low velocities inherent with natural convection circulation, all the debris borne by the coolant is diverted into the collecting tray.
abstract
A container, a device, and a method encapsulate a fuel rod or a fuel rod portion in a gas-tight manner. The container has a hollow cylindrical container part which is closed at the free ends of the container part in a fluid-tight manner by a respective single-piece closure stopper. The closure stopper is provided with a channel that fluidically connects the flushing chamber of the container part to the exterior exclusively in an intermediate position, which is assumed prior to reaching an end position during the assembly process and in which the closure stopper additionally projects out of the container part by an axial length compared to the end position of the closure stopper.
043812813
abstract
The production of a novel nuclear fuel, utilizing uranium 233 low in uranium 232 content in combination with other nuclear materials is made possible by utilization of an equally novel reactor configuration and method of operation. Uranium 233 is produced from thorium in a light water reactor (LWR) while utilizing discrete separation of the thorium being irradiated from the fissile fuel. This uranium 233 is then incorporated directly as fissile isotope enrichment into a new nuclear fuel which may be done without encountering the usual difficulties and hazards in the handling of uranium 233 or the expense and delays associated with gaseous diffusion enrichment. Thorium from this process may be directly reprocessed for reactor charging without radiation hazard also.
summary
claims
1. A method of attenuating radiation comprising the step of mixing the following compounds to form a mixture:30 to 70% by mass of erbium or of a compound thereof;20 to 50% by mass of praseodymium or of a compound thereof; and0 to 50% by mass of bismuth or of a compound thereof;wherein the method comprises the step of incorporating the mixture in a composition that attenuates radiation. 2. The method according to claim 1, characterised in that the erbium compound is an erbium oxide. 3. The method according to claim 2, characterised in that the erbium oxide is sesquioxide of erbium (III), of formula Er2O3. 4. The method according to claim 1, characterised in that the praseodymium compound is a praseodymium oxide. 5. The method according to claim 4, characterised in that the praseodymium oxide is oxide of praseodymium (III-IV), of formula Pr6O11. 6. The method according to claim 2, characterised in that the mixture comprises 55 to 65% by mass of erbium oxide and 35 to 45% by mass of praseodymium oxide. 7. The method according to claim 6, characterised in that the mixture comprises (60±2)% by mass of erbium oxide and (40±2)% by mass of praseodymium oxide. 8. The method according to claim 2, characterised in that the mixture comprises 30 to 45% by mass of erbium oxide, 20 to 30% by mass of praseodymium oxide and 30 to 45% by mass of bismuth. 9. The method according to claim 8, characterised in that the mixture comprises 33 to 42% by mass of erbium oxide, 22 to 28% by mass of praseodymium oxide and 30 to 45% by mass of bismuth. 10. Radiation attenuating material comprising a matrix in which a radiation attenuating composition is dispersed, said composition being in the form of a powder, characterised in that said composition comprises:30 to 70% by mass of erbium or of a compound thereof;20 to 50% by mass of praseodymium or of a compound thereof; and0 to 50% by mass of bismuth or of a compound thereof. 11. Radiation attenuating material according to claim 10, characterised in that the erbium compound is an erbium oxide. 12. Radiation attenuating material according to claim 11, characterised in that the erbium oxide is sesquioxide of erbium(III), of formula Er2O3. 13. Radiation attenuating material according to claim 10, characterised in that the praseodymium compound is a praseodymium oxide. 14. Radiation attenuating material according to claim 13, characterised in that the praseodymium oxide is oxide of praseodymium(III-IV), of formula Pr6O11. 15. Radiation attenuating material according to claim 10, characterised in that the radiation attenuating composition comprises 55 to 65% by mass of erbium oxide, and 35 to 45% by mass of praseodymium oxide. 16. Radiation attenuating material according to claim 15, characterised in that the radiation attenuating composition comprises (60±2) % by mass of erbium oxide and (40±2) % by mass of praseodymium oxide. 17. Radiation attenuating material according to claim 10, characterised in that the radiation attenuating composition comprises 30 to 45% by mass of erbium oxide, 20 to 30% by mass of praseodymium oxide and 30 to 45% by mass of bismuth. 18. Radiation attenuating material according to claim 17, characterised in that the radiation attenuating composition comprises 33 to 42% by mass of erbium oxide, 22 to 28% by mass of praseodymium oxide, and 30 to 45% by mass of bismuth. 19. Radiation attenuating material according to claim 10, characterised in that the matrix represents 10 to 25% by mass of the mass of the material, whereas the radiation attenuating composition represents 75 to 90% by mass of the mass of the material. 20. Radiation attenuating material according to claim 10, characterised in that the matrix represents (15±2) % by mass of the mass of the material, whereas the radiation attenuating composition represents (85±2) % by mass of the mass of the material. 21. Radiation attenuating material according to claim 10, characterised in that the radiation attenuating composition is constituted of particles of which at least 90% by number have an average particle size less than or equal to 20 μm. 22. Article providing protection against ionising radiation, in particular X- and gamma-type electromagnetic radiation, comprising a radiation attenuating material according to claim 10. 23. Article providing protection according to claim 22, characterised in that it is a glove, an overall, a chasuble, a jacket, a skirt, an oversleeve, a thyroid protector, a gonad protector, an armpit protective clothing, an ocular protection headband, an operation area, a curtain, a sheet, a bedding, a panel or a protective screen.
039322165
claims
1. A cellular grid structure for a fuel element comprising band means of generally uniform width and circumscribing the grid structure, said band means having an inner surface that engages the grid structure and an outer surface, said band means surfaces terminating in at least one generally flat surface, detents on said band means that protrude toward the cellular structure, a plurality of generally parallel flat grid plates each having transverse ends that abut said band means inner surface and having widths that are greater than said band means width, and a further plurality of generally flat grid plates having transverse ends that abut said band means inner surface and having widths that are greater than said band means width, said further plate plurality interlocking with said plurality of plates to form the cellular grid structure, the abutting edges of said interlocking plates having sloping surfaces that match the widths of said plates to the width of said band means, said sloping surfaces extending from said outer surface of said band means and across said generally flat band means surface in order to prevent an adjacent cellular grid structure from locking with said band means and said grid plates, said sloping surface extending toward the cellular structure for a distance that is approximately equal to the inward protrusion of said detents, and said band means further forming a generally rectangular structure circumscribing said interlocking plates and having vee-shaped corner edges formed thereon. 2. A fuel element comprising a plurality of fuel rods each of said rods being in spaced relation, a cellular grid structure having detents that protrude into said cells to engage each of said spaced fuel rods and sustain said relation, a band that circumscribes said grid structure said band having an outer surface and having an inner surface in which said inner surface engages at least some of the fuel elements and said grid structure, said band means surfaces both terminating in at least one common generally flat surface, said band having a width that is generally less than the width of said grid structure, said grid structure having sloping portions that protrude beyond said fuel rods to match the width of said grid to the width of said band, said sloping portions extending from said outer band surface and across said flat surface in order to prevent another adjacent fuel element from locking with said cellular grid structure said band further having a plurality of detents protruding toward said structural cells and said sloping portions of said grid structure extending from said band toward said structural cells for a distance that is at least equal to said detent protrusion.
summary
summary
043308651
claims
1. A vehicle for carrying non-destructive test instrumentation over a remote surface, the vehicle comprising a bridge structure having a plurality of support pads pivotably mounted thereon, each support pad having suction means for adhering the vehicle to an inclined or inverted surface and fluid thrust means arranged in opposition to the suction means to facilitate lateral sliding displacement of the vehicle, and a resiliently flexible tubular tie member for suspending the bridge structure and conducting fluid supplies thereto. 2. A vehicle according to claim 1 wherein each support pad has an extensible face seal for bounding an evacuable void between the pad and the surface, the suction means being arranged to evacuate the void, and the fluid thrust means being operable selectively to lift the pad by extending the seal against vacuum. 3. A vehicle according to claim 2 wherein the extensible face seal of each support pad comprises an annular resiliently deformable member secured to the pad and surmounted by an annular seal member having a series of concentric annular lips disposed for making sealing abutment with the surface. 4. A vehicle according to claim 3 wherein each support pad has an annular series of bearing pads disposed concentrically between the inner and outer lips of the seal member. 5. A vehicle according to claim 4 wherein the fluid thrust means comprises a plurality of tubular gas ducts each carrying elastomeric members disposed for anti sliding abutment with the surface when the pad adheres. 6. A vehicle according to claim 1 wherein the vehicle has retractable stabilising feet for abutment with the surface. 7. A vehicle according to claim 6 wherein the tie member carries a series of co-axial disposed spaced discs for supporting and guiding the tie member within an annular void, the discs having an annular series of rollers for bearing on surfaces bounding the annular void. 8. A vehicle according to claim 7 provided with a plurality of reaction propulsion nozzles for laterally displacing the vehicle.
abstract
In the dimension measurement of a circuit pattern using a scanning electron microscope (SEM), in order to make it possible to automatically image desired evaluation points (EPs) on a sample, and automatically measure the circuit pattern formed at the evaluation points, according to the present invention, in the dimension measurement of a circuit pattern using a scanning electron microscope (SEM), it is arranged that coordinate data of the EP and design data of the circuit pattern including the EP are used as an input, creation of a dimension measurement cursor for measuring the pattern existing in the EP and selection or setting of the dimension measurement method are automatically performed based on the EP coordinate data and the design data to automatically create a recipe, and automatic imaging/measurement is performed using the recipe.
claims
1. A wafer holder assembly, comprising: at least one main structural member; a first arm joined to the at least one main structural member; and a second arm pivotably coupled to the at least one main structural member, the second arm being biased towards a wafer-holding position by a bias member, wherein the wafer holder assembly provides a conductive path from a wafer to the wafer holder assembly to inhibit electrical charging of a wafer as the wafer is subjected to an ion beam. 2. The assembly according to claim 1 , wherein the at least one main structural member is formed from graphite. claim 1 3. The assembly according to claim 1 , wherein the first and second arms are formed from graphite. claim 1 4. The assembly according to claim 1 , wherein the bias member is formed from graphite. claim 1 5. The assembly according to claim 1 , wherein the first arm is joined to the at least one main structural member by a conductive distal retaining member. claim 1 6. The assembly according to claim 5 , wherein the distal retaining member is under tension. claim 5 7. The assembly according to claim 5 , wherein the distal retaining member is formed from graphite. claim 5 8. The assembly according to claim 1 , further including wafer-contacting pins coupled to distal ends of the first and second arms, the pins being formed from silicon. claim 1 9. The assembly according to claim 8 , further including a coating on at least a portion of the wafer-contacting pins, the coating being formed from titanium nitride. claim 8 10. The assembly according to claim 1 , wherein the first arm is rotatable about a first axis defined by a bearing in the at least one main structural member. claim 1 11. The assembly according to claim 1 , wherein the first arm includes a transverse member configured to span a defined distance along an edge of a wafer, the transverse member having first and second portions for holding the wafer. claim 1 12. The assembly according to claim 11 , wherein the first arm further includes a support member generally perpendicular to the transverse member. claim 11 13. The assembly according to claim 12 , wherein the first arm is secured to the at least one main structural member via a conductive distal retaining member having a first end engaged to the support member and a second end engaged to the at least one main structural member. claim 12 14. The assembly according to claim 12 , wherein the first arm is rotatable about a first axis generally parallel to the at least one main structural member and further including an elongate first bearing member generally concentric with the first axis for allowing the first arm to rotate with respect to the at least one main structural member, the first bearing member extending through a bore in the support member of the first arm. claim 12 15. The assembly according to claim 14 , wherein the at least one main structural member comprises spaced apart first and second main structural members and the assembly further includes first and second sleeve members affixed to respective ones of the first and second main structural members for receiving ends of the first bearing member to reduce chipping of the first arm and the at least one main structural member as the first arm rotates. claim 14 16. The assembly according to claim 15 , wherein the sleeves are formed from an insulative material and the assembly further includes a graphite distal retaining member coupled to the first and second main structural members and to the first arm. claim 15 17. The assembly according to claim 1 , wherein the at least one main structural member includes opposed first and second main structural members. claim 1 18. The assembly according to claim 17 , further including first and second cross members each extending from the first main structural member to the second main structural member, the first and second cross members being engaged with a support member extending perpendicularly from the transverse member of the first arm. claim 17 19. The assembly according to claim 18 , further including a graphite distal retaining member having a first end coupled to the first and second main structural members and a second end mated with an end of the support member for securing the first arm to the first and second main structural members such that the second cross member is a fulcrum for the support member. claim 18 20. The assembly according to claim 17 , wherein the first arm is rotatable about a first axis generally parallel to the first and second main-structural member and further including an elongate first bearing member generally aligned with the first axis for allowing the first arm to rotate with respect to the main structural members and an elongate second bearing coupled to the first and second main structural members and to the second arm, the second bearing member being generally aligned with the second axis for allowing the second arm to pivot with respect to the first and second main structural members between wafer-hold and wafer-release positions. claim 17 21. The assembly according to claim 17 , further including a graphite intermediate retaining member engaged to the first and second main structural members. claim 17 22. The assembly according to claim 21 , wherein the intermediate retaining member includes opposed U-shaped outer members coupled by a spring member under tension. claim 21 23. The assembly according to claim 17 , further including a graphite proximal retaining member engaged to a proximal region of the first and second main structural members. claim 17 24. The assembly according to claim 1 , further including a shield matable to the assembly for blocking the ion beam from striking a portion of the assembly. claim 1 25. The assembly according to claim 1 , further including a shield matable to the assembly such that the ion beam strikes only the wafer and wafer-contacting pins secured to ends of the first and second arms. claim 1 26. The assembly according to claim 1 , further including a silicon shield matable to the assembly for blocking the ion beam. claim 1 27. An ion implantation system, comprising: a wafer holder assembly including at least one main structural member; a first arm joined to the at least one main structural member; and a second arm pivotably coupled to the at least one main structural member, the second arm being biased towards a wafer-holding position by a bias member, wherein the wafer holder assembly provides a conductive path from a wafer to the wafer holder assembly to inhibit electrical charging of a wafer as the wafer is subjected to an ion beam.
description
This application is a National Phase Application of PCT International Application No. PCT/IL2016/050298, International Filing Date Mar. 17, 2016, claiming the benefit of US Provisional Patent Applications Nos. 62/134,274, filed Mar. 17, 2015, and 62/239,886, filed Oct. 10, 2015, all of which are hereby incorporated by reference. The present invention relates to protection against ionizing radiation. More particularly the present invention relates to a radiation protection device and method for use in space. On space missions beyond low Earth orbit, such as on missions to Earth's moon, to Mars, or elsewhere, Earth's atmosphere and magnetosphere are not available to protect the crew of the mission from sources of ionizing radiation. Such radiation may include the solar wind, cosmic radiation, solar flares or other solar particle events, and other radiation sources or events. Effects of exposure to radiation from a major solar event or other radiation event could place the crew of a space mission at significant risk for acute radiation sickness. Such acute exposure could impact crew health and performance during their mission, endangering completion of the mission and the safe return of the crew to Earth. Protracted exposure to lower levels of radiation (e.g., the solar wind or cosmic radiation) may increase the likelihood of cancer or other radiation-induced disorders for crew members many years after the completion of their mission. Shielding the entire habitable area or cabin of a spacecraft is not currently feasible. Effectively shielding an entire crew module (such as that of the Orion spacecraft) would require very large quantities of shielding material. Delivering such a quantity of shielding material to space would entail much added expense and time, or would require use of a lunch vehicle that is larger than any that are expected to be available in the foreseeable future. Addition of the extra mass without a corresponding increase in propulsion power could increase travel time to a destination, increasing exposure to the radiation. In addition, such cabin shielding would not provide any shielding for an astronauts during and extravehicular activities. Drugs are under development to mimic or enhance the body's natural capacity to repair damage caused by radiation. Although there has been some progress in development of drugs for countering the effects of terrestrial ionizing radiation, such as gamma radiation, very little progress has been made towards countering the effects of the type of radiation (high-energy and massive charged particles) that is encountered during space travel. If such a drug were to be developed, it would probably have to be administered several hours before exposure. However, solar particle events cannot currently be forecast in advance. Furthermore, pharmaceuticals have been found to become unstable during space travel, possibly due to protracted exposure to radiation and vibration. Magnetic deflection and electrostatic repulsion has been considered for reducing exposure to radiation in space. However, a compact system may require magnetic field strength as large as 10 tesla to 20 tesla. Such high fields have been known to produce headaches and migraines in magnetic resonance imaging patients, and long-duration exposure to such fields has not been studied. Devices to produce such a magnetic field may add thousands of kilograms to the mass of the spacecraft. Personal shielding that is worn on an astronaut's or other user's body enables placement of the shielding adjacent to the area of coverage. The solid angle of coverage is thus maximized, thus enabling a reduction (relative to shielding of an entire cabin or spacecraft) in the mass of shielding that is required to provide equivalent protection. There is thus provided, in accordance with an embodiment of the present invention, a device for protection of a body from radiation, the device including at least one flexible garment, each section of the at least one flexible garment being configured to shield a region of a surface of the body such that the each section complementarily attenuates self-shielding by internal structure between the region and an interior region of the body such that radiation at the interior region is attenuated to a predefined attenuation level. Furthermore, in accordance with an embodiment of the present invention, a garment of the at least one flexible garment includes a plurality of shield elements incorporated into the flexible substrate. Furthermore, in accordance with an embodiment of the present invention, the flexible substrate or the plurality of shield elements includes a polymer. Furthermore, in accordance with an embodiment of the present invention, the plurality of shield elements is embedded within the flexible substrate. Furthermore, in accordance with an embodiment of the present invention, each of the plurality of shield elements has an inward facing surface that is greater than an opposite outward facing surface, such that tapering gaps are formed in between adjacent shield elements of the plurality of shield elements. Furthermore, in accordance with an embodiment of the present invention, the substrate fully or partially fills the gaps. Furthermore, in accordance with an embodiment of the present invention, the flexible substrate includes a foam. Furthermore, in accordance with an embodiment of the present invention, the plurality of shield elements includes a plurality of sequins that are attached to the flexible substrate and wherein the flexible surface includes a fabric sheet. Furthermore, in accordance with an embodiment of the present invention, the fabric sheet forms a webbing between the plurality of sequins. Furthermore, in accordance with an embodiment of the present invention, a garment of the at least one garment includes a plurality of the fabric sheets formed into layers. Furthermore, in accordance with an embodiment of the present invention, a sequin of the plurality of sequins on one fabric sheet of the plurality of the fabric sheets is positioned to overlie a gap between adjacent sequins of the plurality of sequins on another fabric sheet of the plurality of fabric sheets. Furthermore, in accordance with an embodiment of the present invention, the plurality of shield elements includes a plurality of bags, each of the bags being configured to be filled with a liquid. Furthermore, in accordance with an embodiment of the present invention, the flexible substrate includes a plurality of flexible bag holders, each of the plurality of bags being configured to be inserted into a bag holder of the plurality of flexible bag holders. Furthermore, in accordance with an embodiment of the present invention, a shield element of the plurality of shield elements includes a plurality of stacked liquid-fillable compartments. Furthermore, in accordance with an embodiment of the present invention, the device includes a tube to enable introduction of a liquid into a liquid-fillable compartment of the plurality of stacked liquid-Tillable compartments or removal of the liquid from a liquid-fillable compartment of the plurality of stacked liquid-fillable compartments Furthermore, in accordance with an embodiment of the present invention, the at least one garment includes a plurality of garments that are configured to be worn in layers, wherein one garment of the plurality of garments is configured such that a shield element of the plurality of shield elements on the one garment is configured to overlie a gap between two adjacent shield elements on another garment of the plurality of garments. Furthermore, in accordance with an embodiment of the present invention, the interior region includes tissue-resident stem cells. Furthermore, in accordance with an embodiment of the present invention, the tissue-resident stem cells are selected from a group of tissue-resident stem cells consisting of distal airway stem cells of the lung, CD34+ hematopoietic stem cells, and intestinal LGR5+ stem cells. There is further provided, in accordance with an embodiment of the present invention, a method for preventing a radiation-induced condition in a body in space, the method including: determining a required attenuation of radiation at an interior region of the body so as to prevent the radiation-induced condition under an anticipated exposure of the body to radiation; determining self-shielding from the radiation corresponding to each surface region of a plurality of regions of a surface of the body by determining attenuation of the radiation by internal structure of the body that lies between the interior region and the each surface region; and providing a radiation protection device including at least one flexible garment, each section of the at least one flexible garment being configured to attenuate radiation to a shielded surface region of plurality of regions of a surface of the body to complementarily attenuate the self-shielding by the shielded surface region. Furthermore, in accordance with an embodiment of the present invention, the radiation-induced condition includes mutagenesis or destruction of stem cells and the interior region includes a stem cell niche. Furthermore, in accordance with an embodiment of the present invention, determining the required radiation attenuation includes determining an attenuation required to prevent a Bragg peak of the radiation from occurring within the interior region. Furthermore, in accordance with an embodiment of the present invention, determining the required attenuation of radiation includes determining total areal density of shielding to the interior region to prevent the radiation-induced condition, the determined self-shielding includes an areal density of the internal structure that lies between the interior region and the each surface region, and wherein an areal density of the each section is at least a difference between the total areal density and the areal density of the internal structure. In the following detailed description, numerous specific details are set forth in order to provide a thorough understanding of the invention. However, it will be understood by those of ordinary skill in the art that the invention may be practiced without these specific details. In other instances, well-known methods, procedures, components, modules, units and/or circuits have not been described in detail so as not to obscure the invention. Although embodiments of the invention are not limited in this regard, discussions utilizing terms such as, for example, “processing,” “computing,” “calculating,” “determining,” “establishing”, “analyzing”, “checking”, or the like, may refer to operation(s) and/or process(es) of a computer, a computing platform, a computing system, or other electronic computing device, that manipulates and/or transforms data represented as physical (e.g., electronic) quantities within the computer's registers and/or memories into other data similarly represented as physical quantities within the computer's registers and/or memories or other information non-transitory storage medium (e.g., a memory) that may store instructions to perform operations and/or processes. Although embodiments of the invention are not limited in this regard, the terms “plurality” and “a plurality” as used herein may include, for example, “multiple” or “two or more”. The terms “plurality” or “a plurality” may be used throughout the specification to describe two or more components, devices, elements, units, parameters, or the like. Unless explicitly stated, the method embodiments described herein are not constrained to a particular order or sequence. Additionally, some of the described method embodiments or elements thereof can occur or be performed simultaneously, at the same point in time, or concurrently. Unless otherwise indicated, the conjunction “or” as used herein is to be understood as inclusive (any or all of the stated options). In accordance with an embodiment of the present invention, a personal radiation protection device is configured to differentially shield different regions of a body from one or more types of space radiation. The radiation protection device is in the form of one or more garments that may be worn over the body. As used herein, a body refers to a body of a living human or animal (although for research, evaluation, or testing purposes, the garment may used to shield a cadaver, phantom, or other inanimate object). As used herein, space radiation refers to radiation that is primarily in the form of energetic massive particles (e.g., baryonic particles, such as protons, neutron, light or heavy nuclei, or other baryons, or mesons). Typical sources of space radiation may include solar flares or other solar particle events, galactic cosmic radiation, the solar wind, or other sources of energetic baryons or mesons. In some cases, interaction of the space radiation with matter may generate secondary radiation that may include energetic photons (e.g., x-ray or gamma radiation) or other energetic particles (e.g., leptons, baryonic matter, or mesons). As used herein, differential protection, shielding, or attenuation refers to shielding (e.g., quantified by a local attenuation value or an areal mass density) by laterally displaced different sections of the garment or device). Each of the different section of the garment is configured to cover, and thus to shield from radiation, a different region of a surface of the body. The attenuation by a section of the device may be configured to complement self-shielding by the body of an interior region of the body. Self-shielding corresponding to surface region of the body refers to herein as attenuation by (or areal density of) internal structure that lies between that surface region and the interior region. For example, a total shielding in the form of a total attenuation value or areal density value may be predetermined for one or more different interior regions of the body (e.g., based on a known or suspected health-related effect of radiation on that interior region). Self-shielding from radiation by intervening interior structure of the body (e.g., tissue or other internal structure) between the interior region and the surface region may be known, calculated, measured, or determinable by application of a combination of the above. The section of the device that shields that surface region is referred to as complementarily shielding the interior region when the combined attenuation by that section of the device and the self-shielding corresponding to the surface region that is shielded by that section provides at least the predetermined total required attenuation. For example, when the attenuation is quantified as an attenuation factor, the combined attenuation is determined by multiplying the self-shielding attenuation and the attenuation by that section of the device. When the attenuation is quantified as an areal density, the combined attenuation is determined by adding areal density of the self-shielding and the areal density of the section of the device. As used herein, a garment refers to an item that may be worn on a body in the manner of an article of clothing (e.g., such as a vest, tunic, girdle, belt, sleeve, helmet, hat, or other similar wearable article), whether or not such an item would be worn otherwise as an article of clothing (e.g., when radiation shielding is not required). For example, the device may include a plurality of separately wearable and removable garments that may be worn in layered fashion, with outer garment layers worn over one or more inner garment layers. In some cases, a single garment layer may include multiple connected layers of material. The garments are designed to be worn by a user, such as an astronaut in space either within or outside of (e.g., in an extravehicular activity from) a spacecraft. Each garment layer of the radiation protection device includes a plurality of radiation shield elements that are incorporated into a flexible substrate. A flexible substrate includes a material that may be fashioned into a garment. The flexible material is sufficiently flexible or elastic such that when fashioned into a garment and worn by a person, the garment is capable of bending together with a part of the person that is bent during performance of one or more anticipated tasks. A shield element may include a solid material or a liquid that is confined to a compartment or container. Typically, the density of material in the shield element is sufficiently greater than the density of the flexible substrate such that attenuation by the flexible substrate is negligible (e.g., insignificant with regard to prediction of the likelihood of occurrence of a radiation-induced condition). Each shield element is configured to attenuate one or more types of ionizing radiation that pass through that shield element. As used herein, a shield element is referred to as being incorporated into the substrate when an independently manufactured shield element is attached to or incorporated into a separately manufactured substrate, when a substrate is manufactured with shield elements that are embedded, inlaid, or otherwise incorporated into the substrate, or when a shielding liquid is contained within a compartment. For example, the flexible substrate may include a fabric or a foamy material (e.g., a foamy plastic material) that may be fashioned into a garment. The flexible substrate may include a flexible plastic that is provided with compartments that are fillable with a liquid, or with a bag, sack, pouch, bottle, or other container that is filled with a liquid. The flexible substrate may include structure to enable or facilitate attachment or incorporation of the shield elements to the flexible substrate. Such structure may include indentations, pockets, sleeves, pouches, loops, hooks, a surface of a hook-and-loop fastener, an adhesive or tacky surface, a ferromagnetic surface, or other attachment structure. The shield elements are designed to absorb or otherwise provide shielding from the type of radiation that is expected to be encountered during travel outside of the protection provided by Earth's atmosphere and magnetic field. Such radiation may include energetic protons, or other ions or other charged particles. In order to shield against such radiation, the shield elements may include dense hydrocarbons (e.g., plastics), water, or other materials that are rich in hydrogen and other light nuclei. The radiation may include high energy ions, neutrons, gamma rays, or other high-energy photons or charged particles. In order to shield against such radiation, the shield elements may include an electron-rich material, such as a dense metal with a high atomic number (e.g., lead). The configuration of the shield elements of the radiation protection device may be designed in consideration of the sensitivity to radiation of the part or organ of the body that each part of the radiation protection device is configured to cover and shield. For example, interior regions (e.g., tissue types or organs) of the body that may require a relatively large amount of shielding (so as to provide relatively large attenuation of radiation that is directed toward those regions) may include those interior regions that are rich in hematopoietic stem cells, other tissue-resident stem cells, or tissues or organs that have been found to be especially sensitive to a one or more types of incident radiation. Such regions may include, for example, ovaries, lungs, colon, breasts, stomach, iliac bone marrow, or other tissues that require increased protection (e.g., as per recommendations of the International Commission on Radiological Protection). Such interior regions are herein referred to as radiation-sensitive regions. Interior regions that require increased protection may include organs, or in some cases, stem cell niches in organs or elsewhere that tend to have an increased concentration of tissue-resident stem cells. For example distal airways of the lung may be rich in distal airway stem cells and the ileum section of the small intestine may be rich in LGR5+ stem cells. Protecting such interior regions may enable tissue regeneration following acute exposure to radiation, while protecting against cancer of that organ (since preventing a mutation in a stem cell may be equivalent to preventing a mutation in thousands of daughter cells). Stem cell niches may include one or more types of stem cells. For example, the stem cells may include one or more of hematopoietic stem cells, distal airway stem cells, mesenchymal stem cells, Sca-1 stem cells, CD34+ hematopoietic stem cells, spermatogonia, intestinal LGR5+ stem cells, p63+ Krt5+ stem cells, ovarian primordial follicle stem cells, thyroid progenitor cells, CD133 progenitor cells, and endothelial progenitor cells. The configurations of shield elements in different parts of the radiation protection device may differ from one another in one or more characteristics. For example, the shape, size, composition, distribution (e.g., density), structure, or other characteristics of shield elements in one part of the radiation protection device may differ from those characteristics in another part of the radiation protection device. Similarly, the characteristics of the shield elements (and of the flexible substrate) may differ from layer to layer of the radiation protection device. For example, a distribution of number of layers of shielding (e.g., of shield elements incorporated into a substrate) among different sections of the radiation protection device may be determined by the degree of protection (e.g., quantified by attenuation of incident radiation) that is to be provided to a surface region of the body that is covered by that section. A section of the radiation protection device that is configured to be worn over an interior region of the body requiring greater protection from radiation may include more layers of shielding than a section of the radiation protection device that is configured to be worn over an interior region of the body requiring less protection. The thicknesses of the shield elements within a single layer may also be varied in order to provide variable selective shielding to different interior regions. A radiation protection device, in accordance with an embodiment of the present invention, is sufficiently flexible to enable at least limited movement by a person who is wearing the device. The movement may enable sufficient range of motion so that the person may be able to perform anticipated tasks while wearing the radiation protection device (e.g., bend a torso or limb through a predetermined bending angle. For example, a section of the radiation protection device may be configured to be compressed on one side of the device while a corresponding opposite (e.g., on an opposite side of the limb or torso) section is configured to be stretched. The shield elements may be arranged on the flexible substrate such as to not impede bending of the flexible substrate. In this case, a gap between shield elements on one layer may be covered and shielded by shield elements on one or more other layers of the radiation protection. Layers of the radiation protection device may be configured to slide relative to one another so as to further enable bending of the torso or limb. A radiation protection device that provides selective protection from ionizing radiation may be advantageous over a device that provides non-selective protection. A radiation suit or similar device could be configured to provide an approximately constant adequate radiation protection (e.g., suitable for the most sensitive interior region of the body) to all parts of the body. Such a device could be so massive as to severely impair or restrict mobility or maneuverability of person wearing the device, as well as increasing the thrust required to launch or propel a vehicle holding one or more of such devices (typically at least one device per passenger). Active bone marrow is rich in blood-forming hematopoietic stem cells (HSC). HSC concentrations are present in marrow a several locations in the human body, including the hip, sternum, ribs, vertebrae, and skull. For example, in adults, the active bone marrow of the iliac bones of the hip may require more radiation protection than other parts of the body. On the other hand, the bone marrow in the skull provides the foremost concentration of active bone marrow in early life and may therefore require more protection. Therefore, the distribution of radiation attenuation in a radiation protection device may be configured in accordance with the age of an intended user. The distribution of radiation protection for different interior regions of the body may be configured by configuring the type, thickness, and the distribution of radiation attenuating materials that are incorporated into the radiation protection device. In some cases, the distribution of radiation attenuation in the radiation protection device may be configured to protect a predetermined fraction of active bone marrow in the body or in a particular interior region of the body. The protected quantity may be determined by consideration of the quantity of bone marrow that is typically transplanted into a patient to replace damaged or destroyed bone marrow. For example, the protected quantity of bone marrow may be in the range 25% to 150% of a typical quantity of transplanted bone marrow. In some cases, the distribution of radiation attenuation in the radiation protection device may be configured to prevent mutations in organs, or regions of organs, that are rich in stem cells. Tissue-resident stem cells may be shielded by the radiation protection device. This may enable the regenerative capacity of the stem cells to enable the body to recover from deterministic effects of radiation. In this manner, cell damage that could otherwise lead to cancer or other radiation-induced conditions may also be averted. In some cases, the distribution of radiation attenuating material in the radiation protection device may be configured in consideration of the inherent radiation attenuation due to various tissues (e.g., skin, bone, muscle, or adipose tissue) through which radiation would have to pass in order to reach bone marrow or other interior regions that require radiation protection. For example, the amount and distribution of radiation attenuating material needed may be determined using the formula A D ⁡ ( x , y , z ) = A R A T ,where AD is a required radiation attenuation to be provided by the radiation protection device at point x, y, z within the user's body, AR is the total required radiation attenuation at the point, and AT is the radiation attenuation provided by the surrounding or adjacent tissue. The hematopoietic system is highly sensitive to ionizing radiation. Doses of 70 rad (0.7 Gy) and above may cause decreased hematocrit, neutropenia, and lymphopenia, leading to anemia and immune suppression. Major bone marrow cell loss may occur with doses of 150 rad (1.5 Gy) or more. A radiation protection device, in accordance with an embodiment of the present invention, may be designed to attenuate one or more types of incident ionizing level to an acceptable level. The acceptable level may be determined in accordance with one or more effects of radiation on a person's body. FIG. 1 schematically illustrates a radiation protection device, in accordance with an embodiment of the present invention. Radiation protection device 10 is configured to be worn as one or more garments by a user 11. Radiation protection device 10 may include one or more separate garments that may be worn as layers one over another. In some cases, radiation protection device 10 may include a layered garment that incorporates multiple layers that may or may not be separable from one another. As shown, radiation protection device 10 includes one or more garments in the form of a tunic. Other forms of garments are possible. For example, radiation protection device 10 may include one or more coats, aprons, hats, helmets, scarves, gloves, pants, skirts, capes, ponchos, vests, jackets, shirts, or other types of garments. Each garment of radiation protection device 10 may include one or more structures to facilitate donning and removal, while preventing the garment from accidently falling off of user 11. For example, a garment of radiation protection device 10 may include a closeable full-length or partial opening that may be opened to facilitate donning and removal. The opening may be provided with one or more closing or fastening structures that may be closed or fastened to retain the garment in place on user 11. For example, the closing or fastening structure may include one or more flaps, straps, buttons, snaps, laces, hook-and-loop fasteners, buckles, magnets, zippers, clasps, or other closing or fastening structure. In some cases, a garment of radiation protection device 10 may be configured to be donned or removed without opening any closing or fastening structure (e.g., may be pulled on over the head and raised arms of user 11). Radiation protection device 10 is configurable to provide varying degrees of radiation protection (e.g., as quantifiable by radiation attenuation) or types of radiation protection (e.g., quantifiable by a ratio of attenuation of one type or energy of radiation to attenuation of another type or energy of radiation) to different parts of user 11. For example, one or more of a thickness of radiation protection device 10, a distribution of shield elements, a density, size, shape, or composition of shield elements, a number of layers, or another characteristic of radiation protection device 10 may vary from section to section of radiation protection device 10. For example, a lower-protection section 10a of radiation protection device 10 may be thinner or otherwise provide lower attenuation than a higher-protection section 10b of radiation protection device 10. Characteristics of the radiation protection that is provided by radiation protection device 10 to each interior region of user 11 may be selected in accordance with a sensitivity of each interior region of user 11 to one or more types of radiation. For example, a more sensitive interior region of user 11 may have a relatively high concentration of stem cells, or may be otherwise more susceptible to mutagenesis, than another less sensitive interior region of user 11. Radiation protection device 10 may be configured such that, when worn by user 11, a higher-protection section 10b covers the more sensitive interior region and a lower-protection section 10a covers the less sensitive interior region. For example, in some cases, lower-protection section 10a may have an areal density of about 7 g/cm2. A higher-protection section 10b may have an areal density of about 19 g/cm2. Other ranges may be appropriate for different individuals (e.g., with different body shape or different sensitivity to radiation) or for different anticipated exposure to radiation. For example, in some cases a minimum areal density of a lower-protection section 10a may be in the range from 0.1 g/cm2 to 20 g/cm2. A maximum areal density of higher-protection section 10b may be in the range from 4 g/cm2 to 46 g/cm2. For example, the energy spectrum of particles that are emitted during large solar particle events has been measured to range from 20 MeV to 300 MeV. A 20 MeV proton at the low end of the spectrum may have a range of about 4.2 mm in water. A 100 MeV proton may have a range in water of about 76 mm. A 200 MeV proton may have a range in water of about 260 mm. A 300 MeV proton at the high end of the spectrum may have a range in water of about 510 mm. Thus, shielding that includes materials that are rich in hydrogen but denser than water may be useful as at least an inner garment layer of radiation protection device 10. Furthermore, limiting the protection to those interior regions of the user 11 that are most sensitive to the effects of radiation may enable effective protection without excessively increasing the mass or thickness of radiation protection device 10. The degree of sensitivity to radiation of different interior regions of user 11 may vary from individual to individual. For example, sensitivity of an interior region of user 11 to one or more types of radiation may by affected by an age and sex of user 11, by a medical history of user 11, or by a health-related condition. For example, sensitivity of an interior region may be affected by current or past diseases or injuries, previous exposure to ionizing radiation, pregnancy or lactation, genetic predispositions, past or current exposure to various environmental conditions, diet, past or current medications or treatments, level of activity, or other conditions. Sensitivity of an interior region of user 11 may be determined by stem cell content or concentration, or by a fraction of cells in that interior region that are dividing. Radiation protection device 10 may be configured to enable user 11 to move in a manner that is appropriate to planned activities of user 11. For example, radiation protection device 10 may be configured to enable at least limited (e.g., sufficient to enable a planned activity) bending of a torso, one or more limbs, or another part of user 11. For example, rigid shield elements of a garment of radiation protection device 10 may be embedded or otherwise incorporated into a flexible or elastic substrate. The sizes and shapes of rigid shield elements, as well as the separation distance between adjacent rigid shield elements, may be configured to enable a predetermined degree of bending (e.g., maximum curvature) of the garment. The flexible substrate may deform in various ways to allow compression or stretching to accommodate bending of the radiation protection device 10 and to ensure freedom of movement of user 11. Layers of radiation protection device 10 may be configured to slide past one another to facilitate bending of radiation protection device 10. At least some of the shield elements may be pliable to at least a limited extent (e.g., liquid-filled sacs or bags). In some cases, radiation protection device 10 may be configured to be integrated into shielding of a spacecraft or cabin. For example, at times when radiation protection device 10 need not be worn by user 11 (e.g., when no solar particle event is occurring), radiation protection device 10 may be removed and stowed. Walls of the cabin or spacecraft may be configured such that radiation protection device 10 may be attached to the wall. For example, the wall may be provided with clips or other structure that facilitate attachment of radiation protection device 10 to the wall. When attached to the wall, radiation protection device 10 may provide additional protection to the interior of the spacecraft or cabin, e.g., from galactic cosmic radiation or other radiation. In accordance with an embodiment of the present invention, radiation protection device 10 may include several layers of protective garments. FIG. 2 schematically illustrates garment layers of a section of the radiation protection device shown in FIG. 1. Radiation protection device 10 includes three garment layers: inner garment layer 12a, middle garment layer 12b, and outer garment layer 12c. A radiation protection device 10 may include less than three layers or more than three layers. The thickness of each garment layer may not be constant. The thickness of any particular layer may vary in order to provide selective shielding to different surface regions of the body. Alternatively, selective coverage may be achieved by stacking additional layers over particular surface regions. In some cases, each pair of adjacent garment layers (e.g., inner garment layer 12a and middle garment layer 12b or middle garment layer 12b and outer garment layer 12c) may be free to slide relative to one another. Such free sliding may facilitate bending or other movement of user 11. For example, the facing surfaces of the pairs of adjacent layers may be free of any projections or indentations that could impede lateral movement of one layer relative to another. The facing surfaces may be configured (e.g., with a nonstick coating) to facilitate relative sliding between the adjacent layers. For example, one or more of the facing surfaces may be made of, or coated with, one or more friction reducing materials. Such materials may include, for example, polytetrafluoroethylene, polyamide-imide, nylon 6-6, nylon 4-6, graphite, graphite powder, acetal homopolymer, carbon fiber, or another friction-reducing material. In some cases, the innermost and outermost surfaces (e.g., an inward-facing surface of inner garment layer 12a or an outward-facing surface of outer garment layer 12c) may be configured so as to prevent snagging or friction between radiation protection device 10 and any surface (e.g., skin or clothing) under inner garment layer 12a or over (e.g., a protective suit for extravehicular activity) outer garment layer 12c. Each of the inner garment layer 12a, middle garment layer 12b, and outer garment layer 12c includes a plurality of shield elements 14 that are incorporated into a flexible substrate 16. For example, shield elements 14 may be embedded in flexible substrate 16, as shown in FIG. 2. Alternatively or in addition, shield elements 14 may be attached to a surface (e.g., an outer surface) of flexible substrate 16, inserted in a pocket or sleeve of flexible substrate 16, or otherwise attached to flexible substrate 16. Shield elements 14 may be configured to attenuate one or more types of radiation. For example, in order to attenuate ionizing radiation in the form of energetic particles (e.g., solar wind particles, galactic cosmic ray particles, or other ions, neutrons, or other particles), shield elements may include materials that are composed of light nuclei (e.g., hydrogen, carbon, oxygen, or other light nuclei). For example, shield elements 14 may include polyethylene, polypropylene, or another hydrocarbon, water, or another material composed primarily of elements having a low atomic mass. In order to attenuate ionizing radiation in the form of energetic photons (e.g., x-rays or gamma rays, e.g., resulting from interaction of energetic charged particles with matter), shield elements 14 may include a material with a high atomic number. For example, shield elements 14 may include a metal (e.g., lead, gold, silver, tungsten, or another metal) or a metallic alloy in the form of a powder, pellets, coating, lining, layer, or another form. For example, a powder or pellet may have a particle diameter or other characteristic dimension in the range from less than a micrometer to about a millimeter. In some cases, shield elements 14 may have a composition that is similar to that of flexible substrate 16. However, the density of the material of shield elements 14 may be denser than that of flexible substrate 16. For example, shield elements 14 may include high density polyethylene, while flexible substrate 16 includes low density polyethylene (e.g., polyethylene foam or fabric). Each shield element 14 may be separated from an adjacent shield element 14 by a section of flexible substrate 16 forming a gap. Shield elements 14 in inner garment layer 12a, middle garment layer 12b, and outer garment layer 12c may be configured such that a shield element 14 in one of the layers covers a gap in another of the layers. Thus, inner garment layer 12a, middle garment layer 12b, and outer garment layer 12c of radiation protection device 10 may be configured such that all surface regions of a user 11 that are covered by radiation protection device 10 are protected from incident radiation. For example, shield elements 14a in inner garment layer 12a are separated by a gap 18a Similarly, shield elements 14b in middle garment layer 12b are separated by a gap 18b that is approximately aligned laterally to overlie gap 18a. However, in outer garment layer 12c, shield element 14c overlies approximately aligned gaps 18a and 18b. Thus, a surface region of the body of a user 11 underlying approximately aligned gaps 18a and 18b may be protected by shield element 14c Similarly, a surface region of the body of a user 11 that underlies gaps 18c in outer garment layer 12c may be protected by shield elements 14b and 14a that underlie gaps 18c. In some cases, user 11, or a cabin, spacecraft, space suit, or other enclosure surrounding user 11, may be provided with one or more dosimeters or other radiation sensors. For example, the radiation sensor may measure a radiation level in an area where user 11 is found. The radiation sensor may measure the level of radiation that is incident on one or more interior regions of the body of user 11. The result of the radiation measurement may be utilized in determining whether or not radiation protection device 10 need be worn, the recommended type of garment of radiation protection device 10 that is to be worn (e.g., when more than one type is available), and the number and selection of garment layers of radiation protection device 10 that are recommended to be worn. In accordance with an embodiment of the present invention, shield elements 14 may be embedded or inlaid in flexible substrate 16, e.g., in the form of a flexible matrix or foam. FIG. 3A schematically illustrates a layer of a radiation protection device with shield elements that are embedded in a flexible substrate, in accordance with an embodiment of the present invention. In radiation protection garment 20, a section of which is shown in FIG. 3A, embedded shield elements 22 are embedded in flexible substrate 16. For example, each embedded shield element 22 may be in the form of substantially rigid plug. Each plug is at least partially surrounded by a flexible and elastic material forming flexible substrate 16. Embedded shield elements 22 and flexible substrate 16 of radiation protection garment 20 may be produced by application of one or more molding, extrusion, injection, machining, or adhesion or other production processes. For example, embedded shield elements 22 may be molded or machined out of a substantially rigid material. Embedded shield elements 22 may be positioned in a fixture into which material for forming flexible substrate 16 may be injected. As another example, openings or indentations for accommodating embedded shield elements 22 may be punched or otherwise machined out of a layer of material forming flexible substrate 16. Plugs of substantially rigid material for forming embedded shield elements 22 may be inserted into the openings or indentations and caused to adhere to flexible substrate 16. For example, embedded shield elements 22 may include high-density polyethylene. Flexible substrate 16 may include polyethylene foam. Alternatively or in addition, flexible substrate 16 may include another type of material that is sufficiently thick so as to incorporate embedded shield elements 22 but sufficiently flexible or elastic so as enable bending of radiation protection garment 20. Alternatively or in addition, embedded shield elements 22 or flexible substrate 16 may include a polymeric mixture that includes one or more of polyurethane, polyamide, polyvinyl chloride, polyvinyl alcohol, natural latex, polypropylene, ethylene vinyl acetate, polyester, or another polymer. One or more components may include an additive to improve the flexibility, strength, durability, or another property of the polymeric mixture or to ensure that the polymeric mixture has an appropriate uniformity and consistency. For example, an additive may include a plasticizer (e.g., epoxy soybean oil, ethylene glycol, propylene glycol, or another plasticizer), an emulsifier, a surfactant, a suspension agent, a leveling agent, a drying promoter, a flow enhancer, or other additive. A radiation attenuating material included in embedded shield elements 22 may include one or more of carbon nano-materials with absorbed hydrogen, metal hydrides such as LiH, MgH2, LiBH4, NaBH4, BeH2, TiH2 or ZrH2, palladium (and alloys) with absorbed hydrogen, hydrocarbons (polyethylene or (CH2)n) with boron, quasi-crystals (e.g., TiZrNi), condensed hydrogen (solid and liquid), water (H2O), drinking water, cooling liquid, and other hydrogen rich materials or mixtures such as urine. A particular isotope of an element may be selected for the shielding material in some cases. For example, a particular isotope may have a relatively (e.g., to other isotopes) large nuclear cross section for a particular nuclear reaction. For example, boron-10 has a high cross section for neutron capture. Hydrogen is unique in its interaction with high-energy nuclei components of galactic cosmic rays which have an electric charge greater than +2 because it cannot fragment into smaller nuclei. Polyethylene (C2H4) contains 14% hydrogen by mass fraction. Therefore, nano-material composites using a polyethylene matrix are particularly attractive as a primary component in a novel shielding material. A number of nano-material additives are suitable for loading this and other matrices. Such additives may include carbon nanostructures (fullerenes, nanotubes, graphene, nano-onions), metal hydride nanoparticles (including LiH, LiBH4, BeH2), boron nitride/boron carbide nanoparticles (offer both good strengthening characteristics as well as neutron absorption), alkylated-fluorinated nanotubes (to promote dispersion within the matrix and increase mechanical properties), graphene nanoplatelets (carbon nanotube co-reinforced high-density polyethylene composites), and high-density polyethylene fibers woven with carbon nanotube yarn (to form flexible deployable radiation shielding blankets). For example, embedded shield elements 22 may be rigid and substantially incapable of being bent by forces that are typically exerted by a person who is wearing radiation protection garment 20. Each embedded shield element 22 may have a tapered profile (e.g., a profile with an approximately trapezoidal shape). Thus, a separating strip 24 of flexible substrate 16 that separates adjacent embedded shield elements 22 may have a wedge-like profile. For example, each embedded shield element 22 may have an inward-facing surface with a surface area that is greater than the surface area of an opposite, outward-facing surface. Thus, a separating strip 24 of flexible substrate 16 that separates adjacent embedded shield elements 22 may form a tapering gap (e.g., with an approximately triangular, wedge-like profile, or other tapering profile). For example, radiation protection garment 20 may be formed by placement of shielding elements to form embedded shield elements 22 in an arrangement that corresponds to the positions of embedded shield elements 22 in radiation protection garment 20. Spaces or gaps that are formed in between the shield elements may be fully or partially filled with a flexible material (e.g., a polymer foam or other flexible material) to form flexible substrate 16 in the form a matrix of the flexible material. The flexibility and elasticity of flexible substrate 16 and of separating strips 24 may radiation protection garment 20 with sufficient flexibility to enable radiation protection garment 20 to bend together with a person who is wearing radiation protection garment 20. FIG. 3B schematically illustrates bending of the garment layer shown in FIG. 3A. When a section of radiation protection garment 20 is bent, the opening angle of the wedge-like profile of separating strips 24 may increase or decrease. For example, when a section of radiation protection garment 20 is bent such that surface 21a of radiation protection garment 20 is locally convex and surface 21b is locally concave, separating strips 24 in that section may be stretched widthwise. When, stretched widthwise, the opening angle of the wedge-like profile may be increased, as shown for stretched separating strip 24a Similarly, when a section of radiation protection garment 20 is bent such that surface 21a of radiation protection garment 20 is locally concave and surface 21b is locally convex, separating strips 24 in that section may be compressed widthwise. When, compressed widthwise, the opening angle of the wedge-like profile may be decreased, as shown for compressed separating strip 24b. Two or more radiation protection garments 20 may be worn as layers over one another. FIG. 4A schematically illustrates an inner garment layer with embedded shield elements of a radiation protection device, in accordance with an embodiment of the present invention. FIG. 4B schematically illustrates a middle garment layer with embedded shield elements worn over the inner garment layer shown in FIG. 4A. FIG. 4C schematically illustrates an outer garment layer with embedded shield elements worn over the garment layers shown in FIG. 4B. For example, inner radiation protection garment 20a may be worn directly over personal clothing (e.g., the clothing worn when no radiation protection is required) or skin of user 11. Middle radiation protection garment 20b may be worn over inner radiation protection garment 20a. Outer radiation protection garment 20c may be worn over middle radiation protection garment 20b. When all of the garment layers are worn, embedded shield elements 22 on the different garment layers may be so aligned with one another as to provide a predetermined degree of protection (e.g., attenuation) to all covered parts of user 11. In accordance with an embodiment of the present invention, a garment of a radiation protection device may include one or more sheets of shield elements in the form of sequins. The flexible substrate to which the shield elements are attached may include a sheet of flexible material to which the shield elements are attached, or flexible webbing that connects the shield elements to one another. In accordance with an embodiment of the present invention, a garment of a radiation protection device may include shield elements in the form of packets of a liquid. The packets may be inserted into pockets or sleeves in a flexible substrate. FIG. 5A schematically illustrates an inner garment layer of a radiation protection device, the layer having shield elements in the form of sequins, in accordance with an embodiment of the present invention. FIG. 5A schematically illustrates an inner garment layer of a radiation protection device, the garment layer having shield elements in the form of sequins, in accordance with an embodiment of the present invention. FIG. 5B schematically illustrates a middle garment layer with shield elements in the form of sequins and worn over the inner garment layer shown in FIG. 5A. For example, inner sequined radiation protection garment 30a may be worn directly over personal clothing or skin of user 11. Middle sequined radiation protection garment 30b may be worn over inner sequined radiation protection garment 30a. FIG. 5C schematically illustrates an outer garment layer with liquid shield elements worn over the garment layers shown in FIG. 5B. Liquid-fillable radiation protection garment 32 may be worn over middle sequined radiation protection garment 30b. Liquid-fillable radiation protection garment 32 includes a plurality of bag holders 34. For example, each bag holder 34 may be in the form of a sleeve or pocket into which a liquid bag 38 may be inserted. Each bag holder 34 may be provided with bag retaining structure 36 that is configured to prevent accidental or unintentional removal of a liquid bag 38 from bag holder 34. For example, bag retaining structure 36 may include a sealable flap, strap, or lip. Bag retaining structure 36 may be provided with a button, zipper, snap, hook-and-loop fastener, magnet, adhesive or tacky surface, or other structure to hold closed bag retaining structure 36. Bag holders 34 may be made of a flexible material to form a flexible substrate of liquid-fillable radiation protection garment 32. Similarly, liquid bags 38 may be made of a flexible material. In some cases, the material of bag holders 34, of liquid bag 38, or of both may be elastic. Liquid bag 38 may be filled with water or another liquid. For example, liquid-fillable radiation protection garment 32 may be utilized for storage of potable water for drinking, e.g., during extravehicular activity or as an emergency supply when other sources of drinking water are unavailable. Liquid bag 38 may be filled with urine or other wastewater. For example, liquid-fillable radiation protection garment 32 may be used to temporarily store wastewater for later purification by a purification device. Liquid bag 38 may be filled with another liquid. Liquid layer sections 50 of liquid-fillable radiation protection garment 32 may be configured as a plurality of stacked liquid-fillable layers. For example, liquid layer sections 50 may be configured such that radiation traverses a greater distance through (or, equivalently, a greater areal density of) a liquid filling liquid-fillable radiation protection garment 32 than another section of liquid-Tillable radiation protection garment 32. For example, a liquid layer section 50 may be designed to be worn over an interior region of elevated sensitivity to radiation (e.g., more sensitive than other interior regions of user 11, such as a stem cell niche or other interior region of higher sensitivity) of user 11. FIG. 5D schematically illustrates a cross of a liquid layer section of the outer garment layer with liquid shield elements shown in FIG. 5C. Liquid layer section 50 includes a plurality of liquid-fillable compartments 52 that are stacked atop one another. Each liquid-fillable compartment 52 of liquid layer section 50 may be in the form of a bag or pouch of a flexible or elastic material. Tube 54 may provide support for maintaining a shape of liquid layer section 50. In addition, tube 54 may be used to fill one or more liquid-Tillable compartments 52, or to remove liquid from one or more liquid-fillable compartments 52. For example, tube 54 may be made of a durable material with limited flexibility, such as polyethylene, polypropylene, another thermoplastic, another polymer, carbon fiber, a metal, or another material that is suitable for forming a tube that provides support or liquid access. Tube 54 may be used to conduct liquid from tube opening 56 to one or more liquid-fillable compartments 52. Tube opening 56 may be accessible from outside of liquid-Tillable radiation protection garment 32. In some cases, tube opening 56 may be provided with a cap or other closure that may be opened in order to access tube opening 56. For example, the cap or closure may be configured to pad or cover tube opening 56 in order to prevent any damage or injury that could occur by a collision with tube opening 56. For example, tube 54 may be provided with one or a plurality of liquid-permeable segments 58 that are configured to enable flow of liquid between tube 54 and a corresponding one or a plurality of liquid-Tillable compartments 52. For example, a liquid-permeable segment 58 may include perforations or openings to enable liquid to flow between tube 54 and a liquid-fillable compartment 52. Alternatively or in addition, a liquid-permeable segment 58 may include a porous material to enable flow of liquid between tube 54 and a liquid-fillable compartment 52. For example, prior to use of liquid-Tillable radiation protection garment 32, a liquid may be introduced into one or more liquid-fillable compartments 52 via tube opening 56 and tube 54. When liquid layer section 50 is to be emptied, or when liquid in a liquid-Tillable compartment 52 is withdrawn for drinking or for another purpose, the liquid may be removed from liquid-fillable compartments 52 via tube 54 and tube opening 56. Tube 54 may be provided with one or more valves 60. Each valve 60 may be normally shut (e.g., preventing flow of liquid in either direction). In this case, a valve 60 may be opened by application of inward pressure to tube opening 56 (e.g., to introduce a liquid into liquid-fillable compartments 52 via valves 60), or by application of suction to tube opening 56 (e.g., to suck liquid out of liquid-fillable compartments 52 via valves 60) or pressure to one or more liquid-Tillable compartments 52 (e.g., to squeeze liquid out of liquid-Tillable compartments 52). In some cases, a valve 60 may be directional valve that enables substantially unimpeded flow in one direction while preventing or impeding flow in the opposite direction. In some cases, a valve 60 may be opened by insertion of a rod or tube (e.g., straw) into valve 60 via tube opening 56, by application of a lateral (e.g., lateral squeezing force) to valve 60, or by other structure that is configured to enable control over opening or closing of a valve 60. For example, in some cases, a valve 60 (such as valve 60a) may be provided to control flow of liquid into or out of tube 54 via tube opening 56. In some cases, a valve 60 may be located between liquid-permeable segments 58 that connect to different liquid-fillable compartments 52. For example, such valves 60 may be utilized to selectively fill or withdraw liquid from one or more selected liquid-fillable compartments 52. Use of water to fill liquid bag 38 may be advantageous. Water may be effective at attenuating radiation in the space environment. Water also serves multiple purposes and is necessary for manned space missions. Its dual usage as a radiation attenuating material is favorable from a payload perspective. Water is always included in crew modules of space vehicles and in space suits. A sequined radiation protection garment, such as inner sequined radiation protection garment 30a, middle sequined radiation protection garment 30b, or another sequined radiation protection garment, may be configured to attenuate radiation while enabling flexibility. The flexibility may be sufficient to enable user 11 to bend so as not impede user 11 in the performance of a one or more anticipated tasks. The sequined radiation protection garment may include one or more flexible sheets of sequins. As used herein, a sequin refers to any solid object that may be incorporated with a plurality of similar objects into a garment. For example, the sequins may include objects that are similar to buttons, medallions, beads, studs, naps, or similar objects. FIG. 6A schematically illustrates a sheet of the sequin shield element garment layer shown in FIG. 5A. Sequin sheet 40 includes a flexible sequin substrate 42 to which a plurality of shield sequins 44 are attached. For example, flexible sequin substrate 42 may consist of a natural or synthetic fabric. For example, flexible sequin substrate 42 may include a woven or otherwise produced fabric that enables at least limited passage of air or gasses. Alternatively or in addition, flexible sequin substrate 42 may include a film, foam, or other flexible material. Flexible sequin substrate 42 may include a polyethylene fabric. Alternatively or in addition, flexible sequin substrate 42 may include a polymeric fabric, such as polychloroprene (e.g. neoprene), polypropylene, aramid fiber, rayon, nylon, or another polymeric fabric. Flexible sequin substrate 42 may be in the form of a continuous sheet to which shield sequins 44 are attached. For example, shield sequins 44 may be attached to flexible sequin substrate 42 by tying on, sewing or weaving, glue or other adhesive, welding, magnets, staples, screws, rivets, clips, or otherwise. Alternatively or in addition, flexible sequin substrate 42 may be in the form of webbing that connects edges of shield sequins 44 to one another. For example, flexible sequin substrate 42 may be attached to edges of shield sequins 44 by sewing, adhesive, welding, or otherwise. Shield sequins 44 may be sufficiently rigid so as not to bend during typical activity of a person wearing a garment that includes sequin sheet 40. Substantially all flexibility of sequin sheet 40 may be provided by flexible sequin substrate 42. Shield sequins 44 may be hexagonally shaped as shown. Alternatively or in addition, some or all shield sequins 44 may be otherwise shaped (e.g., square, rectangular, triangular, polygonal, circular, oval, or another shape). In some cases, hexagonally shaped shield sequins 44 may enable an optimum balance between coverage of sequin sheet 40 by shield sequins 44 and flexibility of sequin sheet 40. Each shield sequin 44 is configured to attenuate one or more types of ionizing radiation. For example, shield sequins 44 may be configured to attenuate radiation in the form of energetic particles. Thus, shield sequins 44 may include a solid material with a high density of light nuclei, such as high-density polyethylene. Alternatively or in addition, may include another solid polymer, hydrocarbon, or other material with a high density of light. In some cases, shield sequins 44 may be configured to attenuate radiation in the form of high energy photons. In this case, shield sequins 44 may include a heavy metal or other material with a high atomic number. In some cases, shield sequins 44 may be configured to attenuate both particulate and photonic radiation. For example, shield sequins 44 may include metallic powder or particles that are embedded in a solid polymer. A sequined radiation protection garment, such as inner sequined radiation protection garment 30a or middle sequined radiation protection garment 30b, may include two or more layered sequin sheets 40. FIG. 6B schematically illustrates multiply layered sheets of the sequin shield element garment layer shown in FIG. 5A. A section of sequined radiation protection garment 30 includes a plurality of layered sequin sheets 40. Each sequin sheet 40 that overlies another sequin sheet 40 may be connected to that underlying sequin sheet 40 at one or more edges of the overlying sequin sheet 40. The connection of an overlying sequin sheet 40 to an underlying sequin sheet 40 may enable the overlying sequin sheet 40 to slide over the underlying sequin sheet 40. For example, faces of shield sequins 44 may be smooth or coated with a nonstick or low-friction material to facilitate to movement between adjacent layered sequin sheets 40. Such materials may include, for example, polytetrafluoroethylene, polyamide-imide, nylon 6-6, nylon 4-6, graphite, graphite powder, acetal homopolymer, carbon fiber, or another friction-reducing material. Alternatively or in addition, edges of shield sequins 44 may be rounded to prevent the shield sequins 44 of adjacent layered sequin sheets 40 from catching on one another. For example, when intended for use on a planet surface or other environment with natural or artificial gravity, a top edge of the overlying sequin sheet 40 may be sewn or otherwise attached (e.g., stapled, glued, zipped, snapped, buttoned, clipped, or otherwise attached) to the underlying sequin sheet 40. Thus, the overlying sequin sheet 40 may be draped over the underlying sequin sheet 40. Thus, a free, unattached end of the overlying sequin sheet 40 may be free to slide over the underlying sequin sheet 40, e.g., when sequined radiation protection garment 30 is arched, folded, or otherwise flexed or bent. When intended for use under weightless conditions, more than one edge of the overlying sequined radiation protection garment 30 may be sewn or otherwise attached to the underlying sequin sheet 40. For example, in order to enable relative movement between adjacent layered sequin sheets 40, one or more edges of the overlying sequin sheet 40 may be elastically attached to the underlying sequin sheet 40. For example, an edge of the overlying sequin sheet 40 may be sewn, tethered, tied or otherwise attached to the underlying sequin sheet 40 via one or more elastic threads, bands, or other elastic connection. Sequin sheets 40 may be layered in order to ensure that radiation protection is provided to all surface regions of a person that are covered by sequined radiation protection garment 30. For example, a shield sequin 44 of an overlying sequin sheet 40 may overlie gap 46 between adjacent shield sequins 44 of an underlying sequin sheet 40. In this manner, all gaps 46 in underlying layered sequin sheets 40 may be covered by one or more shield sequins 44 of one or more overlying sequin sheets 40. In some cases, the number of layered sequin sheets 40 in a section of sequined radiation protection garment 30 may be determined by a degree of protection (quantified by an amount of attenuation) that is to be provided for a surface region of a person's body that the section is configured to cover. For example, a section of sequined radiation protection garment 30 that is configured to cover a body region that is more sensitive to ionizing radiation may include more layered sequin sheets 40 than a section that is configured to cover a body region that is less sensitive to the radiation. Layered sequin sheets 40 of sequined radiation protection garment 30 may be configured to slide over one another when sequined radiation protection garment 30 is bent. FIG. 6C schematically illustrates bending of the sequin shield element garment layer shown in FIG. 6B. In the example shown, overlying sequin sheet 40b overlies underlying sequin sheet 40a (plus additional intervening sequin sheets 40). Sequined radiation protection garment 30 is bent such that a surface of sequined radiation protection garment 30 that is closest to overlying sequin sheet 40b is bent convexly. During the bending, overlying sequin sheet 40b may slide relative to underlying sequin sheet 40a in the direction indicated by arrow 48 (e.g., toward an edge of overlying sequin sheet 40b that is attached to underlying sequin sheet 40a). When bending in the opposite direction, the surface of sequined radiation protection garment 30 that is closest to overlying sequin sheet 40b is bent concavely. During this opposite bending, overlying sequin sheet 40b may slide relative to underlying sequin sheet 40a opposite the direction indicated by arrow 48 (e.g., in a direction away from an edge of overlying sequin sheet 40b that is attached to underlying sequin sheet 40a). A liquid-fillable radiation protection garment 32, e.g., that is configured to be worn over sequined radiation protection garment 30 or otherwise, may be configured to enable bending of a person wearing liquid-fillable radiation protection garment 32. FIG. 7 schematically illustrates bending of the garment layer with liquid shield elements of the radiation protection device shown in FIG. 5C. When liquid-Tillable radiation protection garment 32 is bent as shown, concave liquid-Tillable garment section 32a is compressed in the direction indicated by compression arrows 50a while convex liquid-Tillable garment section 32b is stretched in the direction indicated by stretching arrows 50a. When concave liquid-Tillable garment section 32a is compressed, bag holders 34a (each filled with a liquid bag 38) in concave liquid-fillable garment section 32a are pressed together in the direction indicated by compression arrows 50a so as to bulge outward. Similarly, when convex liquid-fillable garment section 32b is stretched, bag holders 34b in convex liquid-fillable garment section 32b are pulled away from one another in the direction indicated by stretching arrows 50b. When so pulled apart, the outer sides of bag holders 34b may be sucked inward (reducing their curvature). A radiation protection device in accordance with an embodiment of the present invention may be provided as part of applying a method for preventing diseases that may be induced by exposure to radiation, or to which a person may be predisposed due to exposure to radiation. For example, the method may be applied to reduce the likelihood of malignancies. In particular, the method may be applied to reduce the likelihood a condition such as a cancer of the hematologic progenitor cells, leukemia, depressed immune system, or radiation sickness. FIG. 8 is a flowchart depicting a method for preventing a radiation-related condition in a living body, in accordance with an embodiment of the present invention. It should be understood with respect to any flowchart referenced herein that the division of the illustrated method into discrete operations represented by blocks of the flowchart has been selected for convenience and clarity only. Alternative division of the illustrated method into discrete operations is possible with equivalent results. Such alternative division of the illustrated method into discrete operations should be understood as representing other embodiments of the illustrated method. Similarly, it should be understood that, unless indicated otherwise, the illustrated order of execution of the operations represented by blocks of any flowchart referenced herein has been selected for convenience and clarity only. Operations of the illustrated method may be executed in an alternative order, or concurrently, with equivalent results. Such reordering of operations of the illustrated method should be understood as representing other embodiments of the illustrated method. Operations of radiation protection method 100 may be executed by a person who is designing or assembling a radiation protection device, in accordance with an embodiment of the present invention. For example, the radiation protection device may be designed for a particular person for use under one or more predetermined conditions. Radiation protection requirements for a person (e.g., a maximum allowable radiation dose or exposure) may be determined by one or more characteristics of the person to be protected. The predetermined conditions may be related to anticipated locations of the person and anticipated activities of the person at the anticipated locations. A required total attenuation (AR) may be determined (block 110). The required total attenuation may vary according to the use for which the radiation protection device is intended. For example, when intended for continued use involving lengthy exposure to radiation, AR may be relatively high. Such a configuration may be applicable to first responders who remain in disaster zones and to interplanetary space travel. When intended for short term use, AR may be relatively low. Such a configuration may be applicable to individuals being evacuated from disaster zones. The determination of AR may be such that a radiation-induced condition is prevented under an anticipated exposure of the person to radiation. For example, the determination of AR may be such that the surviving volume of active bone marrow is sufficient to allow for hematopoietic reconstitution after exposure. For example, this volume may range between 23 cm3 and 58 cm3 of active marrow, depending on the size of the individual. In some cases, AR may be calculated by A R ≥ D U D V ,where DU is the unprotected radiation dose and DV is the dose at which the required percent viability of bone marrow is the required volume for hematopoietic reconstitution. For example, if the intended use requires exposure to 1000 rad/hour for one hour (DU=1000 rad), and the radiation protection device protects in a substantially uniform manner 150 cm3 of active bone marrow, and 41 cm3 of bone marrow is required to survive, then the level of protection is required to be 27%. A maximum allowable dose for 27% survival of bone marrow cells may be 200 rad (DV). Thus, AR for this case is 5. A required attenuation by the radiation protection device (AD) may be calculated (block 120). For example, various imaging technologies (e.g., computed tomography, magnetic resonance imaging, or other imaging) may be used to determine the nature of tissue that surrounds an interior region of the body that is to be protected. For example, the analysis may be particular to a particular person, or may be based on a population of similar people. Based on the characteristics (e.g., composition and dimensions) of surrounding tissue, tissue attenuation AT may be determined. The attenuation AD may then be determined from AR and AT (for a point at coordinates x, y, z) by A D ⁡ ( x , y , z ) = A R A T . In the above example, where AR is calculated to be 5 and if AT is determined to be 2, AD may be required to be 2.5. FIG. 9A schematically illustrates a front part of a map of self shielding by body tissue of radiation sensitive interior regions in a person, for use in design of a radiation protection device in accordance with an embodiment of the present invention. FIG. 9B schematically illustrates a rear part of the self-shielding map shown in FIG. 9A. Self-shielding map 70 indicates the areal density of shielding (e.g., in units of g/cm2 as indicated by legend 72) that is provided by body tissue of a person to one or more radiation sensitive interior regions within the person's body. In the example, shown, the radiation sensitive interior regions include the ovaries, stomach, colon, glandular breast tissue, hematopoietic stem cells of the iliac crest, and tissue resident stem cells in the distal airways of the lungs. For example, self-shielding densities of self-shielding map 70 may be calculated on the basis of one or more of measurements on human bodies, measurements on phantoms, measurements on animals, simulations, calculations based on a model, or another technique for determining self-shielding by human tissue. Other quantities may be used to indicate self-shielding by human tissue (e.g., transmission, attenuation, or another quantity indicative of self-shielding by tissue). For example, self-shielding to the radiation-sensitive interior regions may be determined by tracing rays that originate from the radiation-sensitive interior regions to the surface of the body. The local distance and density of the tissue (e.g., bone, muscle, adipose, or other tissue) that is traversed by each ray may be multiplied and integrated along the path of the ray. A radiation protection device is provided that provides the calculated device attenuation (block 130). In some cases the required thickness of shielding (e.g., number or size of shield elements) that cover that interior region may be calculated as ln(AD)/μ, where μ is the linear attenuation coefficient (e.g., in units of cm−1). In some cases, e.g., where radiation scattering significantly contributes to the attenuated radiation, other factors (e.g., a buildup factor or other factor) may be taken into account when calculating the required thickness. FIG. 10A schematically illustrates a map of a distribution of radiation shielding on a front of a radiation protection device that is designed in consideration of the self-shielding map shown in FIG. 9A. FIG. 10B schematically illustrates a map of a distribution of radiation shielding on a rear of a radiation protection device that is designed in consideration of the self-shielding map shown in FIG. 9B. Device shielding map 74 indicates the areal density of shielding (e.g., in units of g/cm2 as indicated by legend 72) that is provided by a radiation protection device when worn by a person. For example, shielding densities of device shielding map 74 may be calculated on the basis of one or more of a design of the radiation protection device, measurements (e.g., of radiation transmission) of a radiation protection device, or another technique for determining shielding by a radiation protection device. Other quantities may be used to indicate shielding by a radiation protection device (e.g., transmission, attenuation, or another quantity indicative of shielding by the radiation protection device). A radiation protection device whose shielding is as indicated by device shielding map 74 may be worn on by a person whose self-shielding is as indicated by self-shielding map 70. In this case, the total shielding of sensitive interior region of the person may be found by combining the shielding that is indicated by the combination of device shielding map 74 and self-shielding map 70 (e.g., additively combining when areal density is mapped, multiplicatively when attenuation is mapped, or using another appropriate the combination technique). The total required radiation attenuation in areal density in order to achieve a desired absorbed dose reduction for a given material may be determined based on theoretical or experimentally determined values for a given spectrum of a mixed field of radiation. In order to provide a given amount of desired attenuation (e.g., equivalent to 26 g/cm2 areal density) to each radiation-sensitive interior region of the body, the thickness (e.g., areal density) of each shielding element may be selected to augment self-shielding of the radiation-sensitive interior region by the body such that for each point on the surface the areal density provided to each protected tissue/organ is at least 26 g/cm^2 by adding the areal density of self shielding plus the areal density of the shielding elements at each point. For example, if at a particular point on the surface of the body there is 20 g/cm2 of self-shielding by tissue between that point and the radiation-sensitive interior region, and if the density of the shielding elements that cover that point is 1 g/cm3, then the shielding elements at this point may be 6 cm thick with an areal density of 6 g/cm2. Therefore, the thickness of the shielding over the surface may vary widely based on the self-shielding at various points and depending on the density of the shielding elements that cover each point. A material may be selected in accordance with a type of radiation to which a person is expected to be exposed. For example, the most effective material per unit mass of shield for radiation in space (e.g., primarily energetic protons and other small nuclei from solar particle events and galactic cosmic radiation) may be provided by hydrogen. Shields of heavier elements, lead for example, while commonly used for x- or γ-ray absorption, may be less efficient per unit mass than lighter elements for absorbing energetic nuclear particles (and may contribute to the radiation by producing short-range heavy nuclear fragments and penetrating neutrons). The radiation protection device may be worn by an astronaut or another user in order to maximize the shielding thickness across the solid angle covered. A radiation protection device may be designed so as to enable movement by a person wearing the device. For example, under weightless conditions, a physical thickness of the radiation protection device, rather than the total mass may be made sufficiently small so as not to limit mobility. For example, use of graded shield elements consisting of successive layers of high density, high atomic number materials, and low density, hydrogen-rich compounds may be utilized to reduce thickness (as is sometimes used for radiation hardening of active electronic components). Radiation shielding elements of the radiation protection device may be arranged in layers, or as separate layered garments. Such layering may enable mobility of a user of the device. Furthermore, when worn as separate layered garments, different combinations of garments may be utilized under different circumstances. For example, garments to be worn may be selected in accordance with a planned activity and an anticipated exposure to radiation during that activity. Protection of various interior regions of a body of a person may be designed, or a design evaluated, using ray-tracing techniques or other techniques for calculating exposure to radiation. For example, in order to determine the ability of the radiation protection device to protect a user wearing the device, radioisotope sources, particle (e.g., proton) accelerators (e.g., designed to mimic space radiation), or other sources may be placed in a uniform pattern around an anatomically accurate human phantom. Radiation doses received at these concentrations in the presence and absence of the radiation protection device may be measured. For example, an accurate phantom may include a human skeleton with thermoluminescent dosimeters embedded in bone marrow centers and surrounded by water to simulate human tissue. The dose with and without the radiation protection device may be compared. For example, foci of protection may be designated within the body. The body may be a standard body (e.g., based on a collection of internal measurements or images, such as is available via the Visible Human Project), or may be based on interior imaging (e.g., computed tomography or magnetic resonance imaging scans) of a particular body. The foci of protection may be defined as three-dimensional coordinates of the center of masses of stem cell niches that are to be protected by the radiation protection device. Such niches may include, for example, areas within the lungs, iliac red bone marrow, and ovaries which had the highest concentrations of stem cells. For organs with bilateral stem cell niches (e.g., lungs, iliac red bone marrow, and ovaries), two foci of protection may be designated; one for each side. For some radiation types, such as those encountered in space where the energy spectrum is highly variable and the radiation field is mixed (different types of radiation may be incident simultaneously), radiation protection method 100 may be modified. The propagation of some radiation types, such as protons and alpha radiation, is characterized by a Bragg peak. The Bragg peak corresponds to path length at which there is a sharp increase in energy deposition before the end of its track length. In this case, the required total attenuation may be selected to ensure that the Bragg peak does not occur within a radiation-sensitive interior region. For example, if a spectrum of protons has a maximum energy of 100 MeV with a Bragg peak ending at 77 g/cm2 in liquid water (which has comparable stopping power to human body tissue), then the required total attenuation may be determined to be at least 77 g/cm2. If, at a particular point on the surface of the body, the self-shielding of tissue that lies between the skin surface and a radiation-sensitive interior region is 55 g/cm2, then the radiation protection device should provide 22 g/cm2 of shielding at that point. If the shielding elements are composed of liquid water with a density of 1 g/cm3, then the shielding thickness at that point would be 22 cm. The areal density values for required total attenuation, self-shielding attenuation, and shielding element attenuation may be adjusted based on the total stopping power for a specific composition of a shielding material and a type of incident radiation. The shielding elements used to shield one interior region of the body may be different from those used to shield another interior region. For example, ergonomic constraints (allowing range of motion and flexibility) may determine that denser (e.g., than water) materials should be used to shield some areas of the body in order to reduce the required thickness. Similarly, ergonomic constraints may determine the flexibility of different sections of the radiation protection device that are configured to shield different interior regions of the body. Different embodiments are disclosed herein. Features of certain embodiments may be combined with features of other embodiments; thus certain embodiments may be combinations of features of multiple embodiments. The foregoing description of the embodiments of the invention has been presented for the purposes of illustration and description. It is not intended to be exhaustive or to limit the invention to the precise form disclosed. It should be appreciated by persons skilled in the art that many modifications, variations, substitutions, changes, and equivalents are possible in light of the above teaching. It is, therefore, to be understood that the appended claims are intended to cover all such modifications and changes as fall within the true spirit of the invention. While certain features of the invention have been illustrated and described herein, many modifications, substitutions, changes, and equivalents will now occur to those of ordinary skill in the art. It is, therefore, to be understood that the appended claims are intended to cover all such modifications and changes as fall within the true spirit of the invention.
summary
053645685
summary
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to novel compounds which are useful for the separation and encapsulation of metal ions, a method of separating an encapsulating metal ions using such compounds, and metal complexes with such compounds. The present invention also relates to an improved method for reprocessing spent nuclear reactor fuel and an improved method for disposing of radioactive wastes. 2. Discussion of the Background Nuclear power offers the potential of an abundant and affordable source of energy. However, the safe disposal of radioactive wastes poses a major obstacle for the further development of the nuclear power industry, particularly in the United States. Specifically, the disposal of radioactive lanthanides present in spent radioactive fuels remains a problem (see: G. R. Choppin and J. Rydberg, Nuclear Chemistry, Pergamon, Oxford pp. 502-559, 1980, incorporated herein by reference). Typically, spent fuel rods are first cooled in the reactor for a few weeks and then transferred to a cooling basin. After storage in the cooling basin for 6-12 months, the spent fuel is either transferred to a special storage facility or sent for reprocessing. At the reprocessing plant, the spent fuel is chopped, and then the oxide fuel is extracted by leaching with boiling 6-11 M HNO.sub.3. This leaching process results in an aqueous solution which contains radioactive wastes, including lanthanide fission products, which must be removed from the elements which are to be recycled into reactor fuel, uranium and plutonium. Currently, the separation of plutonium and uranium is achieved by the solvent extraction process in which the spent fuels are dissolved in nitric acid and contacted with an organic solvent to selectively extract the desired elements. Alternatively, the uranium and plutonium may be separated by first forming UF.sub.6 and PuF.sub.6 in a molten fluoride salt eutectic and then distilling these compounds. In another process, the spent fuel may be dissolved in a salt melt and selectively extracted with another salt melt. Lastly, the metallic fuel elements can be melted or dissolved in a molten metal, such as a zinc alloy, and the strongly electropositive fission products removed as oxides after addition of a deficiency of oxygen, and the volatile fission products removed by distillation. Currently, storage in stable geological formations such as salt domes is contemplated as the preferred method for long term storage of radioactive wastes from spent fuel. Thus, all of these methods require that the separated fission products be solidified before storage. When the waste is obtained in the form of nitrates it is usually first calcined to convert the metals to oxides. Since such calcines have only a low resistance to leaching, a low heat conductivity, and can be easily disperse in air, they cannot be used as the final storage product. Thus, the emphasis has been on the development of methods for fixing the waste in borosilicate or phosphate glass. However, such methods are costly and time consuming. Further, the great number of steps increases the risk with regard to safety. Thus, there remains a need for an improved method for reprocessing radioactive wastes and chemical agents to be used in such improved methods. There also remains a need for compounds which are useful for the separation and encapsulation of metal ions. There also remains a need for a method of separating and encapsulating metal ions. There also remains a need for stable complexes of metals, in particular lanthanides, suitable for long-term storage. SUMMARY OF THE INVENTION Accordingly, it is one object of the present invention to provide novel compounds for the separation of metal ions. It is another object of the present invention to provide novel methods for separating metal ions using such compounds. It is another object of the present invention to provide novel compounds for the encapsulation of metal ions. It is another object of the present invention to provide novel compounds for the encapsulation of lanthanide metals. It is another object of the present invention to provide compounds for the encapsulation of actinide metals. It is another object of the present invention to provide novel methods for encapsulating metal ions using such compounds. It is another object of the present invention to provide novel methods for the encapsulation of lanthanide metals. It is another object of the present invention to provide novel methods for the encapsulation of radioactive lanthanide metals. It is another object of the present invention to provide novel methods for the encapsulation of actinide metals. It is another object of the present invention to provide novel methods for the encapsulation of radioactive actinide metals. It is another object of the present invention to provide stable complexes of metals suitable for long-term storage. It is another object of the present invention to provide stable complexes of lanthanide metals suitable for long-term storage. It is another object of the present invention to provide stable complexes suitable for the long-term storage of radioactive lanthanide metals. It is another object of the present invention to provide stable complexes suitable for the long-term storage of actinide metals. It is another object of the present invention to provide stable complexes suitable for the long-term storage of radioactive actinide metals. It is another object of the present invention to provide a novel method for reprocessing spent nuclear reactor fuel. It is another object of the present invention to provide a novel method for disposing of radioactive wastes. It is another object of the present invention to provide novel glasses suitable for the long-term storage of metals. It is another object of the present invention to provide novel mixed valence tungsten "bronze" materials suitable for the long-term storage of metals. These and other objects, which will become apparent during the following detailed description, have been achieved by the inventors' discovery that the polyoxometallate anions of the formula (I): EQU [DA.sub.5 M.sub.30-x O.sub.110-x (M'L).sub.x ].sup.m- (I) in which D is Na.sup.+, Ca.sup.+2 or an ion of similar size; M is W.sup.6+, W.sup.5+, or mixtures thereof; M' is any metallic element from groups 2 to 15 of the periodic table; L is O.sup.2-, OH.sup.-, H.sub.2 O or another suitable ligand, A is P, As, Sb, Si, Ge, or combinations thereof; x is 0-10; and m is the charge based on the valence states of the atoms, typically 10-20, react selectively with the ions Z.sup.n+ to afford an anion of formula (II): EQU [ZA.sub.5 M.sub.30-x O.sub.110-x (M'L).sub.x ].sup.(m+1-n)- (II) in which X=Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, Lu, Y, or Bi, when n=3, and Z=Ce, U, Np, Pu, or Am, when n=4, and that the compounds of formula II may be vitrified to form glasses which are stable and suitable for long-term storage of the metal Z.
040102874
abstract
An improved process for producing porous spheroidal particles consisting of a metal carbide phase dispersed within a carbon matrix is described. According to the invention metal-loaded ion-exchange resin microspheres which have been carbonized are coated with a buffer carbon layer prior to conversion of the oxide to carbide in order to maintain porosity and avoid other adverse sintering effects.
summary
041464303
abstract
A flow baffling arrangement for the core of a nuclear reactor. A plurality of core formers are aligned with the grids of the core fuel assemblies such that the high pressure drop areas in the core are at the same elevations as the high pressure drop areas about the core periphery. The arrangement minimizes core bypass flow, maintains cooling of the structure surrounding the core, and allows the utilization of alternative beneficial components such as neutron reflectors positioned near the core.
claims
1. A multi-segment fuel rod for use in a nuclear reactor, the multi-segment rod comprising:a plurality of rod segments,the rod segments being removably mated to each other in an axial direction,the rod segments being individually cladded, andthe rod segments forming a continuous multi-segment rod having continuous cladding in the axial direction when mated in the axial direction;at least one containment assembly disposed within at least one of the rod segments; andat least one irradiation target sealed within the at least one containment assembly so as to provide double containment for the at least one irradiation target and products formed from the at least one irradiation target when exposed to a neutron flux, the at least one containment assembly housing isotopes, the isotopes only having an atomic number greater than 3 and less than 90. 2. The multi-segment fuel rod of claim 1, wherein at least one of the plurality of rod segments not containing the at least one irradiation target contains nuclear fuel. 3. The multi-segment fuel rod of claim 1, wherein at least one of the plurality of rod segments contains a plurality of the at least one irradiation target. 4. The multi-segment fuel rod of claim 3, further comprising:a plurality of containment assemblies disposed within the at least one of the rod segments, whereinthe plurality of irradiation targets include a first irradiation target and a second irradiation target, the first and the second irradiation targets being different elements from each other and producing different isotopes from each other when irradiated under a neutron flux, andthe first and the second irradiation targets are placed in different containment assemblies of the plurality of containment assemblies. 5. The multi-segment fuel rod of claim 1, whereinthe at least one containment assembly comprises:a first end,a second end, andan end cap configured to attach to at least one of the first and the second end to seal the at least one irradiation target inside of the at least one containment assembly. 6. The multi-segment fuel rod of claim 5, wherein the at least one containment assembly includes exterior indicia indicating the at least one irradiation target contained therein. 7. The multi-segment fuel rod of claim 1, wherein the rod segments are removably mated by at least one of an adaptor plug and receptor, a screw and threaded opening, and a tang and receptor. 8. The multi-segment rod of claim 1, wherein the at least one irradiation target is Cobalt-59 that becomes Cobalt-60 when exposed to neutron flux. 9. A fuel bundle for use in a nuclear reactor, the fuel bundle comprising:an upper tie plate;a lower tie plate;a plurality of rod segments removably attached to and between the upper and the lower tie plate and to each other, each of the rod segments being cladded along its entire length so as to form a continuously cladded multi-segment rod;at least one containment assembly disposed within at least one of the rod segments; andat least one irradiation target sealed within the at least one containment assembly so as to provide double containment for the at least one irradiation target and products formed from the at least one irradiation target when exposed to a neutron flux, the at least one containment assembly housing isotopes, the isotopes only having an atomic number greater than 3 and less than 90. 10. The fuel bundle of claim 9, wherein the multi-segment rod includes a top rod segment removably attached to the upper tie plate, a bottom rod segment removably attached to the lower tie plate, and remaining rod segments attached between the top and bottom rod segments. 11. The fuel bundle of claim 10, wherein the top rod segment is an adaptor subassembly containing no irradiation target and wherein the bottom rod segment is an adaptor subassembly containing no irradiation target.
summary
summary
abstract
A process for optimizing maintenance work schedules for at least one engine includes the steps of retrieving at least one set of data for an engine from a computer readable storage medium; selecting at least one scheduling parameter for the engine; selecting a set of maintenance rules for the engine; selecting at least one maintenance work decision; selecting at least one objective for the engine; optimizing the at least one objective to generate at least one optimal maintenance work decision; and generating at least one optimal maintenance work schedule for the engine.
description
1. Field The embodiments described below relate generally to the delivery of therapeutic radiation to a patient. More specifically, some embodiments are directed to treatment verification systems used in conjunction with such delivery. 2. Description According to conventional radiation treatment, a radiation beam is directed toward a tumor located within a patient. The radiation beam delivers a predetermined dose of therapeutic radiation to the tumor according to a pre-established treatment plan. The delivered radiation kills cells of the tumor by causing ionizations within the cells. Radiation treatment plans are designed to maximize radiation delivered to a target while minimizing radiation delivered to healthy tissue. These goals might not be achieved if the radiation is not delivered exactly as required by the treatment plan. More specifically, errors in radiation delivery can result in low irradiation of tumors and high irradiation of sensitive healthy tissue. The potential for mis-irradiation increases with increased delivery errors. Delivery errors may arise from many sources. For example, a patient position may vary from that required by a treatment plan, internal patient anatomy may be displaced with respect to external visible markers, and/or characteristics (e.g., flatness, symmetry and penumbra) of the delivered radiation beam may not match beam characteristics on which the treatment plan is based. Devices used to shape the radiation beam may provide another potential source of errors. Generally, incorrect positioning of beam-shaping devices may result in a radiation field that is not shaped as required by a treatment plan. For example, a treatment plan may specify a degree of rotation for a multi-leaf collimator used to shape a radiation beam, and may also specify particular positions for each jaw and leaf contained therein. Any deviance between the actual rotation/positions and the specified rotation/position may result in delivery errors. Unexpected radiation leakage around or between the jaws/leaves may also result in errors. Quality assurance procedures are typically performed periodically and/or prior to radiation treatment in order to detect and correct potential radiation delivery errors. These procedures are particularly time-consuming and often inefficient. Delivery errors may also be identified after treatment, in which case a next fraction may be modified in an attempt to account for the errors. The latter approach is particularly troublesome, as accidental delivery of radiation to sensitive tissues obviously cannot be undone. In view of the foregoing, what is needed is a system to efficiently identify potential delivery errors. It is further desirable to identify such errors during radiation treatment so that treatment may be suspended and/or modified. To address at least the foregoing, some embodiments provide a system, method, apparatus, and means to deliver treatment radiation to a target, acquire an image representing the treatment radiation during delivery of the treatment radiation, determine a position of a leaf of a collimator during delivery of the treatment radiation based on the image, and present a notification of an error during delivery of the treatment radiation based on the determined position. According to some aspects, delivery of the treatment radiation is suspended based on the determined position. In further aspects, the image is rotated based on a rotation of the collimator specified by a treatment plan, and it is determined whether the collimator conforms to the specified rotation based on the rotated image. Determination of the leaf position, according to some aspects, may include determination of an image intensity profile representing an area of the image associated with the leaf, determination of a second derivative of the image intensity profile, and determination of the position of the leaf based on a zero crossing of the determined second derivative. Some aspects may include identification of each of a plurality of pixels of the image as a shadow pixel or an exposed pixel based on a treatment plan, and determination of a plurality of the plurality of pixels which are incorrectly identified as a shadow pixel or an exposed pixel based on a threshold pixel value. Aspects may also or alternatively include identification of a first area of the image associated with the leaf, identification of a second area of the image associated with a second leaf opposing the first leaf, and comparison of a statistical distribution of pixel values of the first area with a statistical distribution of pixel values of the second area. The claims are not limited to the disclosed embodiments, however, as those in the art can readily adapt the description herein to create other embodiments and applications. The following description is provided to enable any person in the art to make and use the described embodiments and sets forth the best mode contemplated by the inventor for carrying out the described embodiments. Various modifications, however, will remain readily apparent to those in the art. FIG. 1 illustrates radiation treatment room 100 pursuant to some embodiments. Radiation treatment room 100 includes linear accelerator (linac) 110, table 120 and operator console 130. The elements of radiation treatment room 100 may be used to deliver radiation to a target volume of beam object 140. In this regard, beam object 140 may comprise a patient positioned to receive radiation according to a radiation treatment plan. The elements of treatment room 100 may be employed in other applications according to some embodiments. Linac 110 generates and emits the radiation, and is primarily composed of treatment head 111 and gantry 112. Treatment head 11 includes a beam-emitting device (not shown) for emitting a radiation beam used during calibration, verification, and/or treatment. The radiation beam may comprise electron, photon or any other type of radiation. According to some embodiments, the radiation beam exhibits energies in the megavoltage range (i.e. >1 MeV) and may therefore be referred to as megavoltage radiation. Also included within treatment head 111 is a beam-shielding device, or collimator, for shaping the beam and for shielding sensitive surfaces from the beam. The collimator may be rotated and various elements of the collimator may be positioned according to a treatment plan. Details of treatment head 111 according to some embodiments will be described below with respect to FIG. 2. Treatment head 111 is coupled to a projection of gantry 112. Gantry 112 is rotatable around gantry axis 113 before, during and after radiation treatment. As indicated by arrow 114, gantry 112 may rotate clockwise or counter-clockwise according to some embodiments. Rotation of gantry 112 serves to rotate treatment head 111 around axis 113. During radiation treatment, a radiation beam is emitted from treatment head 111 as a divergent beam. The beam is emitted towards an isocenter of linac 110. The isocenter is located at the intersection of beam axis 115 and gantry axis 113. Due to divergence of the radiation beam and the shaping of the beam by the aforementioned beam-shaping devices, the beam may deliver radiation to a volume of beam object 140 rather than only to the isocenter. Table 120 supports beam object 140 during radiation treatment. Table 120 may be adjustable to assist in positioning a treatment area of beam object 140 at the isocenter of linac 110. Table 120 may also be used to support devices used for such positioning, for calibration and/or for verification. Imaging device 116 may acquire images before, during and/or after radiation treatment. For example, imaging device 116 may be used to acquire images for verification and recordation of a target volume position and of an internal patient portal to which radiation is delivered. According to some embodiments, an imaging device is additionally or alternatively located between treatment head 111 and object 140. Such an imaging device may acquire an image representing radiation emitted from treatment head 111 before the radiation is attenuated by beam object 140. Imaging device 116 may be attached to gantry 112 in any manner, including via extendible and retractable housing 117. Rotation of gantry 112 may cause treatment head 111 and imaging device 116 to rotate around the isocenter such that isocenter remains located between treatment head 111 and imaging device 116 during the rotation. Imaging device 116 may comprise any system to acquire an image based on received megavoltage photon radiation. In a case that linac 110 is capable of producing kilovoltage photon radiation via beamline modification or other techniques, imaging device 116 may also acquire images based on such kilovoltage radiation. In some embodiments, imaging device 116 is a flat-panel imaging device using a scintillator layer and solid-state amorphous silicon photodiodes deployed in a two-dimensional array. In operation, the scintillator layer receives photons and generates light in proportion to the intensity of the received photons. The array of photodiodes receives the light and records the intensity of received light as stored electrical charge. In other embodiments, imaging device 116 converts received photons to electrical charge without requiring a scintillator layer. The photons are absorbed directly by an array of amorphous selenium photoconductors. The photoconductors convert the photons directly to stored electrical charge. Imaging device 116 may also comprise a CCD or tube-based camera. Such an imaging device may include a light-proof housing within which are disposed a scintillator, a mirror, and a camera. The charge developed and stored by imaging device 116 represents radiation intensities at each location of a radiation field produced by a beam emitted from treatment head 111. Since object 140 is located between treatment head and imaging device 116, the radiation intensity at a particular location represents the attenuative properties of tissues along a divergent line between a radiation source in treatment head 111 and the particular location. The set of radiation intensities acquired by imaging device 116 may therefore comprise a two-dimensional projection image of these tissues. Operator console 130 includes input device 131 for receiving instructions from an operator and output device 132, which may be a monitor for presenting operational parameters of linac 110 and imaging device 116, interfaces for receiving operator instructions, and/or operator alerts. According to some embodiments, output device 132 may present an alert notifying an operator of an error during treatment delivery. Input device 131 and output device 132 are coupled to processor 133 and storage 134. Processor 133 may execute program code to perform any of the determinations and generations described herein, and/or to cause linac 110 to perform any of the process steps described herein. Storage 134 may also store program code to generate and/or modify a treatment plan according to some embodiments. Such code may comprise the COHERENCE™ workspace or the KONRAD™ treatment planning system sold by Siemens Medical Solutions. Accordingly, storage 134 may also store radiation treatment plans in accordance with any currently- or hereafter-known format. The treatment plans may comprise scripts that are automatically executable by elements of room 100 to provide radiation therapy fractions. Each fraction of each treatment plan may require a patient to be positioned in a particular manner with respect to treatment head 111. Operator console 130 may be in a room other than treatment room 100, in order to protect its operator from radiation. For example, treatment room 100 may be heavily shielded, such as a concrete vault, to shield the operator from radiation generated by linac 110. FIG. 4 illustrates treatment head 111 according to some embodiments. Treatment head 111 includes collimator 200 that may be used to conform a radiation beam to a target shape. Collimator 200 includes the pair of jaws (Y-jaws) 210 and 220 generally disposed parallel to axis y, and the pair of jaws (X-jaws) 230 and 240 generally disposed parallel to axis x. X-jaws 230, 240 are located between Y-jaws 210, 220 and object 140. The positioning of X-jaws 230, 240 and Y-jaws 210, 220 determines a size and shape of an opening through which a radiation beam may pass along axis 115. Each of X-jaws 230, 240 and Y-jaws 210, 220 are formed of radiation attenuating material. In one embodiment, the jaws are formed of material that has x-ray transmission characteristics of less than 1%, including but not limited to tungsten. According to some embodiments, Y-jaws 210, 220 may be independently moved toward and away from one another, and X-jaws 230, 240 may also be independently moved toward and away from one another. Also, in some embodiments, X-jaws 230, 240 and Y-jaws 210, 220 are independently rotatable about axis 115. As depicted in FIG. 2, X-jaws 230, 240 may be formed of a plurality of individual elements, or leaves. Each of these leaves may be independently movable along a path intersecting axis 115. Movement of each leaf may be individually controlled according to a treatment plan in order to direct a particularly-shaped beam at a target. Treatment head 111 also includes accessory tray 250. Accessory tray 250 may be configured to receive and securely hold attachments used during the course of treatment planning and treatment (such as, for example, reticles, wedges, or the like). According to some embodiments, treatment head 111 is rotatable to rotate collimator 200 and accessory tray 250 around axis 115 while maintaining the existing physical relationships between X-jaws 210, 220 and Y-jaws 230, 240, and accessory tray 250. FIG. 3 is a block diagram of elements of treatment room 100 according to some embodiments. As shown, operator console 130 includes several elements for interfacing with other elements of treatment room 100. Specifically, operator console 130 includes collimator interface 305, beam interface 310, gantry interface 315, table interface 320, and imaging device interface 325. Operator console 130 may be implemented by one or more separate computing systems. Collimator interface 305 may be used to control the opening and closing of each of jaws 210 through 240, the independent rotation of each pair of jaws, and/or the rotation of collimator 200. As described above, this control may be based on parameters specified by a radiation treatment plan. Beam interface 310 may control beam-generating elements of linac 110 based on desired beam characteristics. In particular, beam interface 310 may controls signals to generate a radiation beam having particular radiation energy. Interfaces 315 through 325 may comprise dedicated hardware and/or software interfaces, and one or more of interfaces 315 through 325 may reside in processor 133. One or more of interfaces 315 through 325 may be implemented by a single interface. For example, interfaces 305 through 310 may be implemented by a single Ethernet interface and interfaces 315 and 325 may be implemented by proprietary interfaces for interfacing with table 120 and imaging device 116. Operator console 130 also includes processor 133 and storage 134. Processor 133 may execute processor-executable program code stored in storage 134 to provide some or all of the functionality described herein. In this regard, storage 134 stores processor-executable process steps of system control application 333. System control application 330 may comprise processor-executable program code to implement process steps described herein. System control application 330 may also comprise program code to generate and/or modify a treatment plan according to some embodiments. In this regard, system control application 330 may comprise the COHERENCE™ workspace or the KONRAD™ treatment planning system sold by Siemens Medical Solutions. Storage may also store treatment plans 335 in accordance with any currently- or hereafter-known format. Treatment plans 335 may comprise scripts that are automatically executable by linac 110 and treatment table 140 to provide radiation therapy fractions. Each of treatment plans 335 may require a patient to be positioned in a particular manner with respect to treatment head 111, collimator 200 to be rotated to a particular degree, and each element of jaws 210 through 240 to be positioned in a particular manner. A hardware environment according to some embodiments may include less or more elements than those shown in FIGS. 1 through 3. In addition, embodiments are not limited to the devices and/or to the illustrated environment. FIG. 4 is a flow diagram of a process according to some embodiments. Process 400 and the other processes described herein may be performed using any suitable combination of hardware, software or manual means. Software embodying these processes may be stored by any medium, including a fixed disk, a floppy disk, a CD-ROM, a DVD-ROM, a Zip™ disk, a magnetic tape, or a signal. Examples of these processes will be described below with respect to the elements of treatment room 100, but embodiments are not limited thereto. Process 400 may be performed after a patient has been placed on a treatment table and is awaiting treatment. In some embodiments, process 400 is preceded by acquisition of correction images for performing corrections on images acquired by imaging device 116. These corrections may comprise offset correction to account for dark current effects, gain correction to account for variations in pixel sensitivity, and dead pixel correction to account for malfunctioning pixels. Initially, treatment radiation is delivered to a target at S401. The treatment radiation may be delivered in accordance with a pre-established radiation treatment plan. According to some embodiments of S401, gantry 112 may be rotated to a position specified by a treatment plan, collimator 200 may be rotated according to the treatment plan, and jaws 210 through 240 may be positioned according to the treatment plan. Beam control 118 then operates to cause linac 110 to generate a radiation beam having an energy and characteristics specified by the treatment plan. Next, at S402, an image is acquired representing the treatment radiation. The image is acquired during delivery of the treatment radiation. For purposes of the present example, it will be assumed that the image is acquired at S402 by an imaging device located between treatment head 111 and beam object 140. Accordingly, the acquired image is a two-dimensional representation of the energy fluence entering beam object 140. Each pixel of the acquired image represents the radiation intensity at a corresponding location of the energy fluence. Some embodiments will be described below in which the acquired image is a portal image acquired by imaging device 116. A position of a collimator leaf is determined based on the acquired image at S403. The position of the collimator leaf is determined in some embodiments by obtaining an x-coordinate associated with the leaf and identifying a y-coordinate at which the image transitions from light to shadow. Detailed examples of such a determination according to some embodiments are provided below. Some embodiments of S403 comprise determining the position of jaws 210, 220 (i.e., in terms of x-coordinates) and the position of each leaf of jaws 230, 240. At S404, it is determined whether the determined position is in error. The determined position is compared with a position of the leaf specified by the treatment plan in some embodiments of S404. Some embodiments of S404 compare all the positions of the jaws and leaves determined at S403 with their respective planned positions, and determine an error based on whether the comparison indicates an acceptable level of disparity between the determined positions and the planned positions. Flow returns to S401 and continues as described above if the position is determined to not be in error at S404. Accordingly, treatment radiation continues to be delivered according to a treatment plan and the position of the collimator leaf is periodically verified until the treatment plan is completed. If the determination at S404 is positive, a notification of the error is presented at S405. Notification of the error may include displaying an error message on output device 132. The error message may include details of the positioning error, and/or may display the image acquired at S402 with annotations indicating the incorrectly-positioned leaf. S405 may further include suspending delivery of the treatment radiation and waiting for operator intervention. Process 500 may also be executed by any suitable combination of hardware and software. Some embodiments of process 500 include process 400 of FIG. 4, but embodiments are not limited thereto. As described with respect to process 400, process 500 may be preceded any suitable quality assurance procedures. A treatment plan is also established prior to process 500. Treatment radiation is delivered to a target at S502 according to the treatment plan. Delivery of the radiation at S502 may proceed in some embodiments as described in conjunction with S401. An image representing the treatment radiation is acquired during delivery of the treatment radiation at S504. The acquired image may comprise a portal image acquired by imaging device 116. Due to the position of imaging device 116 shown in FIG. 1, the portal image represents radiation that was emitted from treatment head 111 and subsequently attenuated by matter disposed between treatment head 111 and device 116. Gantry 112 need not be in the position depicted in FIG. 1 during embodiments of S502 and S504. As mentioned above, gantry 112 may be rotated to an angle specified by the treatment plan. FIG. 6 is a perspective view of treatment room 100 according to some embodiments. Gantry 112 is shown rotated around axis 113 from the position of FIG. 1. However, object 140 remains between treatment head 111 and imaging device 116 so as to deliver treatment radiation to and acquire an image of object 140. An energy fluence image is determined at S506 based on the acquired image. No additional processing is necessary at S506 if the acquired image is itself an energy fluence image as described with respect to process 400. In a case that the acquired image is a portal image, the energy fluence image may be determined therefrom using any suitable known techniques. According to some techniques, contributions of patient scatter and beam hardening are initially removed from the portal image at S506. The resulting image is intended to represent only primary radiation (i.e., radiation that traveled directly from the beam source to imaging device 116). These contributions may be removed by using a previously-acquired and corrected cone beam CT image to determine the actual radiological thicknesses of structures shown in the acquired image, and removing artifacts that do not represent actual radiological thicknesses. Other techniques for removing contributions of patient scatter and beam hardening include registering the acquired image with a CT image used to develop the treatment plan and applying a Monte Carlo simulation to determine the contributions. Scatter estimation methods based on the superposition of scatter kernels may also be used to iteratively estimate the contributions of patient scatter and beam hardening. According to some embodiments, scatter may be measured directly within the shadows of beam-stopping elements that obscure the primary radiation from impinging fully on imaging device 116. After correcting for scatter and beam hardening, the portal image is processed to yield a thickness image in which each pixel value is proportional to the radiological thickness of object 140 along the ray of radiation which contributes to the pixel value. The thickness image may be constructed using a map which associates pixel values with physical electron density values for a given radiation beam. To generate such a map, a phantom is placed on table 120 and portal images thereof are acquired. The phantom includes materials having known physical electron densities (e.g., lung tissue, water, muscle, trabecular bone, dense bone). The portal images are analyzed to identify radiation intensities associated with each material. Accordingly, a mapping may be generated to associate the radiation intensities with the known physical electron densities. Next, the portal image is reverse-attenuated through the thickness image to determine the energy fluence image. The energy fluence image is a two-dimensional representation of the energy fluence entering beam object 140. FIG. 7 illustrates energy fluence image 700 according to some embodiments. Image 700 includes shadow areas representing portions of a radiation beam blocked by jaws 210, 220, leaves 231-238 of jaw 230, and leaves 241-248 of jaw 240. Image 700 also includes exposed areas 710 and 720 representing portions of a radiation beam which were substantially unimpeded during travel from treatment head 11 to object 140. The energy fluence image is rotated at S508 based on a planned collimator rotation. According to some embodiments, the planned collimator rotation is acquired from the current treatment plan of treatment plans 335. The energy fluence image is then rotated to simulate an image that would have been obtained had the collimator been set at zero degrees or another reference position. For example, image 700 of FIG. 7 represents a zero degree collimator rotation. At S510, it is determined whether the rotated image reflects the planned collimator rotation. According to some embodiments of S510, an edge detection algorithm is applied to the rotated image, followed by a transform such as the Hough transform. Next, the output of the transform is analyzed to verify that the peak values occur along the x- and y-axes (i.e., 0 and 90 degrees). Alternatively, the Radon transform may be used to map lines in the image to points. The position of these points in Radon transform space yields the orientations of the axes. If the rotated image does not reflect the planned rotation (e.g., the peak values occur at unacceptable locations), flow proceeds to S512 to alert an operator and to suspend delivery of the treatment radiation. The alert may comprise an error message displayed on output device 132 and/or an audible signal. Flow proceeds from S510 to S514 if it is determined at S510 that the rotated image reflects the planned rotation. The position of the Y-jaws is verified at S514. In some embodiments of S514, Y-jaws 210, 220 are assumed to travel along the x-axis of image 700. A value of image 700 is determined for each x-position of image 700. To account for noise or other artifacts, the value may be determined from a rank-order criterion such as the 90th percentile rank of pixel values. FIG. 8A depicts graph 800 of the determined intensities for each x-position of image 700. For example, portion 810 corresponds to area 710 of image 700 and portion 820 corresponds to area 720. The series of determined values may be smoothed with a low pass filter to reduce penumbra effects. Graph 830 of FIG. 8B shows the results of smoothing graph 800 according to some embodiments. The second derivative of the smoothed series is calculated, and, based on the treatment plan, search windows are defined which are centered at the planned positions of Y-jaws 210, 220. The Y-jaws are determined to be located at the zero crossings within the search windows. By searching only within these search windows, process 500 avoids incorrectly identifying zero crossings at positions XA and XB. Next, at S516, a set of collimator leaves is determined. The set includes those collimator leaves which are open (i.e., not touching a corresponding opposing leaf), which are in the field of view of the energy fluence image, and not obscured by the Y-jaws. The determination at S516 may be based on the positions of the leaves specified by the treatment plan and the Y-jaw positions determined at S514. In the example of FIG. 7, leaves 231-234, 236-238, 241-244, and 246-248 are determined at S516. The position of each determined leaf is then determined at S518. The determination of leaf position may proceed similarly to the determination of jaw position described at S514, albeit with respect to y-position. The determined leaf positions may be displayed in conjunction with the planned leaf positions on output device 132. At S520, it is determined whether the determined leaf positions satisfy an error threshold. The error threshold may reflect a cumulative positioning error that is deemed to be acceptable. In this regard, a distance between the determined and planned positions of each leaf may be determined, all the determined distances may be summed, and the sum may be compared with an error threshold. Flow proceeds to S522 if the positions do not satisfy an error threshold. At S522, an operator is alerted and delivery of the treatment radiation is suspended as described with respect to S512. Flow continues from S520 to S524 if the error threshold is satisfied. Each pixel of the energy fluence image is identified at S524 as a shadow pixel or an exposed pixel. According to some embodiments, the above-determined actual positions of the collimator elements are used to determine which of the pixels of the energy fluence image should reflect low radiation intensity (i.e., shadow) and which of the pixels of the energy fluence image should reflect low radiation intensity (i.e., exposed). This determination may take into account the divergence of the radiation beam. FIG. 9 is a tabular representation of data 900 for describing some embodiments of S524 through S534. For example, field 910 is populated with identifiers of each pixel of the energy fluence image and field 920 associates each pixel with a “shadow” or “exposed” flag based on the identification of S524. Field 930 also specifies an intensity value of each pixel of the energy fluence image. At S526, each pixel of the image is classified as a shadow pixel or an exposed pixel based on its respective intensity value. The intensity value of each pixel is compared with a threshold value (e.g., (avg(intensity of shadow pixels)+avg(intensity of exposed pixels))/2) to perform the classification in some embodiments. Field 940 of FIG. 9 illustrates the classification of each pixel in a case that the threshold value is 100. Field 950, in turn, indicates whether or not the identification specified in field 920 matches the classification specified in field 940 for each pixel. The threshold of the classifier may be based on a discriminant hypersurface which has been determined by a training process. Training is achieved by presenting to a machine learning algorithm the properties of pixels in the shadow regions and exposed regions as well as corresponding labels assigning each pixel to a region. As described above, these regions and region labels are identified on the basis of the determined positions of the collimator elements. The hypersurface embodies the differences in the statistical distributions of pixels in the shadow and exposed regions. Next, at S528, it is determined whether the classification of a pixel matches the identification of the pixel. A first row of field 950 is analyzed in some embodiments of S528. If the classification does not match the identification, a plan violation score is incremented at S530. Next, it is determined whether the score exceeds a threshold at S532. If so, flow returns to S522 to alert the operator and suspend treatment delivery. Flow arrives at S534 if the score threshold is not exceeded, or if the determination at S528 is positive. If more pixels exist to be evaluated at S528, flow returns to S528 to evaluate a next pixel. Flow continues to S536 from S534 if every pixel has been evaluated and the score threshold has not been exceeded. At S536, it is determined whether statistical distributions of intensities behind opposing leaves are substantially similar, as would be expected on the basis of uniformity of the radiation within a close proximity. The intensities may be obtained based on the known x-positions of each leaf and the y-positions determined at S518. Any manner of statistical distribution may be used in the comparison, including but not limited to student's t-test or a similar statistical method. Flow proceeds to S538 if the distributions are not substantially similar, and to S540 if they are substantially similar. S538 may be performed as described with respect to S512 and S522. It is determined at S540 whether statistical distributions of intensities behind closed leaves are substantially similar to the global distribution of shadow pixel values. The closed leaves may be identified from the treatment plan and/or from the energy fluence image. For example, leaves 235 and 245 of image 700 are considered closed. The global distribution of shadow values may be determined from the intensity values of field 930 that are associated with pixels classified as “shadow”. Flow continues to S538 if the determination at S540 is negative. A global mean of shadow pixel values is stored at S542. The global mean of shadow values may be determined from the intensity values of field 930 that are associated with pixels classified as “shadow”. In some embodiments, the stored global shadow mean is compared against the global shadow mean of a future segment and an alert is generated if the mean has deviated in an unexpected manner. Flow then returns to S502 and continues as described above until delivery of the treatment radiation is complete. Process 500 is performed only once per treatment segment in some embodiments, so flow may terminate after S542 according to these embodiments. Those in the art will appreciate that various adaptations and modifications of the above-described embodiments can be configured without departing from the scope and spirit of the claims. Therefore, it is to be understood that the claims may be practiced other than as specifically described herein.
summary
abstract
A process for purifying Mo-99 from an acidic solution obtained by dissolving an irradiated solid target comprising uranium in an acidic medium, or from an acidic solution comprising uranium and which has previously been irradiated in a nuclear reactor, or from an acidic solution comprising uranium and which has been used as reactor fuel in a homogeneous reactor, the process comprising contacting the acidic solution with an adsorbent comprising a zirconium oxide, zirconium hydroxide, zirconium alkoxide, zirconium halide and/or zirconium oxide halide, and eluting the Mo-99 from the adsorbent using a solution of a strong base, the eluate then being subjected to a subsequent purification process involving an alkaline-based Mo-99 chromatographic recovery step on an anion exchange material. Also provided is apparatus for carrying out the process.
claims
1. A method for determining the atomic structure of at least one tubular crystalline molecule, wherein the method comprises the following steps:obtaining an electron diffraction pattern of at least one tubular crystalline molecule;calculating at least one feature of the atomic structure and/or range of atomic structures using at least one calibration-free property of the electron diffraction pattern; andcompensating for an effect of an unexpected, unknown or otherwise uncontrolled tilt angle of the tubular crystalline molecule with respect to an electron beam. 2. The method according to claim 1, wherein the diffraction pattern is obtained from a sample of at least one tubular crystalline molecule using a transmission electron microscope. 3. The method according to claim 1, wherein the at least one tubular crystalline molecule comprises a nanotube. 4. The method according to claim 1, wherein the at least one molecule is a carbon nanotube and/or a carbon nanobud. 5. The method according to claim 1, wherein the crystal structure and/or crystal orientation of the tubular crystalline molecule is uniquely specified by at least two mathematically independent parameters. 6. The method according to claim 5, wherein the mathematical parameters uniquely specifying the nanotube or nanobud based molecule are chiral indices. 7. The method according to claim 1, wherein the calibration-free property of the diffraction pattern is the pseudo-periodicity of the diffraction intensity along a layer line and/or the distance between at least two pairs of layer lines and/or the distance between the first pair of minima in the diffraction intensity along a layer line and/or the distance between the first pair of maxima in the diffraction intensity along a layer line and/or the area under the layer line intensity curve, and/or, the inner limit of a diffraction layer cloud, and/or the out limit of the diffraction layer cloud and/or the inner limit of the gap in the diffraction layer cloud and/or the outer limit of the gap in the diffraction layer cloud. 8. The method according to claim 1, wherein the at least one calibration-free property is non-dimensionalized by dividing by at least one non-equivalent calibration-free property. 9. The method according to claim 6, wherein the chiral indices are determined by simultaneously solving at least two coupled equations which relate at least two non-dimensionalized calibration-free properties to a non-tilt-corrected chiral indices. 10. The method according to claim 8, wherein at least two calibration-free properties to be non-dimensionalized are the distances between non-equatorial layer lines and the equatorial layer line and the non-dimensionalizing calibration-free property is the pseudo-periodicity of the diffraction intensity along the equatorial layer line. 11. The method according to claim 9, wherein the non-tilt-corrected chiral indices are determined by simultaneously solving at least two coupled algebraic equations which relate the tilt-corrected chiral indices to the order of at least two Bessel functions corresponding to the vertices of at least two hexagons indexed based on a honeycomb lattice structure of the wall of the tubular crystalline molecule. 12. The method according to claim 8, wherein the order of each Bessel function describing the variation in intensity of a signal from a given layer line is determined from at least one non-dimensionalized calibration-free property. 13. The method according to claim 8, wherein the calibration-free property to be non-dimensionalized is the distance between the first pair of maxima in the diffraction intensity along at least one non-equatorial layer line and the non-dimensionalizing calibration-free property is the pseudo-periodicity of the diffraction intensity along the same layer line. 14. The method according to claim 1, wherein a non-tilt-corrected chiral indices are tilt-corrected. 15. The method according to claim 1, wherein a tilt-correction is achieved by truncating a non-tilt-corrected chiral indices to the nearest lower integer. 16. The method according to claim 1, wherein the upper or lower limit of a chiral angle in a bundle of crystalline tubular molecules is determined by non-dimensionalizing the inner limit of a diffraction layer cloud and/or the inner limit of the gap in the diffraction layer cloud by the outer limit of the diffraction layer cloud and/or the outer limit of the gap in the diffraction layer cloud and solving an equation relating the non-dimensionalized inner limit to the molecule's chiral angle to determine the maximum and/or minimum chiral angle present in the bundle. 17. A computer readable medium comprising a computer program for determining the atomic structure of at least one tubular crystalline molecule, wherein the computer program is adapted to perform the following steps when executed on a data-processing device:obtaining an electron diffraction pattern of at least one tubular crystalline molecule;calculating at least one feature of the atomic structure and/or range of atomic structures using at least one calibration-free property of the electron diffraction pattern; andcompensating for an effect of an unexpected, unknown or otherwise uncontrolled tilt angle of the tubular crystalline molecule with respect to an electron beam. 18. A device for determining the atomic structure of at least one tubular crystalline molecule, wherein the device comprises:a means for obtaining an electron diffraction pattern of at least one tubular crystalline molecule;a means for calculating at least one feature of the atomic structure and/or range of atomic structures using at least one calibration-free property of the electron diffraction pattern; andcompensating for an effect of an unexpected, unknown or otherwise uncontrolled tilt angle of the tubular crystalline molecule with respect to an electron beam. 19. The method according to claim 1, wherein the at least one tubular crystalline molecule comprises a nanotube.
description
The invention generally relates to nanocomposite materials suitable for radiation shielding. The invention further relates to methods for producing and using nanocomposite materials as shielding from ionizing radiation, particularly that used for radiology. Diagnostic radiology is a field of medicine where radiologists use non-invasive imaging scans to diagnose patients. Radiology uses techniques such as X-ray imaging in detecting and diagnosing diseases and injuries, managing the care of patients, and guiding many other forms of medical treatment. It is very important to have a periodic evaluation of doses and image quality in order to optimize the radiation protection of patients. The lowest dose of radiation possible is used to avoid or at least minimize the exposure of the patients while still achieving a diagnostic or therapeutic objective. Furthermore, it is a priority of diagnostic radiology to limit exposure of patients to radiation not only for the sake of protecting them, but to protect the radiologist, too. Awareness of radiological protection of the patients also provides occupational protection. Thus, hazardous ionizing radiation is a vital issue that requires significant actions and equipment for protection of workers and patients at medical centers. Design of radiation shielding must consider of the problems with shielding materials before selecting the appropriate material to be used for shielding. The problems range from minor to complex ones where there might be severe side effects from the use of inappropriate shielding materials in medical radiation procedure rooms. These problems can be considered in terms of shielding performances with different shielding materials. In general, shielding materials do not totally absorb x- and gamma radiation, but the thickness of the shield can significantly reduce the radiation penetration. Constant monitoring of the dose rate is required to verify shielding performance of any material. Materials selection can be optimized when selection is made in conjunction with the requirements of a specific task, thus providing the greatest potential for weight or cost savings. The choice of materials requires consideration of a variety factors, including nuclear interaction, chemical interaction, heat transfer, structural characteristics, other physical properties, and economics, which must all be applied in a strictly monitored analysis. Radiation exposure is of increasing concern to the scientific community owing to its growing applications ranging from power generation to medical uses. Ionizing radiation has significant roles in diagnostics and radiotherapy. Therefore, the benefits of using ionizing radiation for medical purposes supersede its potential risks to the human health. In this regard, there is need for radiation protection practices to ensure the radiation exposure to the occupational radiation exposure is regulated or kept as low as reasonably achievable (ALARA). To comply with the ALARA safety principle, the choice of shielding materials plays a significant role. A commonly used radiation shielding material to protect patients, radiation workers and the general public is simply a concrete wall. However, this traditional shielding material has several shortcomings, such as occupying unnecessary space and being completely opaque. Therefore, there is a need for flexible shielding materials with promising potential to protect the patient, radiation workers and members of the public from the harmful effects of radiation. In view of the above, there are already numerous efforts by scientific community to replace the use of concrete as shielding with polyester shielding materials including nanocomposite materials. Nanocomposite materials are defined as materials consisting two or more nanoparticles components of different chemical and physical properties in which the resultant materials may exhibit a unique characteristic different from the individual component. Furthermore, the nanocomposites are typically developed to obtain stronger, less expensive and lighter materials, as compared to traditional materials, such as lead or concrete. The most common nanocomposites materials employed by numerous studies, with a view to replace the traditional shields, are polymer and glass composites. In particular, the physical properties of polymers make these materials more suitable because the polymer compositions are easy to modify through simple preparation techniques. Moreover, to improve the effectiveness of radiation shielding of polymers, they can be doped with heavy metals such as MoO3, WO3, PbO and Bi2O3. For example, many studies reported that addition of Bi2O3 improves the shielding properties of the polymer due to its known gamma-ray shielding characteristics. In addition to these advantageous physical properties, polymers also exhibit high density, non-toxicity and ease of fabrication. Advantageous properties of the polymer composite, such as the ease of preparation, durability, low density and other properties make polyester composites good shielding materials against ionized medical radiation. However, polyester composites are not sufficient on their own to stop high energy photons, for instance photons of gamma rays and x-rays. Various polyester composites are known, however, very few have been shown to be adequate for radiation protection, particularly protection from high energy photons. Therefore, a need exists for improved polyesters as shielding materials. The invention is a nanocomposite material for shielding radiation from an x-ray and/or gamma radiation beam, comprising a polyester polymer and lead oxide (Pb3O4) nanopowder, wherein the lead oxide is present at a concentration of 10% to 50% by weight, and wherein the nanocomposite material is formed into a shape suitable for shielding and attenuating exposure from the x-ray and/or gamma radiation beam. In one embodiment, the nanocomposite material of claim 1, wherein the lead oxide concentration is in the range of 30% to 40%. In another embodiment, the nanocomposite material of claim 1, wherein the lead oxide concentration is 40%. In one embodiment, the invention is a lead oxide/polyester nanocomposite material that is a sheet or plate. The sheet or plate may be joined to other sheets or plates to form an open surround or box around a device, a target, a medical instrument, a practitioner, a patient and/or a region or body part of a patient. The open surround or box may be two-sided, three-sided, or four-sided. In another embodiment, the invention can be applied to an existing structure or device. In another embodiment, the invention is a method of fabricating a nanocomposite material for shielding radiation from an x-ray and/or gamma radiation beam, comprising the steps of preparing a solution of a polyester polymer in acetone with continuous stirring for at least 30 minutes to initiate polymerization, adding lead oxide (Pb3O4) nanopowder to obtain a concentration in the range of 10% to 40% by weight with continuous stirring until obtaining homogeneous solution, adding cobalt octoate as an accelerator to obtain a concentration of approximately 5% by weight and mixing for 5 minutes or until all components are homogenized, transferring the mixture into a plastic solid form of the desired size and shape, and allowing the mixture to air-dry and cure. In one embodiment of the method, the lead oxide concentration is in the range of 30% to 40%. In another embodiment, the lead oxide concentration is 40%. In yet another embodiment, the invention is a method of using a nanocomposite material for shielding radiation from an x-ray beam at diagnostic radiation energy levels and/or gamma radiation beam, comprising the steps of: fabricating the nanocomposite material from a mixture of a polyester polymer and lead oxide (Pb3O4) nanopowder, wherein the lead oxide is present at a concentration of 10% to 40% by weight, and casting the mixture in a mold suitable for forming a nanocomposite shield, positioning the nanocomposite shield in a location that provides shielding and attenuation of radiation from an x-ray and/or gamma radiation beam. The invention is particularly appropriate for use in a medical setting, wherein the x-ray and/or gamma radiation beam comprises a diagnostic or therapeutic procedure. The application of the nanocomposite shield may be designed to protect a practitioner, a patient undergoing a treatment, or both. The application may also be designed to protect other individuals who may be in the area of the medical treatment, either as a participant or a bystander who might otherwise be subject to stray radiation. Other features and advantages of the present invention will be set forth in the description of invention that follows, and in part will be apparent from the description or may be learned by practice of the invention. The invention will be realized and attained by the compositions and methods particularly pointed out in the written description and claims hereof. The following descriptions and examples illustrate some exemplary embodiments of the disclosed invention in detail. Those of the skill in the art will recognize that there are numerous variations and modifications of this invention that are encompassed by its scope. Accordingly, the description of a certain exemplary embodiment should not be deemed to limit the scope of the present invention. The invention is a lead oxide/polyester nanocomposite material comprising a polyester polymer and lead oxide (Pb3O4) nanopowder, wherein the lead oxide is present at a concentration of 10% to 50% by weight. The nanocomposite material is formed into a shape suitable for shielding and attenuating exposure from an x-ray and/or gamma radiation beam and is particularly suited to provide shielding during a medical procedure that involves the use of radiation. In one embodiment, the nanocomposite material of claim 1, wherein the lead oxide concentration is in the range of 30% to 40%. In another embodiment, the nanocomposite material of claim 1, wherein the lead oxide concentration is 40%. As used herein, the terms “polymer nanocomposites” and “polyester nanocomposites” are used interchangeably to refer to the materials of the invention. The materials of the invention are also referred to as “lead oxide/polyester nanocomposites” and “lead oxide/polyester polymer nanocomposites” and these are all interchangeable. In one embodiment, the invention is a lead oxide/polyester nanocomposite material that is a sheet or plate. The sheet or plate may be joined to other sheets or plates to form an open surround or box around a device, a target, a medical instrument, a practitioner, a patient and/or a region or body part of a patient. The open surround or box may be two-sided, three-sided, or four-sided. In another embodiment, the nanocomposite material is formed using an injection mold to produce a specific shape that fits over or around a device used to administer a radiological medical procedure. For example, a shield may be formed to surround a brachytherapy device to shield the patient and/or practitioner from the radiation source until the device is deployed and the radiation source is directed to an intended target area. In other embodiments, the sheets or plates function as a panel that shields a portion of a room, a device, or any other region where shielding is needed. In another embodiment, the invention can be applied to an existing structure or device. In another embodiment, the invention is a method of fabricating a nanocomposite material for shielding radiation from an x-ray and/or gamma radiation beam, comprising the steps of: preparing a solution of a polyester polymer in acetone with continuous stirring, adding lead oxide (Pb3O4) nanopowder to obtain a concentration in the range of 10% to 40% by weight with continuous stirring until obtaining homogeneous solution, adding an accelerator to obtain a concentration of approximately 5% by weight and mixing for 5 minutes or until all components are homogenized, transferring the mixture into a plastic form of the desired size and shape, and allowing the mixture to air-dry and cure. The air-drying and curing may be carried out at room temperature. In one embodiment of the method, the lead oxide concentration is in the range of 30% to 40%. In another embodiment, the lead oxide concentration is 40%. The accelerator may be cobalt octoate. In yet another embodiment, the invention is a method of using a nanocomposite material for shielding radiation from an x-ray and/or gamma radiation beam, comprising the steps of: fabricating the nanocomposite material from a mixture of a polyester polymer and lead oxide (Pb3O4) nanopowder, wherein the lead oxide is present at a concentration of 10% to 50% by weight, and casting the mixture in a mold suitable for forming a nanocomposite shield, positioning the nanocomposite shield in a location that provides shielding and attenuation of radiation from an x-ray and/or gamma radiation beam. The invention is particularly appropriate for use in a medical setting, wherein the x-ray and/or gamma radiation beam comprises a diagnostic or therapeutic procedure. The application of the nanocomposite shield may be designed to protect a practitioner, a patient undergoing a treatment, or both. The application may also be designed to protect other individuals who may be in the area of the medical treatment, either as a participant or a bystander who might otherwise be subject to stray radiation. The polyester nanocomposite can be formed from a composite mixture by emulsion polymerization, solution polymerization or bulk polymerization. Radical initiation or anionic polymerization can also be performed. The nanocompo sites of the invention may be fabricated using any of the techniques that are well-known in the art, including open mold casting, injection molding, compression molding and extrusion. While the nanocomposite may be molded into any specific shape that is desired, in one embodiment the material is formed as a sheet or plate. These sheets or plates may be joined using a cyanoacrylate cement, or with heat or welding. Chlorinated solvents, such as dicloromethane or trichloromethane may also be used. Chlorinated solvents dissolve the polyester at the points where a joint is desired, which then fuses and sets, forming an almost invisible weld between two sheets or pieces of any shape. The thickness of the nanocomposite material can be varied according to a desired application. Coatings in the ranges of 0.2 to 20 cm can be used for various application. For example, for shielding from x-ray radiation, the estimated thickness of the nanocomposite that totally absorbs radiation will typically be in the range of 0.2 to 0.6 cm for a diagnostic x-ray generator of tube voltage 40 V. When applied as a coating on walls of medical centers the thickness of the nanocomposite material can be varied according to a desired application. Typical applications include but are not limited to mammogram, x-ray dentistry, general x-ray and computed tomography. For example, for shielding from x-ray radiation (tube voltage 40 kV) the thickness of the Pb3O4/nanocomposite will typically be in the range of 0.4 to 2 cm, and in other cases will be in the range of 0.2 to 0.6 cm, and for shielding from medium x-ray (120 kV) the thickness of the nanocomposite will typically be in the range of 6 to 15 cm. In some applications for shielding from medium x-ray, a thicker nanocomposite may be used, typically in the range of 11 to 20 cm. The coating may be applied to a single surface of other materials, or it may be applied to more than one surface. Among the polymers to be considered for use are polyesters, which are very important and frequently used polycondensation polymers. The word polyester is often used to refer to polyethylene terephthalate (PET), even though there are many other polyesters. Polyesters are usually produced from dicarboxylic acids and diols but may occasionally comprise other acids. Polyester is classified based on the presence of a functional ester group on a polymeric main-chain. One can form polyester polymers by reacting multiple molecules and covalently linking them together by ester linkages. Polyester is mainly grouped into two which are thermoset (unsaturated polyester, polyester resin) and thermoplastic polyesters. Furthermore, thermoplastics can be sub-grouped as linear aromatic polyesters (fiber- and film-forming polyesters), elastomers (block copolyesters), liquid crystal polyester, engineering plastics, aliphatic polyesters, and poly (hydroxyl alkanoates). Novolac, which is a condensation polymer of phenol and formaldehyde, is an example of thermosetting polymer. Some of these polymers may include polylactic acid, which is polymerized lactic acid sourced from foods like cassava and corn, resulting in a polylactic type of biocompatible polyester. Polycaprolactone is another type of polyester, wherein 3-caprolactone is polymerized in a method called ring-opening. It is then passed under the influence of catalyst resulting in a semi-crystalline polyester. As a solution, polymers with positive functional groups are combined with polycaprolactone chemically and supply the missing cations, thus forming cationic amphiphiles. This polyester is also a biodegradable polyester. Another polyester type is polylactic-coglycolic acid which has advantageous properties like biocompatibility, biodegradability, nontoxicity in nature. Its constituent is simply an ester connecting lactic and glycolic acid. Its commercial applications depend on the ratio of lactic acid and glycolic acid present forming the resulting polylactic-co-glycolic acid which can be used in plastic making. Pharmaceutical industries also use them because they are easy to handle and possess other unique properties. The three aforementioned polyesters are termed traditional polyesters. There are other nontraditional polyesters that include polyhydroxyalkanoates which are used as drug carriers; they are deposited by bacteria as carbon. Also, there exists the polyester dendrimer and the polybutylene adipate. It is important to mention the origination of polyesters as regards abnormality of branched polymers. These polymers include star polymers, which are described from the structure of a star having a center point where the branching occurs as linear chains grow. The number of arms/chains coming out of this center point will determine the function of the polymer. Another type, known as graft polymers, always have main chains with the arms of the chain connecting to the arm of another main chain, all of which vary in chemical constituents. Comb polymer is indirectly a graft polymer as arms/chains leave their main chain at the point where it is branched. That is, a chain emerges and leaves the branch portion on a proper chain. In general, if the polymer formed has complex structure, it will be called graft polymer. Hyperbranched and dendritic polymers have similarity in both the end structures of the branch points which are nested in nature. The difference in their shapes is that the hyperbranched has random joining chains wherein some of the chains look alike. For the dendritic polymers, the shape is like a cascade with a regular appearance. Before exemplary embodiments of the present invention are described in greater detail, it is to be understood that this invention is not limited to any particular embodiment described herein and may vary. It is also to be understood that the terminology used herein is for the purpose of describing particular embodiments only, and is not intended to be limiting, since the scope of the present invention will be limited only by the appended claims. Where a range of values is provided, it is understood that each intervening value between the upper and lower limit of that range (to a tenth of the unit of the lower limit) is included in the range and encompassed within the invention, unless the context or description clearly dictates otherwise. In addition, smaller ranges between any two values in the range are encompassed, unless the context or description clearly indicates otherwise. Unless defined otherwise, all technical and scientific terms used herein have the same meaning as commonly understood by one of ordinary skill in the art to which this invention belongs. Representative illustrative methods and materials are herein described; methods and materials similar or equivalent to those described herein can also be used in the practice or testing of the present invention. All publications and patents cited in this specification are herein incorporated by reference as if each individual publication or patent were specifically and individually indicated to be incorporated by reference, and are incorporated herein by reference to disclose and describe the methods and/or materials in connection with which the publications are cited. The citation of any publication is for its disclosure prior to the filing date and should not be construed as an admission that the present invention is not entitled to antedate such publication by virtue of prior invention. Further, the dates of publication provided may be different from the actual dates of public availability and may need to be independently confirmed. It is noted that, as used herein and in the appended claims, the singular forms “a”, “an”, and “the” include plural referents unless the context clearly dictates otherwise. It is further noted that the claims may be drafted to exclude any optional element. As such, this statement is intended to serve as support for the recitation in the claims of such exclusive terminology as “solely,” “only” and the like in connection with the recitation of claim elements, or use of a “negative” limitations, such as “wherein [a particular feature or element] is absent”, or “except for [a particular feature or element]”, or “wherein [a particular feature or element] is not present (included, etc.) . . . ”. As will be apparent to those of skill in the art upon reading this disclosure, each of the individual embodiments described and illustrated herein has discrete components and features which may be readily separated from or combined with the features of any of the other several embodiments without departing from the scope or spirit of the present invention. Any recited method can be carried out in the order of events recited or in any other order which is logically possible. The following Examples provide exemplary designs and methods for fabricating and using polyester nanocomposites of the invention. These Examples describe materials and methods for using embodiments illustrated in FIGS. 1-11. Additional details found in the figures can be found in the section entitled “Brief Description of the Drawings”. The invention provides radiation shielding fabricated using polyester/lead oxide nanocomposites suitable for use with two different x-ray tube types: a diagnostics x-ray (40-250 kV) and a medium energy (120-250 kV). Polyester nanocomposites were fabricated by embedding various concentrations of lead oxide (Pb3O4) nanopowder, including 10%, 20%, 30% and 40% by weight. The nanopowder is mixed with polyester matrix in a liquid solution. Polyester nanocomposite shields in the following Examples were prepared using open mold cast technique. Physical properties of all samples were demonstrated using various techniques including x-ray diffraction (XRD), scanning electron microscope (SEM) and Transform Infrared Spectroscopy (FTIR). The linear attenuation coefficient (LAC) was measured using narrow beam technique for each of the aforementioned tubes. Half value layer (HVL) of the samples were computed from linear attenuation coefficients. Formulation of Nanocomposites and Experimental Design for Testing Polyester (PE) liquid polymers of high-quality raw materials with high transparency was purchased locally. Lead oxide (Pb3O4) powder bought from SUVCHEM (Mumbai, India), was used. Solution-casting method is used for the fabrication of the Pb3O4/Polyester coating nanocomposites in the following Examples. The first step involved the calculation and measurement of the required weight fractions for a desired composite. Different weight percentages ranged from 0% to 40% of nano-powdered lead oxide were added to the mixture and using magnetic stirrer hot plate (at room temperature) for 1 hour to ensure homogeneity in prepared sample. The solution may be an aqueous solution and may further comprise acetone, a ketone or an alcohol. Proper curing or hardening are facilitated by adding acetone as initiator and accelerator agents. Acetone or methyl ethyl ketone peroxide can be used as initiator, which is added before Pb3O4, and cobalt octoate (5%) is added after Pb3O4 as accelerator. This begins the cross-linking process that bonds the polymer chains until the mixture forms a gel and then hardens. At the end of the stirring process the solution mixture is ultimately cast into a glass Petri dish and left for slow drying in air to obtain the film sample. The formulations with their different weight fractions of their compositions are shown in Table 1. TABLE 1Percentage weight fractions of polymer composite formulations.Weight percentageThickness Density SymbolPolyesterPb3O4(mm)(g/cm3)PE010002.711.42PE1090102.451.45PE2080202.101.53PE3070303.511.60PE4060401.821.68 An Ultima IV x-ray diffractometer was used to determine the structural changes of the polymer composites due to the addition of nanofillers (Rigaku Co; Japan). Cu Kα line of wavelength 1.54060 Angstrom and operating conditions 40 kV/20 mA were selected for XRD investigation. The scanning range started at 2θ=20o up to 90o with step 0.02o. The polymer composites were examined by x-rays to know the x-ray diffraction patterns through these materials. This technique enables the identification of the presence of crystalline phases in these materials. Scanning electron microscope (SEM) is a very useful tool for demonstrating the microscopic feature of material surfaces. The surfaces of the fabricated samples were investigated using a JSM-7600F Schottky field emission scanning electron microscope (JEOL; Tokyo, Japan). The polymer composites were platinum-coated to avoid unnecessary charging of the samples during the imaging process, which is needed to acquire sharp images of the samples during the scanning process. Energy dispersive spectroscopy (EDS) analysis is enabled by an attachment to the SEM machine (Oxford Instruments; UK). Fourier transform infrared spectroscopy (FTIR) provides a convenient tool by which reaction success is qualitatively evaluated and was used to investigate the chemical structure and the bonding between the polymer matrix and the fillers with a Nicolet iS10 FTIR spectrometer (ThermoFisher Scientific; Waltham Mass., USA). FTIR spectra of the polymer composites were recorded within the range of 400-4000 cm−1. Electrical and dielectric properties of the polyester nanocomposites were evaluated using a Keithly® 2400 SCM (Tektronix; Beaverton Oreg., USA) and a HIOKI-RLC Bridge (HIOKI E.E. Corporation; Nagano, Japan). Shielding was tested using two X-ray tubes manufactured by Varian Medical Systems (Date of manufacture February 2013) in the following Examples of the invention (Palo Alto Calif., USA). The first tube type, NDI-160-22, is utilized for x-ray diagnostic applications and the second type, NDI-320-26, is for medium x-ray applications and for radiation protection. Selected features of these two tube types are summarized in Table 2. TABLE 2Features of X-ray tubes utilized in the Examples of the inventionDiagnostic X-rayMedium X-rayFeature(NDI-160-22)(NDI-320-26)Max Voltage (kV)160320Power640 W; 3 kW1.5 kW; 4.2 kWInherent filter0.8 mm Be4 mm BeFocal Spot (SM/LG)D = 1; D = 5.5D = 3; D = 5.5 The x-ray tube is equipped with an MCN-321 bipolar with a fine focus (1.2 mm×1.2 mm) and a standard focus (4.0 mm×4.0 mm). It has a tungsten anode with a 20° target angle and an inherent filtration of 0.8 mm of beryllium. The setup for the x-ray generator was determined according to TRS No. 469 of the International Atomic Energy Agency (IAEA), as shown in FIGS. 1A-1E. FIG. 1A shows a diagram of the path of radiation, which was generated in an ionization chamber, from which radiation was directed through a pair of diaphragms, through a filter and shutter, into a monitor chamber surrounded by shielding. FIG. 1B shows a view of the overall setup. FIG. 1C shows a closer view showing an outer diaphragm through which a beam of radiation was guided by projection of green lights intersecting on an outer plate of the monitor chamber. FIG. 1D shows a closeup view of the proximal holder which the polyester nanocomposite materials were clamped. FIG. 1E shows a closer view of external control that is offered through the MP1 Controller. The attenuation properties in the following Examples of the invention were determined by measuring the ionization current with and without the samples, using a spherical ionization chamber (Exradin A4 #144; Standard Imaging, Inc; Middleton Wis., USA) and a UNIDOS Webline electrometer (PTW; Freiburg, Germany) was used. The electrometer was connected to the ionization chamber to collect the charge generated inside its cavity. The chamber was polarized at +400 V. For accurate measurements using thermometers and barometers, data acquisition was made using an Env-Coll 2012 2T/4T (Titon, Hungary), comprising probes for temperature, pressure, and humidity. The Unidos Webline electrometer associated with the Env-Coll appliance are connected to a computer. Webline DUO data acquisition software was used to collect measurements and perform the necessary calculations and temperature/pressure corrections with greater measurement accuracy. To reduce the amount of scattered radiation, an ancillary collimator was positioned midway between the ionization chamber and the X-ray tube. The collimator was used to limit the shape, size, and direction of the X-ray beams. This collimator, made of lead having an area of 35 cm2, a thickness of 8 mm and a circular hole with a diameter of 5 cm, produced an 8.4 cm diameter flattened field size at the reference distance of 100 cm from the focal spot. Since some of the samples had small dimensions, an additional collimator with a diameter of 2 cm was added to ensure that all samples were irradiated uniformly with a very narrow beam. Mean energy E is given by: E ¯ = ∫ 0 E ma ⁢ x ⁢ φ E ⁢ EdE ∫ 0 E max ⁢ φ E ⁢ dE ( 1 ) where φ E is the fluence derivative of the primary photons of energy E with respect to energies between E and E+dE and is written as: φ E = d ⁢ ⁢ φ E d ⁢ E ( 2 ) Homogeneity of X-ray beam is the ratio between the HVL1 to the HVL2. SSDL proposed a finite value of X-ray beam HVLs at each beam quality for both medium and low X-ray, as shown in Tables 3 and 4. Tables 3 and 4 also summarize various beam qualities selected for the diagnostic x-ray and medium x-ray ranges for calibration according to the Secondary Standard Dosimetry Laboratory (SSDL), which provides calibration standards for the industry. TABLE 3Optimum RQR beam qualities obtained at SSDL for diagnostic X-ray (low energy).BeamTubeAdditionalFirst HVLHomogeneityMeanFCD/DiameterQualityVoltage (cV)filters AI (mm)in AI (mm)coefficient %Energy (keV)of beam (cm)RQR2402.331.4288127.03100/8.2RQR6802.513.016941.64100/8.2RQR101503.36.577266.56100/8.2 TABLE 4Optimum N Beam qualities obtained at SSFL for medium energy X-rayTube Beam VoltageAdditional FiltersMean EnergyQuality(kV)Pb (mm)Sn (mm)Cu (mm)(keV)N-120120—15100N-150150—2.5—118N-200200132165N-25025032—207 Transmission factor is represented by relative relation It/Io where Io represents the incident x-ray beam intensity. It is the transmitted x-ray beam intensity at aforementioned diagnostic x-ray and medium energy tubes. Transmission factor is equal to 1 when there is only a line beam without shield in the path of x-ray beam. However, the transmission factor decreases when there is increasing Pb3O4 content. The Lambert-Beer law describes attenuation of a monoenergetic photon when it transmits through any medium of thickness t, as stated in: μ = 1 t ⁢ ln ⁡ ( I o I t ) ( 3 ) where Io represents the incident intensity, p is the density of the glassy composite, μ is the linear attenuation coefficient and It is the transmitted intensity. HVL is the thickness of any substance, where one half of the radiation intensity incident on it is removed and the formula representing this property. XRD Results FIG. 2 shows the x-ray diffraction spectra of pure polyester and Pb3O4/polyester composites comprising various percentages of Pb3O4 nanopowder by weight. The tetragonal structure of pure Pb3O4 nanostructure appeared at peaks of 66.02°, 60.56°, 59.33°, 58.07°, 56.02°, 52.10°, 49.58°, 47.54°, 46.07°, 44.59°, 39.90°, 34.08°, 32.13°, 30.81°, 28.68°, 26.38° and 14.22° and are matched with JCPDS (Card No. 76-1799). The Scherrer equation, a formula that relates the size of sub-micrometer crystallites in a solid to the broadening of a peak in a diffraction pattern, and the W-H method, which is well-known in the art as an analysis used to estimate average crystal size. were utilized to evaluate average crystallite size. In the left-hand section of FIG. 2, 2θ=10 to 2θ=30°, the XRD results all correspond to semi-crystalline polyester. Crystallite size of lead oxide nanopowder was found to be around 22 nm and this structure was preserved in the polyester composite, as measured in Example 2 and shown in FIG. 3. The peak observed at around 26.38° of nanopowder is at high intensity, in agreement with the lead oxide/polyester nanocomposite and also in accordance with Example 3, as shown in FIGS. 4 to 8. All the peaks shown for the spectra of these composites agree with the JCPDS NO:96-901-2125. The XRD spectra of these composites show the co-existence of lead oxide phase in the lead oxide/polyester nanocomposites. It could also be observed that the XRD peaks are an accurate indication of the percentage fraction of the fillers used for each composite, where a lower peak corresponds with a lower percentage fraction and a higher peak corresponds with a higher percentage. The average particle size of the fillers was determined from the peaks using the Scherrer formula. The average size of the filler particles was found to be 21 nm. This finding confirms that the fillers used in this Example were in fact nano-sized in all samples. Analysis of Polymer Nanocomposite Materials Using SEM. SEM images of the pure polyester and lead oxide/polymer nanocomposites were acquired and analyzed. FIG. 3A-3D shows representative SEM images of polymer nanocomposites (3A, PE0; 3B, PE10; 3C, PE30; and 3D, PE40). The images shown in this figure illustrate the uniform distribution of nanofillers in the polyester matrix that was observed. The images were obtained using different magnifications so that more features of the morphology and distribution of the nanofiller (lead oxide) within the polymer matrix could be seen. A uniform distribution of the fillers was observed because of their small particle sizes and compatibility with the matrix. The white patches shown in the images represent the dispersal of nanofillers. Analysis of Polymer Nanocomposite Materials Using EDS. Pure polyester and lead oxide/polyester nanocomposites were analyzed using EDS. FIGS. 4-8 show representative SEM and EDS images of PE0, PE10, PE20, PE30 and PE 40, respectively. EDS spectrum of the polyester nanocomposite with Pb3O4 of 0 wt % (FIGS. 4A and 4B), 10 wt % (FIGS. 5A and 5B), 20 wt % (FIGS. 6A and 6B), 30 wt % (FIGS. 7A and 7B), and 40 wt % (FIGS. 8A and 8B). It shows high bonding strength that occurs between the lead and polyester matrix achieved in the formulations containing Pb3O4, as shown in FIGS. 5A/B to 8A/B. Analysis of Polymer Nanocomposite Materials Using FTIR Spectroscopy. To find the mechanism of Pb3O4 composite functional polyester, the infrared spectra of pure polyester and various weight percentages Pb3O4 mixed with polyesters were analyzed to identify chemical bonds. As shown in FIG. 9, the band at 3784 cm−1 is ascribed to —OH group, while those at 2961 cm−1 and 1454 cm−1 are assigned to the C—H stretching vibration. FIG. 9 shows the FTIR spectra of pure polyester (PE0) and lead oxide/polyester nanocomposites at various concentrations of lead oxide (PE10%, PE20, PE30 and PE40), with weight % for each shown in Table 5. Table 5. Weight percentage of chemical elements in the polymer nanocomposite with various Pb3O4 concentrations. TABLE 5Weight percentage of chemical elements in the polymernanocomposite with various Pb3O4 concentrations.Weight percentage of chemical elementsSampleCOCoPbPE069.48530.490.0250PE1066.70330.340.0272.93PE2061.80730.490.0277.676PE3054.38835.40.02210.19PE4050.9233.50.0215.56 The strong band at 1724 cm−1 is attributed to the stretching vibration of the carbonyl stretch C═O bond, which is characteristic of an ester group and disappeared as the lead oxide content increased, especially at high concentrations of lead oxide (i.e., 30 and 40 wt % Pb3O4) in polymer network that leads to the subtle changes in C═O bonds. Also, more than two bands in the range 1000-1300 cm−1 are characteristics of an ester group and attribute the stretched vibration of C—O bond that also disappeared with the addition of high concentrations of lead oxide. The two bands in the range 2950-2865 are attributed to a C—H bond that also disappeared as Pb3O4 content increased in polyester matrix. However, the bond centered at 3741 cm−1 is related to O—H and was enhanced at higher lead oxide content. FTIR results proved that the addition of lead oxide induces observable change in vibration modes. There was an obvious enhancement associated with FTIR spectra of high concentrations of leas oxide/polyester compared with the spectra of pure polyester, illustrated by the band peak at 2363 and 2353 cm−1. These two bands may be attributed to the band of Pb-0 vibrations. Analysis of Linear Attenuation Coefficients Attenuation factor was evaluated for an average of each 5 points of measurements. Three diagnostic x-ray energies (40, 80 and 150 kV) and four medium x-ray energies (120, 150, 200 and 250 kV) were used (see Table 3 for characteristics of diagnostic and medium x-rays). The linear attenuation coefficients for pure polyester (PE0) were compared to different weight percentages of lead oxide embedded in polyester polymer (nanocomposites PE10, PE20, PE30 and PE40. As shown in FIG. 10, PE40, which has the highest fraction of lead oxide, also has the highest linear attenuation coefficient (LAC) among all the polyester nanocomposites at all the energies measured. The better performance of PE40 over other PE nanocomposites can be attributed to the relatively high atomic number and density of lead oxide embedded in polyester nanocomposite, which are both very important factors in determining radiation attenuation, especially within the diagnostic energy range. At 40 kV, the decrease in transmission factors are remarkable due to the predominance of photoelectric effect at this energy. Moreover, it reached the lower value at 40% weight percentage of Pb3O4. This means that the more Pb3O4 is present in the composite, the more radiation shielding is provided. Half value layer (HVL) is the thickness of any substance, where one-half of the radiation intensity incident on it is removed. HVL is determined using mathematical statement of Ln 2/μ, where μ is the experimental linear attenuation coefficient of the lead oxide/polyester nanocomposites. FIGS. 11A and 11B represent HVL at two different applications (low and medium x-ray). FIG. 11A shows a graph of half value layers of composites sample at 40, 80 and 150 kV. From FIG. 11, it can be seen that the thickness required to attenuate the 50% intensity of X-rays at 40 and 80 kV is almost same with that the leaded polyester. This shows that the Pb3O4 nanofiller is a promising material at 40, 80 and 150 kV. Transmission Factor of Various Thicknesses of Nanocomposite Materials Transmission factor (I/Io) is the ratio between the incident radiation on the shields and transmitted radiation after passing out the shield, which is equal to 2−HVLs. Using various samples of the nanocomposite materials, the I/Io versus thickness was estimated. FIGS. 12A-12C show the I/Io versus thickness for shielding radiation generated with a diagnostic x-ray tube, and FIGS. 13A-13D show the I/Io versus thickness for shielding radiation generated with a medium energy x-ray tube. Related to the data shown in FIGS. 12A-12C and FIGS. 13A-13D, Table 6 provides parameters of the estimated thicknesses required to achieve zero transmitted radiation though different polyester nanocomposites and for different x-ray generators. TABLE 6Estimated thickness (cm) needed to totally block radiation.Estimated thickness (cm) that totally absorbs radiationTube voltagePE0PE10PE20PE30PE40Diagnostic4050.60.50.40.2X-ray801021.210.5150154.52.320.6Medium 120248542.3x-ray150268.5653200281581062503020181611 Thermal Gravimetric Analysis (TGA) Thermal gravimetric measurements were carried out from 23° C. to 600° C. using TA instruments SDT Q600 TGA thermal thermogravimetric analyzer at a heating rate of 10° C./min. TGA curves of polyester nanocomposites loaded with different ratios of Pb3O4 nanocrystals (PE0, PE10, PE20, PE30, PE40) are shown in FIG. 14A. As depicted in FIG. 15B-15E, each formulation has single degradation stage with peak temperature ranged (418° C.-433° C.) in polyester/Pb3O4 nanocomposites, however, in pure polyester the peak temperature equals 493° C. (see FIG. 15A). Peak temperatures are shown in Table 6. The mass losses of polyester/Pb3O4 nanocomposites decrease as the concentration of nanoparticles increases. Such decreases in mass losses as the filler concentration increases enhance thermal stability in comparison to the pure polyester material (PE0). TABLE 6Peak temperatures for each formulation in ° C. extracted from first derivative of TGA curves.SamplePeak temperature ° C.PE0492.77PE10419.26PE20433.29PE30419.75PE40421.03 Conclusion In these Examples of the invention, Pb3O4/polyester nanocomposite coatings and structures with different concentrations of lead oxide were prepared in order to be used in medical radiology bunkers as radiation shields. Shielding against ionizing radiation is still considered a crucial issue for radiation safety of patients and workers at radiology centers. The present invention is first in this field that uses polyester nanocomposite coatings or structures for shielding of diagnostic radiation. The shielding property of the invention provided a surprising degree of shielding in the diagnostic energy range. In particular, the nanocomposite materials of the invention are particularly well-suited for the composite preparation because it has shown higher effectiveness in radiation shielding. The preparation of the polymer nanocomposite was fabricated by using the open mold cast technique. Polyester nanocomposite was embedded with lead oxide (Pb3O4) nanopowder in different concentrations, e.g., 10%, 20%, 30% and 40% weight percentage to polyester matrix. XRD was tested for all samples and lead oxide which ensured the nanostructure size of sample around 22 nm using the Scherrer formula and W-H equations. SEM was also performed for all samples and showed good homogenous distribution of white nanoparticles that correlated to lead oxide, which is affected by its concentration in polymer matrix. In addition, infrared spectroscopy implemented through FTIR testing showed a clear change in the vibration mode. The carbonyl bond disappeared as the lead oxide content increased in polymer matrix. This finding supports the concept of substitute carbons in the network by lead atoms. Radiation shielding test was implemented using two different x-ray beam tubes at different tube voltages (40, 80 and 120 kV) of diagnostic ranges; (120, 150, 200, and 250 kV) of medium energy. The attenuation factor was found to decrease as lead oxide content increased. Half value layer and linear attenuation factor were calculated and demonstrated that the PE40 (with 40% of lead oxide content embedded in the polyester matrix) was the best radiological shield among other samples. While the invention has been described in terms of its several exemplary embodiments, those skilled in the art will recognize that the invention can be practiced with modification within the spirit and scope of the appended claims. Accordingly, the present invention should not be limited to the embodiments as described above but should further include all modifications and equivalents thereof within the spirit and scope of the description provided herein.
055703992
summary
BACKGROUND OF THE INVENTION The present invention relates to a control rod and fuel supporting member gripping apparatus for gripping a control rod (hereinafter referred to as CR) and a fuel supporting member ( hereinafter referred to as FS) in order to remove and carry the CR and FS out of the reactor and to load the CR and FS again in the reactor, and also relates to a method of withdrawing the control rod and fuel supporting member gripping apparatus. Generally, the core of the boiling water reactor (BWR) is constructed as shown in FIG. 13 and a plurality of fuel assemblies 3 and CRs (control rods) 4 are mounted in a cylindrical core shroud 2 contained in a reactor pressure vessel 1. The top portions of these fuel assemblies 3 are supported by means of an upper lattice plate 5 and the bottom portions thereof are supported by means of a core supporting plate 7 through FSs (fuel supporting member) 6. The respective FSs 6 are supported by means of the core supporting plate 7 by engaging the cylindrical bottom portion thereof with an FS supporting engagement hole 7a as shown in FIG. 15. As shown in FIG. 16, the square top portion of the core supporting plate 7 has supporting engagement holes 6a, 6b, 6c, 6d for allowing the bottom of the fuel assembly 3 to engage therewith for supporting the fuel assembly 3 and has a cross shaped insertion hole for allowing the CR 4 to go through. The respective supporting engagement holes 6a-6d communicate with respective orifices 6e, 6f, 6g, 6h which are located on the sides of the supporting engagement holes in order to allow coolant to flow into the respective fuel assemblies 3 from the respective orifices 6e-6h through the respective supporting through holes 6a-6d. A through hole 6i which engages with a fixing pin 7b implanted on the core supporting plate 7 is provided on a square corner of the top portion of the FS 6 in order to fix the FS 6 onto the core supporting plate 7. On the other hand, the CR 4 is detachably connected to a control rod driving mechanism (hereinafter referred to as CRD) which is provided so as to vertically go through the bottom of the reactor pressure vessel 1 and the CR 4 is lifted up and down by means of each CRD 8 so as to be inserted into and pulled from the core. The CR 4 passes through a CR guide pipe 9 which is connected to the core supporting plate 7 so that the CR 4 is lifted up and down through a cross shaped insertion hole 4a formed among the four bodies of the fuel assemblies 3, 3, 3, 3 which are supported by means of the FS 6. A conventional coupling mechanism for the CR 4 and the CRD 8 is constructed in the form of a spud as shown in FIG. 17. As for the spud type coupling 10, a coupling spud 11 having locking pawls which are formed by incising the circumferential portion thereof so as to obtain, for example, four split parts is pushed up strongly by means of a driving piston, not shown, of the CRD 8 and then inserted into a gap around a lock plug 12 which is inserted into the engagement hole in the bottom portion 4b of the CR 4. Consequently, the coupling spud 11 is nipped between the internal face of the bottom portion 4b of the CR 4 and the external face of the lock plug 12 in order to connect the CR 4 with the CRD 8. By pushing up the lock plug 12 by means of an uncoupling rod 13 of the CRD 8 to resist the force of a spring 4c, the CR 4 is disconnected from and released from the CRD 8. When the CR 4 is removed from the CR driving mechanism in the reactor pressure vessel 1 and carried out of the core at the time of the periodic inspection of the BWR, first of all, the fuel assemblies 3 are pulled out of the core. However, since in the conventional BWR, the connection between the CR 4 and the CRD 8 is released by pushing the lock plug 12 strongly by means of the uncoupling rod 13, if such a foreign matter as clad or the like is caught between the lock plug 12 and the coupling stud 11, the lock plug 12 sticks firmly to the coupling spud 11, so that it may be impossible to disconnect the CR 4 from the CRD 8. To solve such a problem, recently a bayonet coupling 14 as shown in FIGS. 18A-18C has been sometimes employed as a connecting means for the CR 4 and the CRD 8. The bayonet coupling 14 has engaging protrusions 16 having a specified width which are disposed at every 90.degree. along the circumference thereof, the engaging protrusions protruding out of the internal face of an engaging hole 15 in the bottom portion 4b of the CR 4 in which the coupling spud 11 is to be inserted. By turning the bayonet coupling 14 or the CR 4 by 45.degree. along the circumference thereof as shown in FIG. 18B, the respective engaging protrusions 16 are moved along the external face of the respective coupling spuds 11 to reduce the diameter of the respective coupling spuds 11. Consequently, the lock plug 17 is nipped to connect the CR 4 with the CRD 8. If the CR 4 is turned further by 45.degree. or returned to its original position as shown in FIG. 18C, the respective coupling spuds 11 are moved to respective cavities of the engaging hole 15 to expand the diameter of the coupling spuds 11, thereby releasing connection between the CR 4 and the CRD 8. In the CR 4 which employs the aforementioned bayonet coupling 14, no foreign matter such as clad or the like is caught between the lock plug 12 and the coupling spud 11 unlike the conventional spud type coupling 10. Thus, it is possible to release the CR 4 from the CRD 8 securely. It is necessary to turn the bayonet coupling 14 or the CR 4 axially by 45.degree. to release the CR 4 from the CRD 8. However, because the CR 4 is inserted through the cross shaped insertion hole 4a of the FS 6 which is fixed by the fixing pin 7b of the core supporting plate 7, it is not possible to turn the CR 4. If the CR 4 is turned forcibly, the CR 4 and the FS 6 may be damaged. If the CR 4 and the FS 6 are turned at the same time after the FS 6 is removed from the fixing pin 7b of the core supporting plate 7 so that the FS 6 is free, the top portion of the FS 6 collides with fuel assemblies in the lattice in the vicinity because the top portion thereof is square shaped, so that the fuel assemblies 3 may be damaged. FIG. 19A shows a plan view of the CR 4 and the FS 6 viewed from the upper side of the upper lattice plate 5 in a steady state. As shown in FIG. 19A, the CR 4 is located in the same direction as that of the upper lattice plate 5, and the FS 6 has a shape capable of passing the cell 5' formed to the upper lattice plate 5. The FS 6 is provided with projections 6' which can nip a pin 7b provided to the core supporting plate 7 to thereby prevent the FS 6 from rotating. The fuel assemblies, each having a square cross section, are positioned on the fuel assembly supporting through holes 6a, 6b, 6c, 6d formed to the FS 6. A reactor core is constituted by about 100 units of fuel assemblies, each unit including the thus arranged four fuel assemblies. When the CR 4 and the FS 6 now in the state of FIG. 19A are simultaneously gripped by the respective gripping devices and are then lifted to rotate them, the projections 6' of the FS 6 contact the surrounding fuel assemblies. This state is shown in FIG. 19B showing an arrangement in which the CR 4 and the FS 6 are rotated by 45.degree. from the arrangement shown in FIG. 19A. In this arrangement, the projections 6' project out of the cell 5', which may contact the fuel assembly disposed in the upper side cell and hence damage the same. In order to obviate such defect, as shown in FIG. 19C, sixteen fuel assemblies of the other four cells 5" surrounding the cell 5' now treated as well as the four fuel assemblies of the cell now treated have to be withdrawn upward from the core and conveyed to the fuel storage pool formed upper outside of the reactor pressure vessel, thus being troublesome and inconvenient in the prior art technology. SUMMARY OF THE INVENTION An object of the present invention is to substantially eliminate defects or drawbacks encountered in the prior art described above and to provide a control rod and fuel supporting member gripping apparatus in which the CR and CRD, which are detachably connected by means of the bayonet coupling, can be released and disconnected from each other simply, securely and rapidly and in which the CR and the FS can be removed from the reactor pressure vessel and lifted up to be carried out thereof, and also provide a method of withdrawing the control rod and fuel supporting member gripping apparatus from a reactor core easily and effectively. This and other objects can be achieved according to the present invention by providing a control rod and fuel supporting member gripping apparatus for gripping a fuel supporting member and a control rod, the fuel supporting member being mounted on a core supporting plate located below an upper lattice plate within a reactor pressure vessel and having fuel assembly supporting engagement holes in which the bottom portions of a plurality of fuel assemblies are inserted to support the fuel assemblies and an insertion hole through which a control rod is passed, the control rod being detachably connected with a control rod driving mechanism by means of a bayonet coupling and being passed through a control rod passage so as to be lifted up and down freely in order to remove the fuel supporting member and the control rod from the core supporting plate and the control rod driving mechanism, the control rod and fuel supporting member gripping apparatus comprising: a gripping apparatus body hoisted elevationally liftably in an installed state within the reactor pressure vessel; a fuel supporting member gripping device disposed at a portion below the gripping apparatus body; a control rod gripping device disposed at a portion below the gripping apparatus body to be liftable up and down and rotatable with respect to the gripping apparatus body; and means for rotating the control rod gripping device with respect to the gripping apparatus body. In preferred embodiments of the present invention, the fuel supporting member gripping device includes a detection means for detecting a fact of settlement of the gripping apparatus body on the fuel supporting member gripping device. The gripping apparatus may further comprise a detection means for detecting a fact that a rotation angle of the control rod gripping device is rotated by an angle over a predetermined angle. An upper lattice plate is disposed above the gripping apparatus body at an upper portion of the reactor pressure vessel and an upper plate is disposed on the upper lattice plate through a gripping apparatus body lifting device for lifting up and down the gripping apparatus body with respect to the upper lattice plate. The control rod gripping device comprises a hook means which is hung by a rotating member rotatably fixed to the upper plate in order to releasably grip a handle of the control rod, a first driving means for driving the control rod gripping device for making the hook perform gripping and releasing operations, a second driving means for lifting up and down the control rod lifting mechanism by raising the hook means, a third driving means having a reciprocal piston rod for driving a winding means, and a rotating device for rotating the rotating body clockwise or counterclockwise by connecting both ends of the winding means attached to a rotating member in rotational association with the rotating body to both ends of the reciprocal piston rod of the third driving means. Preferably, the first, second and third driving means are air cylinder assemblies. The first driving means includes a biasing means for maintaining the gripping operation of the hook means when a supply of fluid is eliminated. The first driving means is connected to a rope means for releasing the hook means at a time when the rope means is pulled to thereby forcibly perform the releasing action. The fuel supporting member gripping device comprises a first driving source which makes a pair of retractable gripping plungers protrude from the inside of the fuel supporting member into a pair of side holes communicating with the respective fuel assembly supporting engagement holes of the fuel supporting member and facing each other in a direction of a diameter thereof in order to grip the fuel supporting member, and a second driving source which grips the fuel supporting member by means of the gripping plungers and which hoist the fuel supporting member. The fuel supporting member gripping portion comprises a locking mechanism for holding the first drive source in the gripping position when the fuel supporting member is gripped by means of the first drive source and hoisted by means of a lifting mechanism in order to prevent the gripped fuel supporting member from being released. The first driving means includes a gripping state holding mechanism for maintaining the gripping state of the fuel supporting member when a driving supply is eliminated. The first driving means is connected to a rope and a pair of plungers are retracted from a pair of side holes to an inside of the fuel supporting member, when the rope is pulled, in order to forcibly release the fuel supporting member. In another aspect of the present invention, there is provided a method of withdrawing control rod and fuel supporting member from a reactor pressure vessel, in which the fuel supporting member is mounted on a core supporting plate located below an upper lattice plate within a reactor pressure vessel and has fuel assembly supporting engagement holes in which the bottom portions of a plurality of fuel assemblies are inserted to support the fuel assemblies and an insertion hole through which a control rod is passed and the control rod is connected to a control rod driving mechanism by means of a bayonet coupling and is passed through a control rod passage so as to be lifted up and down freely in order to remove the fuel supporting member and the control rod from the core supporting plate and the control rod driving mechanism, the method comprising the steps of: lifting upward the fuel supporting member from the core supporting plate by a predetermined distance; rotating the control rod by a predetermined angle to separate the control rod from the control rod driving mechanism; and withdrawing the fuel supporting member and the control rod from an upper portion of the reactor pressure vessel. According to the structures and characters of the present invention described above, generally, after the fuel assembly contained in a given lattice plate with a reactor pressure vessel is pulled out by means of a fuel exchanging device or the like, a body of the control rod and fuel supporting member gripping apparatus is hoisted in the lattice and lowered thereinto. After the lower portion of the apparatus body is settled on the upper surface of the FS, the fuel supporting member gripping device is operated to grip the FS, and in the similar manner, the control rod gripping device is operated to grip the control rod. Thereafter, only the FS is lifted upward to the predetermined level, at which the lower end of the FS is higher than the upper end of the CR, by driving the lift up and down mechanism disposed in the body of the gripping apparatus or by driving the hoist disposed to the upper portion of the apparatus body and lifting up the whole body by means of the hoisting wire, for example. Thereafter, the control rod gripping device is rotated with respect to the body of the gripping apparatus by means of a driving mechanism. Since the control rod is fixed to the control rod driving mechanism, the control rod is simultaneously rotated, thus being uncoupled from the control rod driving mechanism. During this rotating operation, since the FS is positioned above the upper end of the CR, the FS and the CD do not contact. Then, the entire body of the gripping apparatus is lifted upward by means of the wire rope and then moved to and stored in a pool disposed upper outside of the reactor pressure vessel. Therefore, according to the present embodiments, it is possible to grip and then remove the CR and the FS which are located within the reactor pressure vessel and hoist the CR and the FS to be carried out of the reactor pressure vessel. Thus, as compared with the conventional apparatus in which the CR and the FS are gripped separately by means of different gripping devices successively and carried out of the reactor pressure vessel after they are removed from the gripping devices, the present invention is capable of improving the working efficiency of hoisting operation markedly. Consequently, it is possible to improve the working efficiency of the BWR's periodic inspection markedly. Furthermore, the CR lifting air cylinder of the CR gripping portion makes it possible to adjust the hoisting height depending on the pressure of supplied air. Thus, by increasing the pressure of supplied air successively from low pressure to high pressure, it is possible to lift the hook to such an extent in which a play between the hook and the control rod gripped by the hook is eliminated and then hoist the hook higher. Thus, because the present invention is capable of relaxing a shock which occurs when the CR is hoisted by means of the hook all at once, it is possible to increase the completeness and safety of the CR when the CR is hoisted. Further, in the control rod rotating mechanism, the rotating members which rotate the rotating body which has the hook for gripping the handle of the CR are connected to the clockwise-counterclockwise rotating air cylinder through a wire in order to turn the rotating body. Thus, it is possible to reduce the load of the rotating force. If the supply of air to the control rod gripping air cylinder is interrupted when the CR is gripped by means of the hook which is actuated by the control rod gripping air cylinder, the gripping action of the control rod gripping air cylinder is maintained by the force of the biasing means, preferably, spring. Thus, even if the supply of air to the control rod gripping air cylinder is interrupted due to a breakage of the air hose or the like when the CR is gripped and hoisted by means of the hook, the hook does not release the CR. Thus, the safety operation can be assured. When the CR cannot be released due to a trouble in the control rod gripping air cylinder, it is possible to forcibly release the CR by pulling the rope. Because a pair of plungers are protruded into a pair of the existing side holes of the FS to support the FS, it is not necessary to make devices for the FS for assuring the gripping operation. Further, because a pair of plungers are inserted into a pair of side holes which faces each other with respect to the diameter of the FS to support and hoist the FS, the FS can be supported and hoisted stably with a balance with respect to the diameter thereof. Thus, it is possible to increase the reliability and the safety of supporting and hoisting the FS. When the FS is gripped by means of the FS gripping portion and hoisted, the gripping state is locked by the locking mechanism. Thus, it is possible to prevent the FS from dropping due to the action of releasing the fuel supporting member and damaging, thereby increasing the operational reliability and safety. If the supply of air to the FS gripping air cylinder is interrupted due to a breakage of the air hose when the FS is held by means of the FS gripping air cylinder, the gripping action of the FS gripping air cylinder is maintained by the force of the biasing means. Thus, if the supply of air to the FS gripping air cylinder is interrupted due to a breakage of the air hose when the FS is gripped and hoisted, the FS is held, thereby securing the safety operation. When the action of releasing the FS cannot be performed due to a trouble in the FS gripping air cylinder, it is possible to forcibly release the FS by pulling a rope. When the upper plate is settled on the upper lattice plate, the CR gripping portion is settled on the handle of the control rod, and the FS gripping portion is settled on the FS, the settlement of these portions are detected by means of respective settling detection devices. Thus, it is possible to confirm whether they are settled or not, as required, before the CR and the FS are gripped, removed and hoisted. As a result, the reliability of such a sequence of the operations can be increased and the operations can be performed smoothly. The looseness of the air hose and the cable is always absorbed by means of the cable absorbing mechanism. Thus, it is possible to reduce the possibility of a trouble which may occur when the air hose or the cable is hooked on other member due to such looseness, thereby increasing the operational reliability. The nature and further features of the present invention will be made more clear hereunder through the description made with reference to the accompanying drawings.
049869531
description
FIGS. 1 and 2 show the assembly of the device denoted generally by the reference 1. The device comprises a control station 2 fixed on the upper edge of a wall 3 of the pool and a rod 4 of great length connected at its upper part 5 to the support 6 of the control station 2. In an operating position, the rod 4 is immersed beneath the level 8 of the water in the pool and has a height which is sufficient to accommodate opposite a part of a fuel assembly disposed vertically on a support resting on the bottom of the pool. A carriage 10 is mounted so as to move in the axial direction of the rod 4 which guides the carriage during its displacements. The carriage 10 carries an assembly 11 for displacing, in two directions perpendicular to the axis of the rod 4, a support 12 on which are fixed visual checking means 13, such as video cameras and a tool for working on the fuel assembly. The displacement assembly 11, the visual checking means (13) and the work tool may be controlled remotely, from the control station 2, by virtue of remote controls 14, 15 which may consist of ball remote controls with rapid displacement and with micrometric displacement. Ball remote controls of this type comprise a sheath inside which an elongated activating element which is rigid in the direction of thrust and deformable on flexion is mounted so as to slide by means of balls. A rapid control handle and a device for micrometric displacement are connected to one end of the elongated element, which handle is located at the control station. An operator may act remotely by pushing or pulling on the handle or by activating the micrometric device from the control station 2. FIGS. 3 and 4 show the assembly of the control station 2 whose support 6 consists of a mechanically welded base 16 resting on the upper part of the wall 3 of the pool and comprises vertical support lugs 17 consisting of profiles connected to the base 16 by reinforcing brackets 18. At its rear part, the base 16 rests on the wall 3 of the pool by means of support devices 19 which may be adjusted in respect of height. Mechanical jacks 20, fixed on the base 16 by means of brackets 21, make it possible to fix the control station 2 on the wall 3 of the pool in a rigid and totally stable manner. Moreover, the base 6 carries, at its rear part, a vessel 22 filled with a high-density material, such as lead, which makes it possible to balance the tilting moment exerted on the support 6 of the control station if significant forces are exerted on the support 12, these forces being taken up by the support 6 of the control station by means of the rod 4. The position of the vessel 22 on the base 16 may be adjusted in order to modify the balance moment. The base 16 of the support 6 carries a platform 24 comprising a railing 25 on which are fixed the control handles 26 for the ball remote controls, such as 14 and 15, various adjusting levers and indicator lights 27 and a force and displacement controller 28, such that an operator located on the platform 24 can position the tool and the means for checking and monitor and control the work tool. Video screens located near the platform permanently provide the operator with an image of the work zone by virtue of the video cameras, such as the camera 13. At its front part, the platform 24 carries a support 30 consisting of two vertical columns rigidly fixed to the platform by means of brackets 31. The top part 5 of the rod 4 comprises a fixing part 32 directed towards the platform 24 and disposed between the columns of the vertical support 30. The rod 4 is connected to the support 30 by means of the fixing part 32 rigidly connected to the upper part 5 of the rod. A screw 34 is mounted transversely and is movable in rotation between the columns of the support 30. A wheel 33 which is integrally attached to the end of the screw 34 may be activated manually by the operator from the platform 24. The fixing part 32 for the rod 4 comprises a nut engaged on the screw 34. Rotation of the wheel 33 in one direction or another thus makes it possible to displace the fixing part 32 and the assembly of the rod 4 in a transverse direction parallel to the wall 3 of the pool. A shaft 35 is also mounted transversely and so as to move in rotation between the columns of the support 30, below the screw 34 and in a position parallel to this screw. A handle 36 which is integrally attached to the end of the shaft 35 makes it possible to rotate this shaft in one direction or another, by means of a manual action on the part of the operator from the platform of the control station. A ratchet immobilizing device 37 is associated with the handle 36 and makes it possible to immobilize the shaft 35 in rotation in a specific position. A cam mounted on the shaft 35 rests in a cavity of the fixing part 32 of the rod 4 so as to vary the inclination of the rod 4 relative to the vertical direction by rotating the handle 36. The cam is mounted so as to be integral in rotation with the shaft 32 and so as to slide on this shaft, in the transverse direction, by means of a set of corresponding channels and grooves. The ratchet immobilizing device 37 makes it possible to fix the inclination of the rod 4 after a displacement due to tilting through the action of the cam driven by the shaft 35 resting on the fixing part 32. It is thus possible to place the rod 4 very rapidly in a position which is inclined relative to the vertical, such as the position represented by the axis 52 in FIG. 3. The upper part 5 of the rod 4 carries a winch 40 comprising a pulley 41, over which passes a cable 42, and a manoeuvring wheel 43 driving the pulley 41 in rotation by means of a gear. The operator may use the handle of the manoeuvring wheel 43 in order to rotate it in one direction or another in order to drive the pulley 41 and to displace the cable 42 either upwards or downwards. The cable 42 is connected, at its lower part, to a fixing lug of the carriage 10 so that this carriage 10 may be displaced along the length of the rod 4 by means of a simple manual action from the control station. The carriage 4 comprises guide shoes interacting with rails disposed along the length of the rod 4. The displacement of the carriage 10 makes it possible to adjust the position of the tool support 12 along the height of the assembly. The displacement of the carriage 10 on the rod 4 makes it possible rapidly to reach the work zone of the tool on the fuel assembly but does not make it possible to accurately adjust this position. A screw device 44 makes it possible to displace the rod 4, at slow speed, relative to its upper part 5 over a path 45 whose length may be of the order of 600 mm. Thus it is possible to obtain very accurate positioning of the tool support 12 along the height of the assembly. FIGS. 5 and 6 show the carriage 10 mounted so as to slide on the rod 4 in the axial direction and supporting, by means of position-adjusting means, which will be described hereinbelow, the tool support 12. At its lower part, the carriage 10 comprises an arm 46 forming a pivoting bearing 47 at its end. The tool support 12 is carried by the arm 46 by means of a ball 48 mounted so as to pivot in the bearing 47 and a displacement assembly 50 comprising carriages which can be displaced in two directions perpendicular to one another and perpendicular to the axis 51 of the rod 4. The assembly 50 comprises a first carriage 52 or lower carriage which is movable in slides resting on the ball 48 by means of a rotational displacement device 54 which makes it possible to adjust the orientation of the crossed carriage assembly 50 and of the support 12 about the axis of the ball 48. The orientation device 54 may be controlled by means of a ball remote control 55 whose end opposite to the end connected to the orientation device 54 is located at the control station. The lower carriage 52 carries rails for guiding an upper carriage 53, these guide rails being directed in a direction perpendicular to the direction of the rails for guiding the lower carriage 52. The direction of the rails for guiding the carriage 52 corresponds to a direction which is substantially perpendicular to the wall 3 of the pool, whereas the direction of the rails for guiding the upper carriage 53 corresponds substantially to a direction which is parallel to the wall 3. The displacements of the carriages 52 and 53 are controlled by ball remote controls 56 and 57, respectively. The support 12 carries a work tool 59 and an assembly of video cameras such as 60. The work tool 59 may be activated by virtue of a ball remote control 61. The inclination of the camera 60 on its support arm 62 may be adjusted by virtue of a ball remote control 63. The device according to the invention thus makes it possible to adjust or preadjust the position of the tool support in a very accurate manner, with very numerous possibilities of displacement and inclination. It is thus possible to use work tools in very diverse positions and for extremely varied tasks. The rapid movements for approaching and positioning the tool support may be obtained by displacing the carriage by virtue of the winch 40 and by tilting the rod 4 in an inclined position about the axis formed by the screw 34. An accurate positioning of the tool support in respect of height may then be obtained by displacing the rod, at slow speed, in the vertical direction by virtue of the screw device 44. The accurate positioning of the tool support in horizontal directions may be obtained by virtue of the orientation device 54 and by virtue of the crossed carriage assembly 50. The pivoting mounting of the crossed carriage assembly makes it possible to ensure that the carriages are displaced in perfectly horizontal directions. When a correct position is ensured, the ball is immobilized in position by virtue of a remote control 64. FIG. 7 shows an alternative embodiment of the device according to the invention in which the carriage 10' carries, by means of two arms 46', two crossed carriage assemblies 50' which are independent and located on either side of the carriage 10' and of the rod 4. The mounting of each of the crossed carriage assemblies 50' is identical to the mounting of the crossed carriage assemblies 50 which was described hereinabove. Each of the crossed carriage assemblies 50' carries a tool support 12' whose positioning relative to the fuel assembly may be achieved independently of the second tool support. A device as represented in FIG. 7 may be used to perform several different successive operations on the assembly without having to change the tool. For example, a tool which makes it possible to commence an operation, for example the straightening of a guide fin of the fuel assembly, will be mounted on one of the tool supports, and on the other tool support 12' will be mounted a second tool which makes it possible to finish an operation, for example which makes it possible to place a guide fin in its correct inclination position. It is also possible to imagine other arrangements which make it possible to perform more or less independent displacements of at least two tool supports. For example, it is possible to imagine the pivoting mounting of a first carriage with transverse displacement on which are mounted two upper carriages with longitudinal displacement which may be controlled simultaneously or independently depending on the different work operations to be performed. The two longitudinal carriages may be connected together in order to be displaced simultaneously or, on the other hand, may be independent of one another. The crossed carriages may be disengaged in certain phases of the work operation. It is seen that the advantages of the device according to the invention are to facilitate work operations and to reduce the duration thereof on fuel assemblies by permitting rapid, accurate and perfectly adapted positioning of the tool relative to the fuel assembly. It is also possible to place the fuel assembly on which a work operation must be performed in a position which makes it possible to facilitate the work operation of the tool, depending on the possibilities of the support and positioning device. For example, it is possible to place the fuel assembly or any other irradiated material on which it is desired to perform a work operation on a support of a height such that the work operation takes place at the minimum height ensuring biological protection of the operators. It is also possible to envisage work operations on an assembly suspended from the hook of the sliding platform of the pool where the work operation takes place. It is also possible to imagine equipping the device according to the invention with motorization means to replace the ball remote controls or other manual-type remote controls. It is then possible to control the motorization means remotely in order to obtain independent or simultaneous displacements of the various movable elements of the device. It is also possible to program the displacements of the crossed carriage assembly in order to perform repetitive work operations. The device according to the invention may be used for performing visual examinations of assemblies by virtue of video cameras, in order to perform dimensional checks or any type of control using probes with eddy current or ultrasound. The device according to the invention may also be used to perform work operations requiring the implementation of various tools during operations for maintenance and repair of fuel assemblies before the latter are used to refuel the core of the reactor.
claims
1. A heat removal system for a nuclear power plant, the heat removal system comprising:an air duct provided on an outside of a reactor containment building, the air duct being configured to allow air flow of outside air therethrough and being vertical to the ground;a heat exchanger disposed in the air duct, the heat exchanger being constructed and positioned to be in the air flow through the air duct;a first pipe operatively connected to a heat source on an inside of the reactor containment building and being configured to transfer fluid heated in the reactor containment building to the heat exchanger;a second pipe operatively connected to the interior of the reactor containment building and configured to transfer cooled fluid to the interior of the reactor containment building;a cooling water supply module above the heat exchanger and configured to release cooling water into the air duct; anda first shut-off valve positioned in the air duct below the heat exchanger, the first shut-off valve being constructed and operable to selectively open and close the air duct below the heat exchanger,wherein the heat exchanger is configured to be alternatively at least partially cooled using outside air flowing across the heat exchanger inside of the air duct when the first shut-off valve is open, and cooled by being immersed in a cooling water supplied from the cooling water supply module when the first shut-off valve is closed. 2. A residual heat removal system for a nuclear power plant, the residual heat removal system comprising:an air duct provided on an outside of a reactor containment building, the air duct being configured to allow air flow of outside air therethrough and being vertical to the ground;a heat exchanger disposed in the air duct, the heat exchanger being constructed and positioned to be in the air flow through the air duct;a first pipe operatively connected to a steam generator disposed on an inside of the reactor containment building and being configured to transfer steam generated in the steam generator to the heat exchanger; anda second pipe operatively connected to the steam generator and being configured to transfer to the steam generator condensation water that is cooled and condensed in the heat exchanger;a cooling water supply module connected to spray a cooling water on the heat exchanger; anda first shut-off valve positioned below the heat exchanger, the first shut-off valve being constructed and operable to selectively open and close a lower end of the air duct,wherein the heat exchanger is configured to be alternatively air-cooled using outside air flowing across the heat exchanger inside of the air duct, water- and air-cooled using water sprayed on the heat exchanger and air flowing across the heat exchanger inside of the air duct, and water-cooled by being immersed in a cooling water supplied from the cooling water supply module when the first shut-off valve is closed. 3. The residual heat removal system of claim 2, wherein the cooling water supply module is located at a higher elevation when compared to the heat exchanger so as to supply the cooling water to the heat exchanger through use of a water head differential. 4. The residual heat removal system of claim 2, the cooling water supply module comprising:a water pipe arranged to supply the cooling water; anda water pipe shut-off electric valve arranged to open and close the water pipe. 5. The residual heat removal system of claim 2, further comprising:a second shut-off valve positioned over the heat exchanger, to selectively open and close an upper end of the air duct,wherein the first pipe and the second pipe comprise a first opening and closing valve unit and a second opening and closing valve unit to selectively open and close the first pipe and the second pipe, respectively, andthe air duct being cut off from the outside air and the reactor containment building when the first shut-off valve, the second shut-off valve, the first opening and closing valve unit, and the second opening and closing valve unit are closed. 6. The residual heat removal system of claim 2, further comprising:a connection pipe disposed between the air duct and the reactor containment building, the connection pipe comprising a check valve and a safety valve,whereby a fluid inside the air duct is released into the reactor containment building when the first shut-off valve, the second shut-off valve, the first opening and closing valve unit, and the second opening and closing valve unit are closed. 7. The residual heat removal system of claim 2, wherein the first pipe and the second pipe have a downward slope in a direction of the steam generator.
description
This invention relates generally systems and methods for inspecting manufactured articles and more particularly to systems and methods for verifying features on a manufactured article, such as verifying cooling air exit hole location on a turbine blade or vane for a gas turbine engine. Gas turbine engines, such as those used to power modern aircraft, include a compressor for pressurizing a supply of air, a combustor for burning fuel in the presence of high pressurize, compressed air to generate and accelerate high temperature, high velocity combustion gases, and a turbine for extracting energy from the resultant combustion gases. The combustion gases leaving the turbine are exhaust through a nozzle to produce thrust to power the aircraft. In passing through the turbine, the combustion gases turn the turbine, which turns a shaft in common with the compressor to drive the compressor. As the hot combustion gases pass through the turbine, various turbine elements, such as the turbine stator vanes and turbine rotor blades of the turbine, are exposed to hot combustion gases. In order to protect these turbine elements from exposure to the hot combustion gases, it is known to cool the turbine blades and vanes. In order to facilitate cooling of the blades and vanes, it is known to form the turbine blades and vanes with complex systems of internal cooling passages into which compressor bleed air, or another cooling fluid, is directed to cool the blade or vane. The cooling air exits the blade/vane through a system of holes arranged in such a manner that the exterior surface of the blade/vane is cooled, and is then passed out of the engine with the rest of the exhausted combustion gases. In some turbine blade/vane embodiments, the cooling air exit holes are arranged in a specific pattern on various facets of the blade/vane airfoil to create a surface cooling film. The surface cooling film creates a layer of cool air, which insulates the airfoil from the hot combustion gases passing through the turbine. In order to ensure that the surface cooling film properly forms, various shaped exit holes are precisely located and bored at various angles on the surface of the airfoil. Thus, after manufacture it is necessary to inspect the blades and vanes to ensure the holes are properly positioned. Conventional inspection systems include a fixture for holding the turbine blade/vane being inspected, a video camera, and a computer for controlling the inspection process and processing the video camera images. Generally, conventional inspection systems require inspection of each cooling hole from a gun-barrel view, which typically also requires the use of a five-axis coordinate measuring machine (CMM) for orientating the element and stepping the video probe from hole to hole. Since the turbine vanes and blades may, for example, have as many as 200 to over 300 cooling holes, each of which must be individually inspected. Conventional inspection systems implement a step and stop process inspection, wherein the video camera is moved from hole location to hole location and positioned in a stationary relationship relative to the hole for a period of about 1.5 to 2.0 seconds before moving on to the next hole. This dwell time is needed for the video camera and the target hole to synchronize position for the video camera to image the target hole, and the computer to analyze the dimensional measurements and output results. The video camera has a low frame rate capability, typically only 30 frames per second. Typically, inspection of a single airfoil may take as long as ten minutes, depending upon the number of holes and also the time required in initial part probing. Part probing is required to properly position the part to be inspected in the workpiece fixture prior to initiating the actual hole inspection, which in conventional practice can take from about 1.5 minutes to over 3 minutes. Therefore, there is a need for improved methods and systems for more quickly determining the location of holes on the surface of a turbine airfoil. A method is provided for inspecting a plurality of target features arrayed in spaced arrangement on a surface of a target object. The method includes the steps of: providing a fixture for holding the target object; providing a high speed camera; selectively positioning at least one of the holding fixture and the high peed camera relative to the other in a continuous relative motion along a three-dimensional path over a plurality of selected target features without pause; and each time the high speed camera orientates to a selected target feature, capturing an image of the selected target feature during an exposure duration using the high speed camera while in relative motion. The method may include the step of providing a position manipulator in operative association with the holding fixture for selectively positioning the holding fixture to orient a feature to be imaged on the target object to a desired orientation to the high speed camera at each selected target feature along the three-dimensional path. The method may include the step of storing the captured image in a data archive and processing the captured image in parallel with relative movement of the high speed camera and the holding fixture from the imaged target feature to a next to be imaged target feature. An inspection system is provided for inspecting a plurality of target features arrayed in spaced arrangement on a surface of a target object. The inspection system includes a position manipulator having a fixture for holding the target object, a high speed camera having an exposure duration of less than three millisecond, a light array in operative association with the high speed camera, a controller operatively associated with the high speed camera and with the position manipulator and operative to coordinate simultaneous positioning of the high speed camera and the target object in continuous relative motion along a three-dimensional path over the plurality of target features, and a processor operatively associated with the high speed camera for processing an image of a target feature received from the high speed camera. In an embodiment the position manipulator may comprise a five degrees of freedom CNC machine for manipulating the holding fixture in response to commands from the controller. The high speed camera may be a video camera having a frame rate capability of at least about 300 frames per sec. In an embodiment, the high speed camera may be a video camera having a frame rate capability of at least about 1000 frames per second. The light array may include a plurality of light emitting diodes and a LED driver operatively associated with the light emitting diodes for selectively switching the light emitting diodes from zero to full power in less than about 1 microsecond. A method is provided for inspecting a turbine airfoil for measuring the location of at least of a plurality of selected holes of a multiplicity of holes arrayed in spaced arrangement in a surface of the turbine airfoil. The method includes the steps of: providing a position manipulator having a fixture for holding the turbine airfoil and having a five degrees of freedom positioning system for selectively positioning the holding fixture to orient the turbine airfoil; providing a high speed camera; providing a plurality of light emitting diodes in operative association with the high speed camera; selectively positioning at least one of the holding fixture and the high peed camera relative to the other in a continuous relative motion along a three-dimensional path over a plurality of selected target features without pause; and each time the high speed camera orientates to a selected target feature, powering the light array to illuminate the selected target feature at least for the exposure duration of the camera and capturing an image of the selected target feature during the exposure duration using the high speed camera while in relative motion with respect to the selected hole. The step of selectively positioning at least one of the holding fixture and the high speed camera relative to the other includes the step of simultaneously moving the high speed camera and repositioning the holding fixture in relative motion. The high speed camera may have an exposure duration of less than about 3 milliseconds. In an embodiment, the high speed camera comprises a video camera having a frame rate capability of at least 300 frames per second and in relative motion with respect to a selected hole to be imaged at a relative speed of at least 50 inches per minute. In an embodiment, the holes of the turbine airfoil are inspected at a rate of at least 2 holes per second. The method may also include the step of probing the position of the turbine airfoil within the fixture, including the steps of: setting a nominal location and orientation of a turbine airfoil loaded into the CNC machine to what was found as an actual location and orientation of a most previous turbine airfoil inspected; and initially probing a selected a single point on the turbine airfoil to establish an estimate of the turbine airfoil location along the part Z-axis. There is depicted schematically in FIG. 1 an exemplary embodiment of an inspection system 20 for quickly and accurately locating the position of multiple target features associated with an object to be inspected. For example, the inspection system 20 disclosed herein may be used and the method of inspecting disclosed herein implemented in connection with the inspection of a turbine airfoil 22, such as a turbine blade or vane shown in FIG. 2, for the purpose of verifying the actual location of each of a multiplicity of cooling air exit holes 24 opening on the surface 26 of the turbine airfoil 22. It is to be understood, however, that the inspection system and the method for inspecting disclosed herein may be adapted for locating other features on other objects. Referring now to FIG. 1, the inspection system 20 includes a fixture 28 for holding the target part (not shown in FIG. 1) being inspected, a fixture position manipulator 30, a controller 32, a processor 34, a light array 36, a light array driver 38 and a high speed camera 40. The holding fixture 28 secures the target part to be inspected in a specific position relative to the holding fixture such that each part in a series of similar parts to be inspected is held in substantially the same position within the holding fixture 28 from part to part. The holding fixture 28 is secured to the fixture position manipulator 30 in a fixed position. The light array 36 is operatively associated with the high speed camera 40 and positioned for providing light on the target part to facilitate imaging of the part by the high speed camera 40. The light array driver 38 is operatively associated with the light array 36 for powering the light array 36 to illuminate the target part. The controller 32 is operatively associated with the fixture position manipulator 30 for commanding the fixture position manipulator 30 to selectively position the holding fixture 28 to orient the target part whereby the selected target feature to be imaged is in a desired orientation relative to the high speed camera 40. The controller 32 also controls positioning of the high speed camera 40 and coordinates the triggering of the high speed camera 40 with the orientation of the target feature such that the high speed camera 40 is triggered and the target feature imaged when the high speed camera is in a gun barrel shot position with respect to the selected target feature. By gun barrel shot position/alignment, it is meant that the focal point of the high speed camera 40 is aligned along a line extending normal to the surface of the target object at the location of the target feature to be imaged. The inspection system 20 is capable of implementing an on-the-fly inspection process in accord with the method disclosed herein. In operation, the controller 32 controls positioning of the target part by manipulation of the fixture position manipulator 30 in a controlled coordinated manner with movement of the high speed camera 40 whereby continuous relative movement along a specified, arbitrary three-dimensional path over the plurality of selected target features to be imaged is maintained between the high speed camera 40 and the target part as the multiplicity of target features are imaged without pause. That is, the high speed camera does not stop and dwell over any target feature location during imaging of that location on the target part. Rather, in accord with the process disclosed herein, the high speed camera 40 and the selected target feature to be imaged are in relative motion at a constant speed as the high speed camera is triggered and images the selected target feature. By eliminating the dwell time over the part at each inspection site, the inspection time associated with inspecting an individual target feature, such as a cooling air hole on a turbine airfoil, is significantly reduced relative to the conventional step and stop inspection method. In on-the-fly inspection as disclosed herein, the movement of the target feature of interest relative to the high speed camera 40 over the duration of the frame capture must be less than a reasonable fraction, such as for example 1/10th, of the true position tolerance of the target feature. Thus, in implementing the on-the-fly inspection method disclosed herein, the speed of movement of the high speed camera 40 is primarily limited by the frame rate capability, of the camera 40 and the ability of the high speed camera 40 to collect enough light during the exposure duration for adequate contrast so that the image of the target feature can be resolved. Generally, the high speed camera 40 should have an exposure duration, i.e. time required for imaging a target feature, of less than three (3) milliseconds. For example, a high speed camera having a frame rate capability of at least about 300 frames per second would enable imaging with relative motion between the camera and the target feature at a constant speed of at least about 50 inches per minute. The light array 36 is provided for illuminating the target feature with sufficient light at least during the exposure duration, that is at the time the high speed camera 40 images the target feature. The light array 36 comprises a plurality high intensity light emitting devices, for example light emitting diodes (LEDs), arranged to illuminate the target feature to provide adequate contrast. The number of light emitting diodes comprising the light array 36 depends upon the power level applied to drive each diode. If a higher power level is applied per diode, for example about one watt or more per diode, the number of light emitting diodes may be decreased. Conversely, if a lower drive power level per diode is desired, a greater number of light emitting diodes may be provided. However, conventional low power, i.e. low wattage, LEDs commonly used in commercial applications do not provide sufficient light output per diode to be used in implementing the on-the-fly inspection method disclosed herein. The number of LED's may also be reduced if a means of focusing is provided in association with the light emitting devices forming the light array 36 to increase the flux (intensity per unit area) in the image field of view of the high speed camera 40. The LED's making up the light array 36 may be arranged in a ring pattern, in a single row, a double row or any other suitable arrangement. The light array driver 38 is controlled by the controller 30 through the high speed camera 40 to power the light emitting devices comprising the light array 36. Although the light array could be powered continuously during the inspection process, doing so creates excess heat and shortens the life of the lights. In implementing the method disclosed herein using a high speed camera, the light array 36 may be powered in synchronization with the imaging of the target feature by the high speed camera 40. When the high speed camera 40 is moving over the target feature, the high speed camera 40 triggers the light driver 38 to power the light array 36 to illuminate the target feature during the exposure duration. With LEDs making up the light array 36, the light driver 38 comprises a LED driver having the capability of selectively switching the light array LEDs from zero power to at least full power in less than one microsecond to flash the LEDs in coordination with the camera exposure duration. Precise coordination of the camera exposure duration and the LED flash duration is particularly important at the higher relative speeds of movement between the high speed camera 40 and the target feature to be imaged that may be used in implementing the on-the-fly inspection method disclosed herein to eliminate blurring and ensure clarity of the image of the target feature. Additionally, the LED driver can have the capability of over-powering the light array LEDs, that is powering individual LEDs of the light array 36, all or selected LEDs thereof, at a power level in excess of the full rated power of the LED. Although over-powering the LEDs is not required when implementing the on the-fly inspection method disclosed herein, over-powering the LEDs produces a “strobing-like” effect that may improve image contrast and clarity during the exposure duration. This effect is not possible to attain with conventional lights, such as incandescent or halogen lights. The light array LEDs are arranged such that directional control is available for adjustment of the geometry comprising the orientation of the optical axis of the camera lens, the light from the LEDs, and the target part orientation surrounding the feature of interest. Adjustment may be achieved by selectively controlling, through software control, the intensity of each available light array LED at its respective location with respect to the target feature. As noted previously, conventional step and stop inspection systems typically employ a 5-axis, coordinate measurement machine in combination with a low speed video camera. Such machines can move the video camera and/or the part to a location and orientation very well in a step and stop inspection process even though each axis may arrive at its individual target location at a different time. However, conventional coordinated measurement machines do not have the ability to control three linear and two rotary axes in a coordinated fashion for imaging while in motion as required in implementation of the on-the-fly inspection method disclosed. In the on-the-fly inspection system 20, the fixture position manipulator 32 comprises a computer numerically controlled (CNC) machine under direct control of the controller 30. The CNC machine 32 secures the fixture 28 that holds the target object to be inspected. The CNC machine 32, under the control of the controller 30, provides coordinated five degree of freedom motion control for maneuvering the fixture 28 in the CNC machine 32 to align the target object to a desired orientation with the high speed camera 40 for imaging of the selected target feature. CNC machines with coordinated 5-axis motion control are known for use in the aerospace industry for machining applications, for example where the location and orientation of a cutting tool relative to the workpiece is important at all times when the two are in contact. However, the use of CNC machines with coordinated five degrees of freedom motion control is novel in inspection applications for imaging a target feature on a target object with a high speed camera while in relative motion along a three-dimensional path without the stop and step required in practice. As noted above, in on-the-fly inspection as disclosed herein, the high speed camera 40 images the target feature while in relative motion with respect to the selected target feature at a constant speed. Depending upon the relative speed and the spacing between target features, the high speed camera 40 may be imaging several target features a second. Therefore, the inspection system must be capable of handling the images produced in such a manner as to not adversely impact control loop cycle time of the controller 30. During a single control loop cycle, the computer 34 will receive a signal from feedback devices of each axis as the actual position, modify this position of each axis with any active corrections as applicable, compare the result to the commanded position at that time, and output power signals to each axis motion control device (usually a motor) associated with the fixture position manipulator 32 subject to the various control parameters (tuning) which have been set. The control loop cycle time should desirably be around 1 millisecond or less. Performing analysis of images and performing other output functions during the “random” cycles when the images are available (1 in 150 cycles for example) in such a way that the cycle time can be maintained reliably would severely limit what the cycle time could be achieved and consequently severely limit the speed of measurements. Accordingly, the inspection system 20 incorporates a parallel processor 34 for performing image analysis. Whenever the high speed camera 40 images a target feature, the single frame image is captured by the high speed camera 40 and stored to memory as a file in data archive 42. The processor 34 will access the image file, read the image file, analyze the image, determine the location of the target feature, for a hole center, and create the output data while the high speed camera and target object are in motion to align on the next target feature of interest. In conventional stop and step inspection methods, the image analysis was performed while the video camera remained stationary in front of the imaged target feature. In the on-the-fly inspection method disclosed herein, the image analysis occurs while the high speed camera and the target object are in relative motion along a three-dimensional path at its constant speed as the next target feature is brought into a gun shot barrel align with the high speed camera. Therefore, image analysis does not adversely impact control loop cycle time. If desired, an additional processor 46 may be provided in parallel with the processor 34 to assist in processing the images. Each of the processors 34 and 46, as well as the controller 30, may be commercially available microprocessors, each of which is typically associated with a separate computer monitor, memory bank and peripherals, but two or more of which may be associated with a common computer monitor, memory bank and peripherals, if practical from a logistics and processing viewpoint. The on-the-fly inspection method will be described further as implemented for the inspection of turbine airfoils for the purpose of verifying the position of a multiplicity of cooling air holes. Referring to FIG. 2. there is depicted an exemplary embodiment of a turbine airfoil 22 having a multiplicity of cooling air exit holes 24 arranged in a generally column and row fashion on the pressure side surface 26 of the airfoil 22. The root or bottom of the airfoil 22 is shown in cut-away to reveal cooling air passages 48. To cool the turbine airfoils during operation of the gas turbine engine, high pressure air, typically compressor bleed air, enters the cooling passages 48, which extend into the interior of the turbine airfoil 22. At least a portion of the cooling air exits from the cooling air passages 48 through the cooling air exit holes 24 to flow along the exterior surface of the turbine airfoil 22. The multiplicity of cooling air exit holes 24 must be arranged in a precise pattern designed to achieve complete cooling coverage of the surface of the turbine airfoil 22. In an exemplary embodiment of a turbine airfoil, over 300 cooling air exit holes 24 may be provided with the cooling air exit holes 24 typically having a diameter of about 300 microns and typically being spaced apart at about 0.200 inches. The on-the-fly inspection method disclosed herein can be used for verifying the precise actual location of each cooling air exit hole 24 on the turbine airfoil 22. To begin, through the user interface 50, which may be a dedicated computer terminal or a computer terminal in a network system, the operator selects the appropriate program for the turbine airfoil (blade or vane) to be inspected from a list of available part programs. The airfoil to be inspected, for example turbine airfoil 22, is loaded in a known manner in the fixture 28 of the fixture position manipulator 32, which in this implementation of the method comprises a five degree of freedom CNC machine. The high speed camera 40 and the holding fixture 28 are supported in the CNC machine 32 in spaced, facing relationship. The high speed camera 40 may be supported for movement in one or two linear degrees of freedom, while the holding fixture 28 is supported for movement in both rotational degrees of freedom and at least one linear degree of freedom. In a typical installation, the high speed camera 40 would be supported above the fixture and at least moveable along a vertical axis up and down relative to the turbine airfoil held in the holding fixture 28. With a turbine airfoil loaded onto the CNC machine 32, the location and orientation of the turbine airfoil with respect to each of the five degrees of freedom of the CNC machine 32 can be estimated based on the design of the holding fixture 28. As in conventional systems, the design of the holding fixture 28 includes the fixing of the turbine airfoil 22 to the holding fixture 28 in a repeatable consistent manner from airfoil to airfoil as well as the means of fixing the holding fixture 28 to the CNC machine 32 in a consistent manner. It is possible, but not feasible, to know the location and orientation of the turbine airfoil with respect to the CNC machine to a level of accuracy required for the measurement of feature locations. This is due to the influence of variations that arise from actual dimensions of the turbine airfoil and holding fixture within their respective machining tolerances as well as the non-repeatability of airfoil loading and fixture loading. Because of the careful design and process controls that would be required to position the part deterministically to within the required limits, a touch-trigger probe is used to simply find the actual location and orientation of each individual turbine airfoil prior to its measurement. The part datum planes are established by measuring the location of 6 specific points on the surface of the turbine airfoil. In conventional practice for hole inspection on turbine airfoils using the step and stop method, the accurate determination via part probing usually involves multiple iterations of the 6-point probing sequence for which each successive sequence improves accuracy in the determination of the part location and orientation. Iterations are required due to curvature on the surface in the vicinity of the specified datum points. If there is no curvature of the surface in the vicinity of the datum points, it is feasible to find the location and orientation of the part in one iteration of the probing sequence. In existing applications, part probing consumes from 1/10 to ⅓ of the total measuring time. It is a fixed time so the percent of total depends on the number of holes to be inspected, which is the variable time depending on individual part program. However, if the same conventional part probing methods were to be used when implementing the on-the-fly inspection method disclosure herein for turbine airfoil cooling air hole inspection, the part probing portion of the measurement cycle could be expected to approach 75% even when a turbine airfoil has a relatively high number of holes to be inspected. Therefore, to shorten overall inspection time and take full advantage of the time saving associated with on-the-fly inspection, when implementing the on-the-fly inspection method the nominal location and orientation of a turbine airfoil loaded into the CNC machine 32 will be what was found as the actual location and orientation of the most previous turbine airfoil inspected, thereby reducing the potential variation to only the repeatability of the part loading and the variation within tolerances of the locating surface of the part. Additionally, the touch-trigger probe to be used will consist of two distinctly calibrated positions. The first position being the sphere as the end of the stylus and the second position being the cylinder of the stylus shaft itself at a specified location up from the sphere center. When the calibrated cylindrical portion of the probe is used on a surface datum point having curvature, it creates a line/point contact and eliminates errors due to curvature in one direction. Further, prior to initiation the probing sequence of the 6 datum points, a single point will be probed to establish a very good estimate of the turbine airfoil location along the part Z-axis. These changes will reduce the required probing to a single iteration for most parts and reduce the probing time from around 100 seconds associated with conventional probing practices to less than 50 seconds. Referring now to FIG. 3, when the operator selects the appropriate program associated with the turbine airfoil to be inspected, at step 100, the selected program will be loaded into the controller 30. The program will consist mainly as a list of positions for each of the 5 degrees of freedom associated with the CNC machine 32, i.e. 3 linear degrees of freedom (x, y and z coordinate axes) and two rotational degrees of freedom (one about the axis of the holding fixture and one in a plane orthogonal to the axis of the holding fixture). These positions correspond to the nominal locations of the holes to be inspected. The camera settings for the high speed camera 40, which in this implementation of the method disclosed herein comprises a video camera, are configurable by the data link with the controller 30. When a part program is selected, the controller 30 will make the previously specified settings on the video camera for that particular part program. The actual inspection cycle begins with the computer 30, at step 102, placing the video camera 40 in motion and, simultaneously at step 104, maneuvering the fixture 28 holding the turbine airfoil. The video camera 40 and turbine airfoil are in relative motion along a three-dimensional path at a constant relative speed to orient the turbine airfoil and the video camera such that the next to be imaged target hole and the video camera are brought into gun barrel shot alignment. For example, the video camera and the turbine airfoil may be in relative motion along a three-dimensional path at a constant relative speed of at least about 50 inches per minute between holes in a row/column of holes 24 and at an even higher relative speed, for example about 200 inches per minute, between rows/columns of holes 24. The controller 30 controls the CNC machine 32 to maneuver the fixture 28 and relative movement of the video camera to properly orient the turbine airfoil 22 with respect to the video camera 40 for imaging of each individual hole 24 of the multiplicity of cooling air holes 24 on the surface of the turbine airfoil 22. At step 106, at each instant during the inspection cycle that the video camera 40 aligns in gun barrel shot relationship to a nominal hole position, the controller 30 sends a signal to the video camera 40. At step 108, upon receipt of that signal from the controller 30, the video camera 40 triggers the LED driver 38 which in turn powers, that is switches from zero power to full power, the LEDs of the light array 36 for a preset duration. At step 110, in synchronization with the flashing of the LEDs of the light array 36, the video camera 40 captures an image of the target hole 22 as the video camera passes over the target hole. At step 112, the captured image is stored in a designated folder in the data archive 42 associated with the processor 34. At step 114, the captured image is accessed and processed in parallel with the movement of the video camera 40 and the maneuvering of the fixture 28 while repositioning at a constant relative speed toward the next target hole. The basic result of an image analysis will be the pixel location of the centroid of the identified blob (Binary Large OBject), i.e. the cooling air exit hole 24. Based on previous calibration the location and rotation of the camera pixel array is known with respect to the machine coordinate system. Also, the location and orientation of the part coordinate system is known with respect to the machine coordinate system by the nominal tool design and by the results of the part probing which refines the tool matrix to actual. Furthermore, the location and orientation of each hole 24 is specified by the engineering definition for the part with respect to the part datum planes. Appropriate coordinate transformations are carried out by the processor 34 to determine the location of each hole 24 relative to that hole's nominal, specified location. The difference is the true position error. The on-the-fly inspection method disclosed herein is capable of performing a hole location inspection of a turbine airfoil several times faster than the time required for using conventional step and stop hole inspection methods. For example, a turbine vane having 211 holes was subject to hole measurement inspection using a conventional step and stop method using a video camera having a frame rate capability of 30 frames per second. The time required to measure all of the 211 holes was timed at 443 seconds. Implementing the on-the-fly method disclosed herein using a high speed video camera having a frame rate capability of 1000 frames per second and moving the video camera and maneuvering the orientation of the turbine airfoil at a constant relative speed of 50 inches per minute between holes in a row and at a speed of 200 inches per minute between rows, it is estimated the measurement time for measuring the same 211 holes would be reduced to 43 seconds, a ten-fold decrease. As a further example, a turbine airfoil having 330 holes was subject to hole measurement inspection using a conventional step and stop method using a video camera having a frame rate capability of 30 frames per second. The time required to measure all of the 330 holes was timed at 690 seconds. Implementing the on-the-fly method disclosed herein using a high speed video camera having a frame rate capability of 1000 frames per second and moving the video camera and maneuvering the orientation of the turbine airfoil at a constant relative speed of 50 inches per minute between holes in a row and at a speed of 200 inches per minute between rows, it is estimated the measurement time for measuring the same 330 holes would be reduced to 57 seconds, an over ten-fold decrease. Due to the dynamics of the CNC machine and the timing of electrical components, the on-the-fly inspection method discussed herein may not be quite as accurate in determining actual hole location on turbine airfoils as the conventional stop-and-dwell inspection method. However, the synergistic effect of the combination of the high speed camera, the five degree of freedom CNC machine, the LED light array and the controller for coordinating the relative motion along a three-dimensional path between the high speed camera and the turbine with the triggering of the high speed camera to image the holes while in relative motion, provides for a much faster inspection method, more than offsetting a slight difference in accuracy. Furthermore, any slight deficiency in accuracy compared to the conventional “stop and dwell” method may be compensated for on a part by part basis. For example, for each unique part number to be inspected, a master part is identified as a calibrated artifact. The master part is then measured on a conventional inspection apparatus in accord with a conventional “stop and dwell” method. The master part is also measured on an inspection system implementing the “on-the-fly” inspection method disclosed herein. The respective hole dimension results attained by the two methods are compared for each and every measured hole location. A table of the differences is created and loaded into the inspection program for the on-the-fly method as a x-axis correction value and a y-axis correction value for each hole location. For each subsequent part with this unique part number inspected, the appropriate correction values will be added to the actual measured dimensional values thereby “correcting” for the output results from the on the fly inspection method disclosed herein to conform to the conventional “stop and dwell” method, whereby accuracy of measurement does not suffer, but significant time saving are achieved. The terminology used herein is for the purpose of description, not limitation. Specific structural and functional details disclosed herein are not to be interpreted as limiting, but merely as basis for teaching one skilled in the art to employ the present invention. Those skilled in the art will also recognize the equivalents that may be substituted for elements described with reference to the exemplary embodiments disclosed herein without departing from the scope of the present invention. While the present invention has been particularly shown and described with reference to the exemplary embodiment as illustrated in the drawing, it will be recognized by those skilled in the art that various modifications may be made without departing from the spirit and scope of the invention. For example, in the implementation of the inspection method described herein, the inspection measures the hole location in two dimensions. However, in other applications, the method could be used to measure hole size or the orientation of the axis of the hole relative to the surface of the airfoil. Therefore, it is intended that the present disclosure not be limited to the particular embodiment(s) disclosed as, but that the disclosure will include all embodiments falling within the scope of the appended claims.
summary
claims
1. A detection apparatus usable to detect a neutron absorption capability of a control element of a nuclear installation, the detection apparatus comprising:a number of manipulators;a neutron radiograph apparatus comprising an neutron emission source, a detector array, and a mask apparatus, the neutron radiograph apparatus being structured to receive the control element generally between the neutron emission source and the detector array; andthe mask apparatus being movable by at least a first manipulator of the number of manipulators among a number of positions, the number of positions comprising a plurality of different positions disposed at least partially between the neutron emission source and the detector array. 2. The detection apparatus of claim 1 wherein the mask apparatus comprises a mask system having an orifice formed therein, the mask system being structured to generally resist the passage therethrough of the neutron stream but permitting passage of at least a part of the neutron stream through the orifice. 3. The detection apparatus of claim 2 wherein the orifice has a number of physical dimensions in a number of directions transverse to the part of the neutron stream, the number of manipulators being operable to change at least one physical dimension of the number of physical dimensions between a first size and a second size different than the first size. 4. The detection apparatus of claim 3 wherein the mask system comprises a first mask having a first opening formed therein and a second mask having a second opening formed therein, the number of manipulators being operable to manipulate at least one of the first mask and the second mask to overlie at least a portion of at least one of the first opening and the second opening with at least a portion of the other of the first opening and the second opening to form the orifice from the overlying at least portions of the first and second openings. 5. The detection apparatus of claim 4 wherein whereby movement of one of the first mask and the second mask with respect to the other of the first mask and the second mask changes the at least one physical dimension between the first size and the second size. 6. The detection apparatus of claim 4 wherein the number of manipulators are operable to at least partially receive at least one of the first mask and the second mask between the neutron emission source and the detector array separately from the other of the first mask and the second mask. 7. The detection apparatus of claim 4 wherein at least one of the first opening and the second opening has a length and a width that are controlled by the number of manipulators. 8. The detection apparatus of claim 4 wherein at least one of the first mash and the second mask is of a generally plate-like configuration. 9. The detection apparatus of claim 1 wherein the neutron emission source is switchable between an ON state and an OFF state, the neutron emission source in the ON state being in an electrically energized condition structured to generate a neutron stream, the neutron emission source in the OFF state being in an electrically de-energized condition structured to output no meaningful neutron stream, and wherein the neutron emission source comprises an accelerator structured to accelerate light atomic ions, typically, but not limited to hydrogen isotopes so as to induce nuclear fusion reactions in a target on which the beam is focused in the ON state of the neutron emission source, the accelerator being of variable strength in both variable beam current and acceleration velocity. 10. The detection apparatus of claim 1 wherein the mask apparatus in a position from among the plurality of different positions is structured to at least one of block and absorb at least a portion of the neutron stream. 11. A method of operating the detection apparatus of claim 1 to detect a neutron absorption capability of a control element of a nuclear installation wherein the nuclear installation has a pool of water, the neutron emission source being switchable between an ON state and an OFF state, the neutron emission source in the ON state being in an electrically energized condition structured to generate a neutron stream, the neutron emission source in the OFF state being in an electrically de-energized condition structured to output no meaningful neutron stream, the method comprising:receiving into the pool of water the neutron emission source in the OFF state;submerging the neutron emission source the OFF state in the pool of water to a predetermined water depth; andswitching the neutron emission source from the OFF state to the ON state when the depth of the neutron emission source in the pool of water meets or exceeds the predetermined water depth to enable safe operation of the neutron emission source. 12. The method of claim 11, further comprising:receiving the detector array and the mask apparatus into the pool of water;receiving at least a portion of the control element generally between the neutron emission source and the detector array, andmonitoring the detector array for the possible outputting therefrom of an output signal that would be representative of an unabsorbed portion the neutron stream passing without being absorbed through the at least portion of the control element. 13. The method of claim 12, further comprising moving at least one of the neutron radiograph apparatus and the control element with respect to the other of the neutron radiograph apparatus and the control element while performing the monitoring. 14. The method of claim 12, further comprising:receiving, from the detector array an output signal that is representative of an unabsorbed portion the neutron stream passing without being absorbed through the at least portion of the control element, andresponsive to the receiving, reporting a condition of the control element. 15. The method of claim 14 wherein the mask apparatus comprises a mask system having an orifice formed therein, the mask system being structured to resist the passage therethrough of the neutron stream but permitting passage of at least a part of the neutron stream through the orifice, and further comprising:receiving the output signal when the mask apparatus is in one position removed froth between the neutron emission source and the detector array;responsive to the receiving, moving the mask apparatus from the one position to a position from among the plurality of positions; andmonitoring the detector array for the possible outputting therefrom of another output signal that would be representative of at least a part of the unabsorbed portion of the neutron stream passing through the orifice. 16. The method of claim 15, further comprising:moving at least one of the control element and the orifice among a plurality of positions of the orifice with respect to the control element;detecting a number of instances of the another output signal in at least a subset of the plurality of positions; andrecording a control element inspection elevation, a neutron source strength, a mask configuration, a measured detector array response, and an expected detector array response. 17. The method of claim 16 wherein the orifice has a number of physical dimensions in a number of directions transverse to the part of the neutron stream, and further comprising:detecting the number of instances of the another output signal when a physical dimension of the number of physical dimensions is of a first size;changing, the physical dimension from the first size to a second size smaller than the first size;moving at least one of the control element and the orifice in the second size among a plurality of further positions within the at least subset of the plurality of positions;detecting a number of further instances of the another output signal in a number of positions from among the plurality of further positions; andrecording, the number of further positions. 18. The method of claim 17, further comprising:positioning the neutron emission source in the ON state at least a predetermined distance from the detector array; andemploying the water in the pool to slow the neutron stream sufficiently that the unabsorbed portion is detectable by the detector array. 19. The method of claim 17 wherein the mask system comprises a first ask having a first opening formed therein and a second mask having a second opening formed therein, at least one of the first opening and the second opening having a length and a width that are controlled by a robot apparatus, and further comprising:manipulating at least one of the first mask and the second mask to overlie at least a portion of at least one of the first opening and the second opening with at least a portion of the other of the first opening and the second opening to form the orifice from the overlying at least portions of the first and second openings;moving one of the first mask and the second mask with respect to the other of the first mask and the second mask to at least one of:change the at least one physical dimension between the first size and the second size; andmove the position of the orifice with respect to the control element among the plurality of positions. 20. The method of claim 19, further comprising operating the robot apparatus to at least partially receive at least one of the first mask and the second mask between the neutron emission source and the detector array separately from the other of the first mask and the second mask.