patent_number
stringlengths 0
9
| section
stringclasses 4
values | raw_text
stringlengths 0
954k
|
---|---|---|
053373367 | description | DESCRIPTION OF THE PREFERRED EMBODIMENT(S) Illustrated schematically in FIG. 1 is a nuclear reactor building 10 including a conventional containment vessel 12 surrounding and fully enclosing a conventional drywell 14 which in turn surrounds and fully encloses a conventional reactor pressure vessel 16. The pressure vessel 16 contains a conventional reactor core 18 normally submerged in reactor coolant or water 20. A conventional main steamline 22 operatively joins the pressure vessel 16 to a conventional steam turbine-generator (not shown) for channeling steam 20a thereto. More specifically, the reactor core 18 includes a plurality of conventional fuel rods 24 (only two of which are illustrated) typically configured in bundles in a conventional two-dimensional array within the reactor core 18. Each of the fuel rods 24 conventionally includes a plurality of nuclear fuel pellets within an enclosed tubular rod or cladding, and is effective for undergoing nuclear fission, or burning, to heat the reactor water 20 for generating the reactor steam 20a which is conventionally discharged through the main steamline 22 to power the steam turbine. During normal operation of the reactor core 18, radioactive and non-radioactive iodine will be formed and contained in the fuel rods 24 in various conventional species which may include elemental iodine (I.sub.2), cesium iodide (CsI), and hydrogen iodide (HI). Furthermore, organic species such as methyl iodide (CH.sub.3 I), and anomalous species may also be formed. In an exemplary reactor core 18 sized for generating about 1,000 MWe (megawatt electric), it is estimated that the total inventory of iodine in its various species will be no more than about 20 kg. During normal operation of the reactor core 18 with the fuel rods 24 remaining intact, the generated iodine species are retained within the fuel rods 24 and are of no additional concern. However, in the event of an accident condition such as a loss-of-coolant accident (LOCA), one or more of the fuel rods 24 may become overheated and rupture and, thereby, release the iodine species into the reactor water 20 within the pressure vessel 16. Since the total iodine inventory is relatively small to begin with, the amount of the iodine species released into the reactor water 20 following the LOCA is yet smaller in quantity. However, the release of volatile radioactive iodine into the reactor water 20 is an important consideration since it may escape with the steam 20a from the pressure vessel 16 to spread radioactive contamination possibly in the drywell 14 and in the containment 12, for example, which, of course, is undesirable. In accordance with the present invention, an apparatus 26 is provided for controlling or decreasing the release of volatile radioactive iodine from the pressure vessel 16 following an accident condition such as the LOCA. The apparatus 26 includes a conventional reservoir 28 preferably mounted at an elevation above the reactor core 18 and containing a stored stable iodide in a liquid, preferably water, solution 30. The stable iodide contained in the reservoir 28 is non-radioactive and is non-volatile. A conventional supply conduit 32 joins the reservoir 28 in flow communication with the pressure vessel 16, and a normally closed valve 34 is disposed in the supply conduit 32 to prevent flow of the stored solution 30 to the pressure vessel 16 until the valve 34 is selectively opened following the accident condition for allowing the stored solution 30 to flow into the pressure vessel 16 to mix with the reactor water 20 therein. Following an accident condition such as the LOCA, the pressure vessel 16 is conventionally depressurized by diverting steam therefrom to a conventional suppression pool 42, and, the stored solution 30 may be allowed to flow simply by gravity through the supply conduit 32 and the open valve 34 into the pressure vessel 16. However, a conventional pump 36 may also be used in serial flow communication in the supply conduit 32 for pumping the stored solution 30 through the conduit 32 and into the pressure vessel 16 to ensure the complete and prompt draining of the stored solution 30 from the reservoir 28 and into the pressure vessel 16. The valve 34 is conventionally operatively joined to a conventional controller 38 which conventionally monitors the pressure vessel 16 to sense for an accident condition such as the LOCA, which, in response thereto, will signal the valve 34 to open as well as energize the pump 36 for injecting into the pressure vessel 16 the stored solution 30. A conventional sensor 40 is operatively joined to the controller 38 and any suitable location such as the pressure vessel 16 for monitoring the pressure vessel 16 to conventionally determine whether or not an accident condition such as the LOCA develops which may lead to the discharging into the reactor water 20 of the volatile radioactive iodine from the fuel rods 24. The sensor 40 is shown schematically to represent one or more sensors which may be used to actually sense the rupture of any of the fuel rods 24 if desired, or may be used to simply monitor the occurrence of the accident condition such as the LOCA in a conventional manner. The monitoring of the accident condition is irrespective of whether or not any of the fuel rods 24 actually ruptures for obtaining a safe shutdown of the reactor core 18 without release of volatile radioactive iodine therefrom. Accordingly, if monitoring of the pressure vessel 16 indicates the required accident condition such as the LOCA, the controller 38 will cause the valve 34 to open for injecting into the pressure vessel 16 the stable iodide in the liquid solution 30 being stored in the reservoir 28 to mix with the reactor water 20 within the pressure vessel 16 for decreasing or preventing vaporization from the reactor water 20 of any volatile radioactive iodine which may be discharged therein from the fuel rods 24. By injecting into the pressure vessel 16 an effective amount of the stable, non-volatile iodide, the iodine species generated in the fuel rods 24 during fissioning and actually released into the reactor water 20 following the accident condition will mix and equilibrate with the added iodide and become stabilized, or non-volatile, to reduce or prevent the release of radioactive volatile iodine from the reactor water 20 within the pressure vessel 16 which might escape with the reactor steam 20a. It is conventionally known that dissolved oxygen, hydrogen peroxide and organic impurities contained in the reactor water 20 within the pressure vessel 16 can promote the formation of volatile iodine following the release of the iodine species into the reactor water 20 from any ruptured fuel rods 24. By introducing added iodine in the form of stable iodide into the reactor water 20 within the pressure vessel 16 following the accident condition, the relative concentration of the dissolved oxygen, hydrogen peroxide and organic impurities with respect to the total iodine decreases, which will, therefore, decrease or prevent the formation of any additional radioactive volatile iodine from the iodine species released from the fuel rods 24. Furthermore, volatile radioactive iodine which might be released from the fuel rods 24 may be stabilized, or made non-volatile, through conventional isotopic exchange reactions between the iodine species released from the fuel rods 24 and the stable iodide injected into the pressure vessel 16. For example, normal, non-radioactive iodine has an atomic weight of 127, i.e. I.sup.127, and various isotopes of iodine are radioactive such as I.sup.129, I.sup.131, I.sup.132, I.sup.133, I.sup.134, and I.sup.135. The iodide stored in the solution 30 within the reservoir 28 is a stable, non-volatile compound which may take any suitable and conventional form and is preferably selected from the stable iodide group including sodium iodide (NaI) and potassium iodide (KI). Through the conventional isotopic exchange reactions, the volatile radioactive iodine species will exchange with the iodine in the stable iodide compounds so that the stable iodide compound becomes radioactive and the exchanged or released volatile iodine is non-radioactive. Since the iodide compound is stable, the radioactive iodine now contained therein will not be vaporized but will be retained within the reactor water 20 and will not escape with the steam 20a. The exchanged iodine, although being volatile, will not be radioactive, and its escape with the reactor steam 20a is no longer a concern for the spreading of radiation. An effective amount of the stored iodide in the solution 30 within the reservoir 28 should have a quantity or mass selected relative to the quantity of the reactor water 20 contained in the pressure vessel 16 to effect a final concentration of total iodine from both the stored iodide and the reactor iodine contained in the reactor core 18 of greater than about 10.sup.-5 M (moles), and preferably greater than about 10.sup.-4 M. For example, in the exemplary 1,000 MWe reactor core 18 having up to about 20 kg of iodine, including both radioactive, non-radioactive, stable, and volatile, the amount of iodine to be injected into the pressure vessel 16 in the form of the stable iodide solution, is preferably about 200 kg to ensure a final concentration of total iodine of all species, including the original iodine as well as the added iodine in the reactor water 20, preferably greater than or equal to about 10.sup.-4 M. As shown schematically in FIG. 1, the stored solution 30 includes stable iodide as represented graphically by the small circles, which is injected in the liquid solution 30 into the pressure vessel 16 to mix with the reactor water 20 therein to therefore mix with the iodine species released from the fuel rods 24, which are represented graphically by the small plus signs. Since the stable iodide may be stored in the liquid solution 30 in the reservoir 28 for a considerable number of years, it is preferred to add thereto a suitable stabilizer to inhibit or prevent oxidation of the stored iodide which would decrease its ability to reduce the release of volatile iodine from the pressure vessel 16 following the accident condition. Two exemplary stabilizers which may be used with the preferred sodium iodide being stored in the reservoir 28, which is corrosive, are selected from the group including sodium hydroxide (NaOH) and sodium sulfite (Na.sub.2 SO.sub.3). An effective amount of the stabilizer is used with the stored iodide to inhibit the oxidation thereof for maintaining its effectiveness to reduce or prevent the release of volatile radioactive iodine in the pressure vessel 16 following the accident condition. While there have been described herein what are considered to be preferred and exemplary embodiments of the present invention, other modifications of the invention shall be apparent to those skilled in the art from the teachings herein, and it is, therefore, desired to be secured in the appended claims all such modifications as fall within the true spirit and scope of the invention. |
description | This is a continuation-in-part of U.S. patent application Ser. No. 10/644,206, filed Aug. 20, 2003, and entitled “X-Ray Imaging System With Automatic Image Resolution Enhancement”. The present invention relates to x-ray imaging systems, and in particular, to automated x-ray imaging systems capable of providing selectively enhanced volumetric image resolutions, e.g., for magnifying the field of view and providing a volumetric display image having features not otherwise visible to an unaided human eye. Medical x-ray imaging has been and continues to be a very important tool for medical diagnostics. Such systems typically use x-ray film or digital electronic image sensors to record the intensity of the photons that pass through the subject. Conventional automatic exposure systems are often used to control the x-ray exposure by controlling things such as the voltage or current driving the x-ray source or controlling the exposure time to achieve the best exposure to the entire volume of the subject being imaged. Such systems use a signal acquired at the exit side of the subject of the x-ray imaging to control the exposure. In those systems using film, detectors, placed either in front of or behind the film, generate one or more signals based on the amount of x-ray exposure received. These detectors, calibrated to the film being used, provide signals which can be processed to determine and control the overall exposure. In those systems using electronic image sensors, the image signals provided by the sensor array can be used directly for monitoring the exposure and providing appropriate control signals. Following completion of the x-ray imaging itself, the image in its film or electronic form is then typically checked for image quality by the attending technician. Later, that same film or electronic image is checked by a specialist, e.g., a radiologist, and a diagnosis is performed. Depending upon the results of the diagnosis, the subject may be brought back for additional x-ray imaging of the region or regions found to be of greater interest following the diagnosis. Such subsequent imaging will typically be performed with the collimator adjusted to focus on the specific regions of interest, and increased x-ray doses will be applied. Images generated using x-ray radiation are often degraded by scattering of the radiation, low signal-to-noise ratio (caused by a desire for exposing the subject to as minimum a radiation dose as possible), requirements for large dynamic range, and saturation of the sensors used in the detector array (caused by x-ray radiation striking the imager without attenuation). While these problems can be minimized by the attending technician using collimators to isolate a region of interest, such technician is generally not qualified to read the images or determine the appropriate areas of interest. Further, during many procedures, the patient is under some discomfort during the procedure and is, therefore, removed from the imaging system prior to any reading of the film or image. Accordingly, significant percentages of subjects are recalled for additional imaging. These types of problems become increasingly significant as the sizes and scales of the features sought to be viewed decrease. For example, a number of studies have revealed the role of angiogenesis, i.e., the formation and differentiation of blood vessels, in the development of cancer and other diseases. Corresponding trials with antiangiogenic approaches to treatment have been used with some success. Accordingly, techniques for evaluating tissue vascularization have become increasingly important. The well-known technique of computed tomography (CT) and magnetic resonance imaging (MRI) have been used most frequently to evaluate tumor malignancy and the effects of various therapies on the patients. Typically, contrast enhancement materials are used for purposes of improving the resulting images. However, accurate imaging of the blood vessels present has relied primarily upon estimations based on the accumulation of the contrast medium within the small spaces among the vessels, generally as a result of increased vessel leakage. Further, the small sizes of the blood vessels within the tumors are difficult to assess with typical scanner equipment due to low sensitivity of the contrast media and low signal-to-noise ratio (SNR) when using high local resolution scanning. These problems can often be overcome by using micro-CT (e.g., using a synchrotron source providing an intense collimated beam of monochromatic x-rays) or volumetric CT (e.g., using multiple flat panel detectors or multiple rows of detectors to scan a volume of the subject). However, the problems discussed above concerning real-time evaluation and focusing upon the region of interest remain. Accordingly, it would be desirable to have an x-ray imaging system capable of determining, focusing upon and selectively increasing, in a real time manner, the volumetric image resolution of the regions of the subject being of the most interest. In accordance with the presently claimed invention, an automated X-ray imaging system and method are provided for producing a plurality of X-ray imaging signals having selectively enhanced volumetric image resolutions, e.g., for magnifying the field of view and providing a display image having features not otherwise visible to an unaided human eye. Successive doses of X-ray radiation are applied to a portion of the subject to produce corresponding image signals. Such doses of X-ray radiation are controlled by controlling X-ray radiation characteristics, such as intensity, focal spot size, focal spot location, focal spot shape, or collimation, to cause a subsequent image signal to differ from a prior image signal in one or more image characteristics, such as planar or volumetric image characteristics including planar and volumetric image resolutions. In accordance with one embodiment of the presently claimed invention, an automated X-ray imaging system for producing a plurality of X-ray imaging signals includes an X-ray emission system, an X-ray detection system and a control system. The X-ray emission system is responsive to at least one emission control signal by providing at least first and second doses of X-ray radiation, wherein the second dose differs from the first dose in one or more of a plurality of X-ray radiation characteristics. The X-ray detection system is responsive to at least one detection control signal and is for placement in relation to the X-ray emission system to be responsive to at least respective portions of the first and second doses of X-ray radiation following exposure thereto of at least a portion of a subject disposed substantially between the X-ray emission and detection systems by providing corresponding first and second image signals corresponding to the respective portions of the first and second doses of X-ray radiation, respectively. The control system, coupled to the X-ray emission and detection systems, is responsive to the first and second image signals by providing the emission and detection control signals, wherein the second volumetric image signal differs from the first image signal in one or more of a plurality of image characteristics, and the first and second image signals together form a plurality of images which is one or more of: a planar image and a volumetric image, respectively; a volumetric image and a planar image, respectively; a lower resolution image and a higher resolution image, respectively; and a higher resolution image and a lower resolution image, respectively. In accordance with another embodiment of the presently claimed invention, an automated method for producing a plurality of X-ray imaging signals includes: receiving at least one emission control signal; generating, in response to the at least one emission control signal, at least first and second doses of X-ray radiation, wherein the second dose differs from the first dose in one or more of a plurality of X-ray radiation characteristics; receiving at least a portion of the first and second doses of X-ray radiation following exposure thereto of at least a portion of a subject; receiving at least one detection control signal; generating, in response to said at least one detection control signal and said at least respective portions of said first and second doses of X-ray radiation, first and second image signals corresponding to said respective portions of said first and second doses of X-ray radiation, respectively; processing said first and second image signals; and generating, in response to the processed first and second image signals, the emission and detection control signals, wherein the second image signal differs from the first image signal in one or more of a plurality of image characteristics, and the first and second image signals together form a plurality of images which is one or more of: a planar image and a volumetric image, respectively; a volumetric image and a planar image, respectively; a lower resolution image and a higher resolution image, respectively; and a higher resolution image and a lower resolution image, respectively. The following detailed description is of example embodiments of the presently claimed invention with references to the accompanying drawings. Such description is intended to be illustrative and not limiting with respect to the scope of the present invention. Such embodiments are described in sufficient detail to enable one of ordinary skill in the art to practice the subject invention, and it will be understood that other embodiments may be practiced with some variations without departing from the spirit or scope of the subject invention. As discussed in more detail below, an x-ray imaging system in accordance with the presently claimed invention improves diagnostic x-ray image quality by using real-time computer analysis of an initial image, following which multiple images of regions of interest are taken using optimized imaging parameters. Optimization of the x-ray parameters includes collimation of the x-ray beam to the region of interest, as well as controlling focal spot size, focal spot location, focal spot shape, x-ray tube voltage or current, and bias or dynamic range of the detector. Referring to FIG. 1, an x-ray imaging system 10 in accordance with one embodiment of the presently claimed invention includes an x-ray transmitter 12 and an x-ray detector 14, between which the subject 9 for the image is to be placed. Such components 12, 14 are conventional in nature. For example, the x-ray transmitter 12 will include an x-ray radiation source 12a, such as an x-ray tube, which is well-known in the art. Additionally, a controllable collimator 12b is used, as discussed in more detail below, to collimate, i.e., focus, the emitted x-ray radiation 13a to which the subject 9 is exposed. The resulting x-ray radiation 13b which exits the subject is detected by a conventional detector assembly 14a which produces corresponding pixel signals 15a which are processed by the processor 14b into image signals 15b. Referring to FIG. 2A, such detector assemblies 14a are well known in the art, often in the form of a detector cassette, or receptor, 14a which is similar in external appearance to the typical cassette which contains standard medical X-ray film and is, therefore, highly mobile and easy to use as required for a radiographic mode of operation. A scintillation layer 14a1, e.g. of cesium iodide (CsI), absorbs and converts impinging X-ray photons to visible light photons for detection by photosensitive elements within the detector array 14a2, e.g. of amphorphous silicon (a-Si). The thickness of the scintillation layer 14a1 is selected so as to absorb sufficient X-ray photons and produce sufficient visible photons so at to generate an adequate SNR for fluoroscopic operation. Similarly, the columns, or “needles”, of the crystalline CsI are selected so as to have diameters sufficiently small to support the spatial resolution sampling desired for radiographic operation. The detector array 14a2 is designed in accordance with well known techniques into a two dimensional array of microscope squares referred to as picture elements, or “pixels.” Each pixel is composed of an addressable photosensitive element, such as a photodiode and switching transistor combination. Each pixel is accessed in accordance with drive signals from off-array driver circuit assemblies 14a4, 14a5 which provide addressing control signals. In accordance with well known techniques, the lateral dimensions of the photodiodes are made sufficiently small to provide the desired spatial resolution imaging for radiographic operation and the capacitance of the photodiodes is designed to be sufficiently large to provide the desired signal handling capacity for accommodating the largest signal produced during radiographic operation. The pixel data accessed by the driver circuits 14a4, 14a5 are read out by a receiver, or readout, circuit assembly 14a6. The receiver circuit assembly 14a6 and detector array 14a2 are mounted on opposing sides of a base plate 14a3. (The receiver circuit assembly 14a6 is placed beneath the array 14a2 so as to minimize the lateral size of the detector cassette 14a and thereby make the detector cassette 14a approximately the same size as a film cassette. If so desired, the driver circuits 14a4, 14a5 can also be placed beneath the array 14a2.) (Further discussion of an x-ray imaging system using such a detector assembly can be found in U.S. Pat. No. 5,970,115, entitled “Multiple Mode Digital X-ray Imaging System”, the disclosure of which is incorporated herein by reference.) Additionally, in accordance with the presently claimed invention, a control system 16 is used which, as discussed in more detail below, processes the image signals 15b to determine regions of interest within the subject. Once such region or regions of interest have been identified, the control system 16 provides appropriate detector control signals 17a and x-ray control signal 17b, which include specific control signal 17ba, 17bb for the x-ray source 12a and collimator 12b. Referring to FIG. 2, the control system 16 includes a processor 160, memory 162 for the incoming image data 15b, memory 164 for reference image data, and a controller 166 for generating the control signals 17a, 17b for the detector 14 and x-ray transmitter 12, all interconnected substantially as shown. The incoming image data 15b from the detector assembly 14 is stored in a memory 162. The stored memory data 163 is processed by the processor 160 in conjunction with reference image data 165 stored in the reference data memory 164. Such processing by the processor 160 can be performed in accordance with well known image analysis techniques. For example, the reference data 165 can include data representing or corresponding to any of a number of well known characteristics known to be commonly associated with various medical conditions. For example, such data 165 can represent characteristics commonly associated with breast cancer, including microcalcification clusters, masses or architectural distortions. In the case of microcalcification clusters, the image data 163 may contain image data showing clusters of bright spots, suggestive of microcalcification clusters. Such image data 163 can then, in real time, be compared against and processed with the reference data 165, e.g., using neural network processing or artificial intelligence techniques. In the event that the result of such processing suggests that such a feature exists, that portion of the image data 163 can be identified as a region of interest for which control signals 17a, 17b are to be generated so as to access more detailed image data 15b. Similarly, in the case of masses or architectural distortions, the image data 163 can be compared against and processed with the reference data 165 to determine whether the image data 163 contains data suggestive of such features. If so, the corresponding region(s) of interest can be identified, and appropriate control signals 17a, 17b can be generated for producing, in real time, more detailed and enhanced image data 15b. Once the stored image 163 and reference 165 data have been processed, and a region of interest within the subject has been identified, control data 161 is provided by the processor to the controller 166, which then provides appropriate control signals 17a, 17b for the detector assembly 14 and x-ray transmitter 12. This process can be repeated until an image of sufficient resolution is produced, e.g., with sufficiency of the resolution being determined either by the user in real time during the imaging process (e.g., by viewing the displayed image), or by the controller 166 based upon whether further processing (e.g., comparison) of the incoming image data 15b (as represented by the stored image data 163) with the reference data 165 yields any further image information beyond some predetermined minimum. Referring to FIG. 3, the effect of image resolution enhancement in accordance with the presently claimed invention can be better understood. During initial exposure of the subject 9 to x-ray radiation 13a, the otherwise normal full field of view 30 for the imaging system is restricted to a smaller field 31a by use of the collimator 12b in accordance with well-known principles. This collimated image field 31a produces image data 15b representing an image 33 corresponding to such collimated field 31a. Based upon processing within the control system 16, a region of interest 32 is identified within the collimated field 31a. Such region of interest 32 corresponds to image data 15b representing a particular region 34a within the image 33. Accordingly, the processor 160 produces control data 161 for the controller 166 to produce appropriate control signals 17a, 17b for the detector assembly 14 and x-ray radiation transmitter 12. In accordance with well-known imaging system control techniques, such control signals 17a, 17b can be used to control biasing or dynamic range of the detector assembly 14, as well as voltage or current driving the x-ray tube within the x-ray source 12a, control signals for the focal spot (e.g., size, location or shape) within the x-ray source 12a, and collimation of the transmitted x-ray radiation 13a. Based upon control signals 17bb to the collimator 12b, further collimation may be performed such that the collimated field 31b is reduced to focus exclusively on the identified region of interest. With such increased collimation, as well as other modifications to the imaging parameters, as discussed above, the resolution of the identified region of interest 34a is accordingly increased to produce image data representing an image 34b having enhanced resolution. Referring back to FIG. 1, the extent of the control that can be provided by the control system 16 over the x-ray radiation transmitter 12 and detector assembly 14 can include not only the control features discussed above, but also physical positioning controls. As will be readily understood, the subject 9 will be disposed substantially between the x-ray radiation transmitter 12 and detector assembly 14 in a spatial relation 11a with the x-ray radiation transmitter 12 and in another spatial relation 11b with the detector assembly 14, with such spatial relations 11a, 11b having three dimensions (e.g., along the x-, y- and z-axes). Accordingly, the region of interest 11 within the subject 9 will also have corresponding spatial relationships with the x-ray radiation transmitter 12 and detector assembly 14 (with such region of interest 11 being defined as that portion of the subject 9 to which the subject radiation 13a is to be applied). As part of the control signals 17b provided to the x-ray radiation transmitter 12, additional control signals 17bc can be provided to control physical positioning of the x-ray radiation transmitter 12, e.g., through the use of some form of electromechanical assembly (not shown, but many types of which are well known in the art) for positioning the x-ray radiation transmitter 12 as desired. Similarly, additional control signals 17c can be provided to the detector assembly 14 for controlling the physical positioning of the assembly 14, e.g., via some form of conventional electromechanical assembly (not shown), for physical positioning of the detector assembly 14 as desired. Further similarly, still further control signals 17d can be provided for controlling the physical positioning of the subject (and, therefore, the physical positioning of the region of interest 11), e.g., also via some form of conventional electromechanical assembly (not shown), for positioning the physical location of the subject 9 as desired. Accordingly, the spatial relations 11a, 11b of the subject 9 (and region of interest 11) to the x-ray radiation transmitter 12 and detector assembly 14 can be controlled as desired in all three dimensions (x, y, z), thereby providing for optimum irradiation of the region of interest 11 within the subject 9. Referring to FIG. 4A, the above-discussed technique of scanning a subject with a large field of view followed by using well-known image analysis techniques to locate a region of interest followed, in turn, by then focusing on the region of interest with a smaller field of view scan at significantly increased resolution can also be used for volumetric (i.e., three-dimension) images such as those obtained using fan beam computed tomography (CT). In accordance with well-known principles, a fan beam CT scan involves the placement of the subject 9a within a rotational arc 22a about which the x-ray source 12a and detector assembly 14a travel. A fan beam 13a of x-ray radiation is created as the x-ray source probe 12a and detector assembly 14a rotate about the subject 9a, irradiating a “slice” 11a, the thickness of which is determined by the collimator 12b. The numerous profiles, or “snapshots”, of the attenuated x-ray beam 13b as collected by the detector 14a are provided to the processor 14b, as discussed above. Using well-known techniques, each profile is then backward reconstructed, or “back projected”, by the processor 14b and control system 16. A monitor 20 can be used to display the image data 19 for the user (not shown). Referring to FIG. 4B, this technique of scanning a subject with a large field of view followed by using well-known image analysis techniques to locate a region of interest followed, in turn, by then focusing on the region of interest with a smaller field of view scan at significantly increased resolution can also be used for volumetric images obtained using cone beam CT. In accordance with well-known principles, a cone beam CT scan involves the placement of the subject 9b within a rotational arc 22b about which the x-ray radiation transmitter 12 and detector assembly 14a travel. A cone beam 13a of x-ray radiation is created as the x-ray radiation transmitter 12 and detector assembly 14a rotate about the subject 9b, irradiating a portion 11b of the subject, the length and width of which are determined by the cone length and fan width. The numerous profiles, or “snapshots”, of the attenuated x-ray beam 13b as collected by the detector 14a are provided to the processor 14b, as discussed above. Using well-known techniques, each profile is then backward reconstructed, or “back projected”, by the processor 14b and control system 16. Referring to FIGS. 4C and 4D, when applied to an anatomical subject 111c, this technique allows images from various perspectives to be obtained, within any one of which the resolution of the region of interest can then be further enhanced as discussed above. Referring to FIG. 5, advantages of using the above-discussed technique for locating and analyzing the region of interest in the context of volumetric images can be described in accordance with the following example. A typical x-ray scan of a tumor, as shown, reveals the vascular structure. Using well-known image analysis techniques, the area bounded by the rectangle can be identified as a potential region of interest. Referring to FIG. 6, this region of interest can then be scanned at increased resolution, as discussed above for the example of FIG. 3. However, the finer details of the vascular structure may remain difficult, if not impossible, to discern. Referring to FIG. 7, performing a volumetric scan on the region of interest produces significantly greater detail. For example, in the regions bounded by the upper and lower dashed circles, arteries and veins, respectively, can be distinguished. This technique of automated image enhancement through amplification of identified regions of interest becomes more important as resolutions of electronic image sensor arrays increase. It has been determined that the maximum resolution of an image that can be perceived by the unaided human eye, e.g., without aid from any optical magnification, is approximately 121 microns of pixel pitch (due to the spacing of cones in the human retina). Typically, it is preferred to read x-rays at actual size i.e., at substantially the same size as the anatomical feature being analyzed. Additionally, as noted above, with the desire to minimize the dosage of x-rays to the patient, it is generally desirable to display and/or acquire the initial x-ray image at normal size for display on a monitor with a minimum pixel pitch of approximately 121 microns. Then, using the techniques as discussed above for image enhancement and amplification of regions of interest, the additional x-ray images acquired for the regions of interest at higher dosages can be done at the higher resolutions of which newer electronic imaging sensors are capable. Accordingly, an appropriately magnified image can then be displayed on the monitor taking advantage of such improved sensor resolution. This technique of automated image enhancement can also be applied to images where forms of enhancement other than magnification per se are desired, such as when it is desired that the user be able to visually perceive features which are known, suspected or believed to exist within the subject of the x-ray imaging but such features do not necessarily require magnification. Instead, when such features are detected in the initial or subsequent image data, further radiation can be applied in an appropriate manner (e.g., focusing, collimation or other form of concentration) as discussed above to cause such features to become detectable by the electronic imaging sensors such that the image data produced allow such features to be visually perceived without magnification. For example, if it is desired to observe features (e.g., size, shape or contours) of the internal organs, such features can be enhanced for viewing as part of the final image without necessarily also requiring magnification of such features. While the foregoing discussion has been in the context of medical imaging, it will be readily apparent to one of ordinary skill in the art of x-ray imaging that the x-ray imaging system and method of the presently claimed invention can be readily applied outside the field of medical imaging. For example, various industrial applications that will benefit from the system and method of the presently claimed invention include, among others, non-destructive testing of physical objects (e.g., various articles or materials of manufacture) and screening of packages or cargo (e.g., in the shipping or travel industries). Regarding non-destructive testing of physical objects, the x-ray imaging system and method of the presently claimed invention can be applied to look for defects or flaws in an article which may occur during its manufacture or handling. For example, a metal casting can be tested to determine whether any flaws, such as cracks, which are not visible to the naked eye exist by having the control system analyze the x-ray data in conjunction with data representing various models of known or suspected forms of flaws. Clearly, in this type of application, such testing can be simpler in that the amount of x-ray exposure will generally be of less concern. Regarding package or cargo screening, the x-ray imaging system and method of the presently claimed invention can be applied by having the control system analyze the x-ray data in conjunction with data representing various objects of which any general and often specific shapes or image profiles are known. Accordingly, an x-ray imaging system and method for automatic image resolution enhancement in accordance with the presently claimed invention can be used in virtually any application in which it would be advantageous to apply successive doses of x-ray radiation in an automated manner so as to obtain successively more detailed images of internal characteristics of the subject. Various other modifications and alternations in the structure and method of operation of this invention will be apparent to those skilled in the art without departing from the scope and the spirit of the invention. Although the invention has been described in connection with specific preferred embodiments, it should be understood that the invention as claimed should not be unduly limited to such specific embodiments. It is intended that the following claims define the scope of the present invention and that structures and methods within the scope of these claims and their equivalents be covered thereby. |
|
description | This application claims priority from co-pending provisional patent application Ser. No. 60/806,978, which was filed on Jul. 11, 2006, the entire disclosures of which are incorporated herein by reference. This invention is related to substrate processing and more particularly to printing features onto substrates using optical lithography. Fabricating semiconductor devices such as logic and memory devices typically includes processing a substrate such as a semiconductor wafer using a number of semiconductor fabrication processes to form various features and multiple levels of the semiconductor devices. For example, lithography is a semiconductor fabrication process that involves transferring a pattern from a reticle to a resist arranged on a semiconductor wafer. Such patterns may be repeatedly transferred to multiple different locations or “die” or a wafer using a lithography tool known as a “stepper”. Advanced techniques are often used in conjunction with lithography to help form the proper shapes of features of the device that are small compared to the wavelength of light used in the lithography. One of these advanced techniques is known as “Optical Proximity Correction” (OPC). In OPC local regions of the geometry to be printed are modified on the reticle to correct for known errors that are expected to occur during lithography. It is within this context that embodiments of the present invention arise. Although the following detailed description contains many specific details for the purposes of illustration, anyone of ordinary skill in the art will appreciate that many variations and alterations to the following details are within the scope of the invention. Accordingly, the exemplary embodiments of the invention described below are set forth without any loss of generality to, and without imposing limitations upon, the claimed invention. Embodiments of the present invention develop an Optical Proximity Correction (OPC) strategy that optimizes the printing of desired geometries across the full process window that is to be used in a stepper. FIG. 1 illustrates a stepper 100 of a type that may be used in conjunction with embodiments of the present invention. Generally, the stepper 100 includes a light source 102, optics 104 and a substrate support 112. By way of example, the substrate support 112 may include a chuck, such as an electrostatic chuck or vacuum chuck. A substrate 110, e.g., a semiconductor wafer or any other suitable substrate, may be mounted to the substrate support 112. A surface of the substrate 110 may be coated with a resist that reacts upon to exposure to light from the light source 102. A reticle 106 is mounted between the optics 104 and substrate support 110. The reticle 106 includes a mask pattern 107 having features that are to be printed on a substrate 110. The optics 104 focus light from the source 102 through the pattern 107 on the reticle 106 forming a reduced image of the pattern 107 on the resist 108. The reaction between the light and the resist 108 allows portions of the resist 108 to be selectively removed thereby transferring the image to the resist. The substrate support 112 may include translation mechanisms that allow translate the substrate 110 horizontally so that multiple images of the pattern 107 may be formed at different locations on the substrate 110. The size of features fabricated by photolithography has been decreasing, particularly in the filed of integrated circuit fabrication. As the feature size becomes comparable to or smaller than the size of the wavelength of light used in the photolithographic process the quality of the printed image may be affected by errors. FIGS. 2A-2B illustrate the problem. As shown in FIG. 2A, a feature 202 is to be printed and is formed as part of the pattern 107 on the reticle 106. As a result of errors, a feature 204, shown in FIG. 2B, may actually be printed. Some errors may tend to round the sharp corners of the original feature 202 or broaden or narrow (pinch) lines making up the feature 202. In some cases the feature 202 may not print at all. To compensate for such errors a technique known as optical proximity correction (OPC) has been developed. In OPC features on the reticle are modified to take into account errors of the type depicted in FIGS. 2A-2B. For example, as shown in FIG. 2C a reticle feature 206 of type shown in FIG. 2A may be modified by adding or removing serifs 208, 210 to outside or inside corners. The serifs 208, 210 compensate for the errors and result in the printing of a feature that more closely approximates the desired feature. The challenge of OPC techniques is to appropriately vary a desired feature pattern in order to generate a reticle design that produces a printed pattern closely approximating the desired feature pattern. OPC techniques may be either rule-based or model-based. In rule-based OPC, a set of condition-based rules are formed based on the experience of lithographic experts which are then applied to the feature pattern to produce the reticle design. Rule-based OPC may stipulate, e.g., that a certain type of serif or other decoration be applied to an insider-corner geometry and a different serif or decoration be applied to an outside corner geometry. Model-based OPC, which is typically used in more advanced processes, simulates the geometries that are printed on the substrate from a given reticle design at stipulated focus and exposure values that the stepper can use. Deviations from the printed result deduced by the model from the designer's intended result form an error measure which may be used in an optimization process. Line segments within the geometry of the reticle design are fragmented and then moved to allow very fine scale adjustments of the geometry that prints. By this method, the error between the modeled result and the designers intended result can be minimized. Previously, such techniques have evaluated the performance of the printed geometry at the best exposure and focus values. For example, the conventional method of constructing an error (cost) function E for OPC is to start with the following equation: E = ∑ i [ D ( x i ) - S ( x i ] 2 , where D(xi) is the desired edge location for a simulation point xi and S(xi) is the simulated wafer edge location at the same simulation point under the best focus and exposure condition. By way of example, the simulated pattern may be simulated post resist development or post etch. A nonlinear optimization technique may then be applied to the error function. During each iteration, the line segments in the reticle design may be moved so that a new S(xi) is generated. Although such an optimization process may result in nearly perfect printing of features at the best focus and exposure it may still yield catastrophic defects at exposure values that are within the desired process window. According to embodiments of the present invention, it is recognized that the printing of features with a stepper is affected by a process window for the lithographic process. The process window includes a range of values of process parameters that affect the outcome of the lithography process. Such parameters include, but are not limited to, stepper focus and exposure values. In advanced lithography the process window may shrink to a level that makes it difficult to obtain a high yield from one or more wafers. Hence, it is advantageous to optimize the OPC across the full process window rather than just optimize for the best focus and exposure conditions. Prior OPC techniques have not used knowledge of the process window or how the design will print on the wafer under different process conditions. Preferably, the OPC design trades off the needs at the best focus and exposure and at other points within the process window. A key component to such a trade-off is a detailed error function that appropriately weights the different parameters that affect printing by the stepper. Embodiments of the invention use process window information to optimize OPC decoration. Fast modeling approaches make the use of such techniques a practical alternative to existing OPC techniques. Embodiments of the invention use an adaptable architecture that allows adjustment of the error function within an OPC decoration task. According to embodiments of the present invention, optical proximity correction may take into account parameters from across the full process window. The flow diagram of FIG. 3 illustrates an example of a method 300 for optical proximity correction according to an embodiment of the present invention. A simulated geometry representing one or more printed features is generated using an optical proximity correction (OPC) model that takes into account a reticle design and one or more parameters from a process window of a lithographic process as indicated at block 302. An error function E that measures a deviation between the simulated geometry and a desired pattern of the one or more printed features is formed as indicated at block 304. The error function E takes into account parameters (p0 . . . pJ) from across the process window in addition to, or in lieu of, a best focus and a best exposure for a stepper used in the lithographic process. The reticle design is adjusted in a way that reduces the deviation as measured by the error function, thereby producing an adjusted reticle design as indicated at block 306. For example, line segments within the simulated geometry of the reticle design may be fragmented and then moved to allow very fine scale adjustments of the geometry that prints. The process may iteratively repeat blocks 302 through 306 to optimize the reticle design. The method 300 may be implemented by suitably designed automated logic. Such logic may be implemented in hardware, software, or firmware. By way of example, as shown in FIG. 4, the method 300 may be implemented in the form of logic 400, which may be embodied in a processor readable medium and/or run on a suitably configured processor or processors 401. Software implementations of the logic 400 may utilize any software, such as Assembly, C++, JAVA or any of a number of other languages. Hardware implementations may utilize any suitable electronic circuit, such as an application specific integrated circuit ASIC. The logic 400 generally includes an OPC model 402 that simulates the printing of a reticle design by a stepper. The OPC model 402 receives as inputs an initial reticle design 403 and a desired pattern 404 representing one or more feature patterns to be printed. The OPC model 402 also receives as inputs values representing parameters p0 . . . pj from the process window of a lithographic process. The values of these parameters affect the printing of the desired design. The OPC model 402 takes the parameters p0 . . . pj into account and generates a simulated geometry 406. The simulated geometry 406 illustrates how the pattern on the reticle will be printed on a wafer at different values of the parameters p0 . . . pj based on the OPC model 402. The OPC model 402 may include one or more different models such as a resist model (e.g., a model of the resist that will be printed with the reticle design data on the wafer) and a lithography model (e.g., a model of the optical parameters of an exposure tool that will be used to print the reticle on the wafer and a model of other process steps involved in the lithography process such as develop and post exposure bake). Such models and/or parameters for such models may be acquired from one or more sources such as the PROLITH software, which is commercially available from KLA-Tencor. In generating the simulated geometry 406, the OPC model 402 may take into account not just the lithography (wafer printing) process, but the full pattern transfer process, including any, some combination, or all of the following: etch, polishing, film deposition or growth, and any other steps that affect the final structure and topography of the device. Lithography parameters for which the simulated geometry 406 may be generated at different values can also include degree of partial coherence, illumination mode, numerical aperture, lens aberrations (e.g., Zernike coefficients), resist parameters (e.g., thickness, development rate model, lumped parameter model, Dill coefficients, and thermal diffusion coefficients) and/or film parameters (e.g., substrate reflectivity, thickness, anti-reflection coating properties, etc.) The logic 400 also includes an error function generator 407 that compares the desired design 404 and the simulated geometry 406 to produce a generalized error function E(p0 . . . pj) that takes into account the parameters p0 . . . pj from across the process window of the stepper. The generalized error function E(p0 . . . pj) may be used as an input to a design adjustment module 408 that produces an adjusted reticle design 410. By way of example, the design adjustment module 408 may fragment line segments within the reticle design and then move the line segments to allow very fine scale adjustments of the reticle design and of the geometry that prints. Through an iterative process, the adjusted reticle design 410 may be used as an input to the OPC model 402 for subsequent iterations. If the reticle design is acceptable, e.g., if the error function E(p0 . . . pj) is below some threshold, the iteration may terminate as indicated at block 409. Otherwise A final reticle design may be produced through iteration of the adjustment of the reticle design to optimize (e.g., minimize) the error function E(p0 . . . pj). The design adjustment module 408 may make use of any suitable algorithm for iteratively adjusting the adjusted reticle design 410. For example, the design adjustment module 408 may use an algorithm that moves a mask edge may by an amount Δ given by: Δ = E ( p 0 … p J ) · ( Δ MEM Δ WEM ) . Equation 1 Where ΔMEM is an incremental mask edge movement and ΔWEM is a corresponding incremental wafer edge movement, which may be given by. Δ WEM = 1 MEEF , Equation 2 where MEEF may be calculated from simulation of a small mask edge movement, e.g., by: MEEF = WE - WE 0 ME - ME 0 . Equation 3 In Equation 3, WE is a new wafer edge position, WE0 is an initial wafer edge position, ME is a new mask edge position and ME0 is an initial mask edge position. Conventionally, OPC uses a cost function that is based on the difference between desired edge locations and simulated edge locations at conditions of optical focus and exposure. In, embodiments of the invention the OPC model 402 and Error function E(p0 . . . pj) may include additional terms that cover different values of focus and exposure conditions as well as other parameters. Specifically, the parameters p0 . . . pj may include, but are not limited to: Film Stack Parameters: Layer 1: Thickness (nm) Absorption Parameter A (1/μm) Absorption Parameter B (1/μm) Rate Constant C (cm2/mJ) Unexposed Refractive Index (real) Exposed Refractive Index (real) Refractive Index Change on Expose (real) Refractive Index Substrate (real) Refractive Index Substrate (Imaginary) Resist Parameters: Resist Type: (e.g., Positive Chemically Amplified) Resist Material: Developer: Resist Thickness (nm) Absorption Parameter A (1/μm) Absorption Parameter B (1/μm) Rate Constant C (cm2/mJ) Unexposed Refractive Index (real) Exposed Refractive Index (real) Refractive Index Change on Expose (real) Thermal Decomposition Activation Energy (kcal/mol) Thermal Decomposition Ln(Ar) (1/sec) PEB Acid Diffusivity Activation Energy (kcal/mol) PEB Acid Diffusivity Ln(Ar) (nm2/sec) PEB Base Diffusivity Activation Energy (kcal/mol) PEB Base Diffusivity Ln(Ar) (nm2/sec) Amplification Reaction Order Amplification Reaction Activation Energy (kcal/mol) Amplification Reaction Ln(Ar) (1/sec) Diffusion-Controlled Reaction Activation Energy (kcal/mol) Diffusion-Controlled Reaction Ln(Ar) (1/sec) Acid Evaporation Activation Energy (kcal/mol) Acid Evaporation Ln(Ar) (1/sec) Bulk Acid Loss Activation Energy (kcal/mol) Bulk Acid Loss Ln(Ar) (1/sec) Relative Quencher Concentration Room Temperature Diffusion Length (nm) Acid Diffusivity Variation Reacted/Unreacted Acid Diffusivity Ratio Exponential Acid Diffusivity Factor Base Diffusivity Variation Development Rmax (nm/s) Development Rmax (nm/s) Development Rresin (nm/s) Development n Development I Relative Surface Rate Inhibition Depth (nm) Coat and Prebake Parameters Prebake time (sec) Prebake Temperature (° C.) Imaging Tool Parameters Source Shape: Illumination Spectrum: Pupil Filter: Aberrations: Illumination Polarization: Immersion Enabled: Wavelength (nm) Wavelength Range (nm) Numerical Aperture Reduction Ratio Flare Annular Inner Sigma Annular Outer Sigma Exposure and Focus Parameters Exposure (mJ/cm2) (e.g., 46) Focus (μm) (e.g., 0) Post Exposure Bake Parameters PEB Time (sec) PEB Temperature (° C.) Contaminant Surface Concentration Contaminant Diffusion Length (nm) Development Parameters Develop Time (sec) Etch Parameters Number of Etch Stages Stage 1 Etch Time (sec) Ion Spread (deg.) Horizontal Rate (nm/sec) Vertical Rate (nm/sec) Horizontal Rate (nm/sec) Vertical Rate (nm/sec) Faceting Those of skill in the art will be familiar with the meanings of the above parameters. The error function E(p0 . . . pj) may take on any suitable form. By way of example, and without limitation, the error function E(p0 . . . pj) may have the form: E = ∑ p 1 ⋯ p J w ( p 1 ⋯ p J ) ∑ i [ D ( x i ) - S ( x i , p 1 ⋯ p J ) ] 2 , Equation 4 or E = ∑ p 1 ⋯ p J w ( p 1 ⋯ p J ) ∑ i w ( x i ) [ D ( x i ) - S ( x i , p 1 ⋯ p J ) ] 2 , Equation 5 where W(p1 . . . pJ) are weights dependent on values of the parameters p1 . . . pJ, D(xi) is a desired edge location for a point xi in the simulated geometry, S(xi, p1 . . . pJ) is a simulated edge location for the point xi under conditions determined by the values of the parameters p1 . . . pJ and w(xi) are weights as functions of position xi, The outer sum is taken over some set of values of the parameters p1 . . . pJ. The outer sum may be taken over different combinations of values of the process window parameters p1 . . . pJ. For example, in the case of focus and exposure, each weight may be associated with a different combination of focus and exposure values and the sum may be taken over a set of such combinations. The best focus fo and best exposure eo may be weighted as more important the focus and exposure values near the edge of the process window. The weights w(p1 . . . pJ) may take on any suitable value. By way of example each weight w(p1 . . . pJ) may have the same value W. For example, if N is a total number of values of the parameters p1 . . . pJ the value W may be given by W=1/N. Alternatively, each weight w(p1 . . . pJ) may be determined by [ ∑ j = 1 J ( p j - p j 0 Δ p j ) 2 ] - 1 ,where each pj is a value of a parameter, pj0 is an optimal value of the parameter pj and Δpj is a value range for the parameter pj. By way of example, if there are only two parameters (i.e., J=2), focus f and exposure e, the weights w(pi . . . pJ) may take on theform w ( f , e ) = 1 [ ( f - f 0 Δ f ) 2 + ( e - e 0 Δ e ) 2 ] ,where f0 is a nominal (e.g., best) focus and e0 is a nominal (e.g., best) exposure, Δf is a depth of focus and Δe is an exposure range. Similarly, the position-dependent weights w(xi) may take on any suitable value. For example, all positions may be equally weighted, e.g., with each w(xi)=1, in which case Equation 5 would be equivalent to Equation 1. Alternatively, critical locations xi within the reticle design may be given greater weight values w(xi) than less critical locations of the design. In some embodiments of the invention, the OPC model 402 may be based on a simulation of a sampling of a selected subset of edge points from the geometry to be printed. Alternatively, the OPC model 402 may be a fast and accurate pixel image based model. A mask design may be rendered to a pixelized image and then simulated to a pixelized wafer print result. In such a model, the simulated geometry 406 may simulate every single pixel in one or more printed features. In such a case, the error function may have the form: E = ∑ p 1 ⋯ p J w ( p 1 ⋯ p J ) ∫ ⅆ s [ D ( s ) - S ( s , p 1 ⋯ p J ) ] 2 , Equation 6 where p1 . . . pJ are parameters from across the process window, w(p1 . . . pJ) are weights dependent on values of the parameters p1 . . . pJ, D(s) is a desired edge location for a point s in the simulated geometry and S(s, p1 . . . pJ) is a simulated edge location for the point s under conditions determined by the values of the parameters p1 . . . pJ and the integral is taken over all edge pixels in the one or more printed features. Position-dependent weights may be incorporated into the integral, in which case the Error function may have the form: E = ∑ p 1 ⋯ p J w ( p 1 ⋯ p J ) ∫ ⅆ s · w ( s ) [ D ( s ) - S ( s , p 1 ⋯ p J ) ] 2 . Equation 7 It is noted that generally, OPC is computationally intensive. Conventional OPC calculations for a single reticle may typically require the use of a massively parallel processor and may take several hours to complete. To simplify computation certain embodiments of the invention may make use of control layers to control the fragmentation of edge lines during design adjustment. As used herein, control layers refer to regions of the device (or reticle) for that are associated with different cost functions. For example, in regions of an integrated circuit chip having critical timing gates it is desirable for the control function to be more robust. Including additional terms makes the control function more robust. By contrast “dummy” areas of the chip that are not critical to its function may be associated with a cost function that is less robust. One or more different control layers 412 may be applied to the OPC model 402 and/or error function E(p1 . . . pj). Each control layer 412 corresponds to a different portion of the reticle design. The control layers may be implemented, e.g., by applying different position-dependent weights w(xi) to different portions of the reticle design. The error function associated with a particular control layer may be adapted to one or more requirements of a corresponding portion of a design to be printed with the reticle. The one or more requirements of the corresponding portion of the design include a robustness of the design. As used herein, the term robustness refers to a measure of sensitivity of the printed design to variations in process conditions. Robustness may be measured in terms of ranges of one or more process parameters for which the design prints as desired. A more robust design has a greater the range of process conditions for which the design prints properly. It is noted that different parts of the design may have different requirements for robustness and these requirements may be expressed in terms of the values of the position-dependent weights w(xi). Relatively greater values of the position-dependent weights w(xi) may be associated with locations xi within the design requiring greater robustness. Relatively smaller values of the position-dependent weights w(xi) may be associated with locations xi within the design that do not need to be so robust. In embodiments of the present invention generating the simulated geometry 406 may include applying one or more mask rules 414 to the OPC model 402. As used herein, mask rules are rules regarding how one can make a mask (reticle) that is reliable to make and that can be inspected acceptably. In some embodiments of the invention, it may be useful to include a check for compatibility of the resulting reticle design with mask rules. By way of example, a as depicted in FIG. 5, a given OPC may produce a particular shape for a feature 502. However, the shape of the feature 502 may be one that is not manufacturable on the reticle, e.g., due to narrow indentations 504. The OPC model 402 may apply the mask rules 414 to modify the simulated geometry 406. It is noted that features appearing on a reticle that violate mask rules may not be a problem if such features are not to be printed on a substrate. Examples of features that may appear on a reticle but are not meant to be printed on a substrate include sub-resolution assist features (SRAFs). Examples of SRAFs include, but are not limited to features such as scattering bars. Such features may be important to making a design robust across the process window. As shown in FIG. 6, an SRAF 602 may be a feature on the reticle 604 that is thin enough compared to the wavelength of light used in the lithography process that the SRAF 602 doesn't print. However, the SRAFs 602 are positioned in such a way that they help neighboring features 606 print well. The features 606 may be characterized by a width d that is sufficiently large that the features 606 are printed on a substrate. The SRAFs 602 are typically several times thinner than neighboring features 606 that are printed. SRAFs 602 may be included on the reticle 604 to fill in gaps in an otherwise regular periodic arrangement of features 606 that are to be printed. The periodic arrangement may be characterized by a regular gap spacing a. As a result, the SFAFs 602 and features 606 act together as a diffraction grating. Diffraction of light by the grating may enhance printing of the features 606. Embodiments of the present invention have substantial potential for application in the substrate processing arts. In particular, embodiments of the invention may potentially improve optimization of the yield of semiconductor wafers at more advanced nodes. Embodiments of the present invention take into account parameters that may significantly affect the yield of a set of wafers. In alternative embodiments, individual optimizations may be performed at a variety of distinct process points. A combined correction may then be formed based on some weighted average of the different optimized corrections found to be needed for each of the process points. One could then reverify that the combined correction results in the desired printing of the features to be printed. Embodiments of the present invention are equally applicable to lithographic processes including those using visible, ultraviolet, and deep ultraviolet illumination (e.g., 248 nm, 193 nm, and 157 nm light sources), electron beam lithography, or extreme ultraviolet lithography, e.g., using reflective masks and an exposure wavelength near 13 nm. While the above is a complete description of the preferred embodiment of the present invention, it is possible to use various alternatives, modifications and equivalents. Therefore, the scope of the present invention should be determined not with reference to the above description but should, instead, be determined with reference to the appended claims, along with their full scope of equivalents. Any feature, whether preferred or not, may be combined with any other feature, whether preferred or not. In the claims that follow, the indefinite article “A”, or “An” refers to a quantity of one or more of the item following the article, except where expressly stated otherwise. The appended claims are not to be interpreted as including means-plus-function limitations, unless such a limitation is explicitly recited in a given claim using the phrase “means for.” |
|
abstract | A reactor lateral reflection layer telescoped control rod capable of separately achieving cold shutdown includes an inner rod, an outer rod and a guide cylinder assembly which are vertically and coaxially arranged, wherein the outer rod and the guide cylinder assembly are hollow cylindrical bodies; the top end of the inner rod can move up and down inside the outer rod and the other end of the inner rod moves up and down, along with the top end, inside a control rod passage which is positioned below the guide cylinder assembly and is coaxial with the guide cylinder assembly; and the top end of the outer rod can move up and down in the guide cylinder assembly and the other end of the outer rod moves up and down, along with the top end, inside the control rod passage. |
|
claims | 1. A cooling air amount adjustment device of a concrete cask, configured to: naturally convect external air as cooling air from an air inlet port provided at a lower portion of a concrete container to an air outlet port provided at an upper portion thereof; and store and simultaneously cool a canister sealing spent nuclear fuel, the device comprisingat least one air outlet port opening level adjustment mechanism or at least one air inlet port opening level adjustment mechanism, which is configured to automatically perform:adjustment to reduce a flow rate of the cooling air when a temperature of the cooling air at the air outlet port is lower than an adjustment reference temperature, andadjustment to increase the flow rate of the cooling air so as to restore the flow rate of the cooling air when the temperature of the cooling air at the air outlet port is higher than the adjustment reference temperature,wherein the at least one air outlet port opening level adjustment mechanism or the at least one air inlet port opening level adjustment mechanism comprises:a rotary shaft connected to the air inlet port or to the air outlet port; anda bimetal portion forming a spiral fixed to the rotary shaft, wherein the spiral is configured to unwind in response to a change in temperature causing the rotary shaft to rotate to reduce the flow rate of the cooling air. 2. The cooling air amount adjustment device of a concrete cask according to claim 1, wherein the air outlet port opening level adjustment mechanism includes a member or a portion provided at the air outlet port and having a shape or a phase autonomously changed at the adjustment reference temperature as a boundary. 3. The cooling air amount adjustment device of a concrete cask according to claim 1, wherein the air inlet port opening level adjustment mechanism includes a member or a portion provided at the air outlet port and having a shape or a phase autonomously changed at the adjustment reference temperature as a boundary. 4. The cooling air amount adjustment device of a concrete cask according to claim 1, wherein the air outlet port opening level adjustment mechanism includes a temperature-sensitive member configured to change a shape and adjust an opening level of the air outlet port at the adjustment reference temperature as a boundary. 5. The cooling air amount adjustment device of a concrete cask according to claim 1, comprising the at least one air outlet port opening level adjustment mechanism, wherein the spiral unwinds to cause the rotary shaft to rotate so as to adjust the opening level of the air outlet port at the adjustment reference temperature. 6. The cooling air amount adjustment device of a concrete cask according to claim 1, wherein the air outlet port opening level adjustment mechanism includes:a power source;an air outlet port opening level adjustment unit;a temperature sensor configured to measure a temperature of the cooling air at the air outlet port; anda control unit configured to actuate the air outlet port opening level adjustment unit so as to reduce the opening level of the air outlet port when the temperature measured by the temperature sensor is lower than the adjustment reference temperature and furthermore so as to restore the opening level of the air outlet port when the temperature measured by the temperature sensor is higher than the adjustment reference temperature. 7. The cooling air amount adjustment device of a concrete cask according to claim 6, wherein an apparatus configured to generate power by a temperature difference between the cooling air heated by heat generation from the canister and external air is provided instead of the power source or together with the power source. 8. A concrete cask comprising the cooling air adjustment device of a concrete cask according to claim 1. 9. The cooling air amount adjustment device of a concrete cask according to claim 1, wherein the air outlet port opening level adjustment mechanism comprises an air outlet port opening level adjustment member and a temperature-sensitive actuator configured to actuate the air outlet port opening level adjustment member so as to adjust the opening level of the air outlet port, andwherein the temperature-sensitive actuator comprises a spring formed from a shape memory alloy configured to deform to adjust a position of the air outlet port opening level adjustment member. 10. The cooling air amount adjustment device of a concrete cask according to claim 1, wherein the air outlet port opening level adjustment mechanism comprises an air outlet port opening level adjustment member and a temperature-sensitive actuator configured to actuate the air outlet port opening level adjustment member so as to adjust the opening level of the air outlet port, andwherein the temperature-sensitive actuator comprises a material configured to change phase in response to changes in temperature to adjust a position of the air outlet port opening level adjustment member. 11. The cooling air amount adjustment device of a concrete cask according to claim 10, wherein the material configured to change phase comprises ethanol. |
|
056174659 | claims | 1. A scan-type X-ray imaging system comprising: a movable X-ray source providing a directed X-ray output; a positioning unit for positioning an object at a scan area so that said X-ray output from said X-ray source is directed to said object; a converter screen receiving X-rays passing through said object at said scan area and providing converted output signals responsive thereto; a movable sensor/coupling unit including a coupler for coupling said converted output signals from said converter screen, said coupler having an input portion movably engaging said converter screen, and said sensor/coupling unit also having a sensor receiving said converted output signals from said converter screen through said coupler and, responsive thereto, providing electrical signal outputs indicative of said object at said scan area; an actuator unit connected with said X-ray source and said sensor/coupling unit for causing movement of said X-ray source and for causing movement of said sensor/coupling unit so that said input portion of said coupler is moved relative to said converter screen while maintaining engagement therewith during said movement of said sensor/coupling unit; and a control unit connected with said actuator unit for controlling movement of said X-ray source and said sensor/coupling unit by said actuator unit to thereby effect coverage of a specific field of view at said scan area. a movable mounting unit; an X-ray source mounted on said mounting unit for movement therewith, said X-ray source providing a directed X-ray output; a positioning unit for positioning a predetermined portion of the body of a patient at a scan area so that said X-ray output from said X-ray source is directed to said predetermined body portion; a converter screen receiving X-rays passing through said predetermined body portion at said scan area and providing converted output signals responsive thereto; a sensor/coupling unit mounted on said mounting unit for movement therewith, said sensor/coupling unit including a coupler having an input face movably engaging said converter screen and a sensor receiving said converted output signals from said converter screen and, responsive thereto, providing electrical output signals; an actuator unit connected with said mounting unit for effecting movement of said X-ray sensor and said sensor/coupling unit so that said input face of said coupler of said sensor/coupling unit is moved relative to said converter screen while maintaining engagement with said converter screen during said effected movement of said sensor/coupling unit; a control unit connected with said actuator unit for controlling movement of said mounting unit by said actuator unit to thereby effect coverage of a specific field of view at said scan area; and an electronic unit receiving said electrical signal output from said sensor of said sensor/coupling unit and providing an output indicative of said predetermined body portion within said field of view at said scan area. positioning an object at a scan area; providing a directed X-ray beam at said predetermined area so that said X-rays pass through said object positioned thereat; providing a converter screen receiving X-rays passed through said object at said scan area; providing a movable sensor/coupling unit having a sensor and a coupler with an input face movably engaging said converter screen, said coupler providing output signals from said converter screen to said sensor, and said sensor providing an output signal responsive to receipt of output signals from said converter screen; moving said sensor/coupling unit so that said input face of said coupler is moved relative to said converter screen to effect a scan of said scan area and maintaining said input face of said coupler in engagement with said converter screen throughout movement of said sensor/coupling unit; and using said output signal from said sensor to provide an image of said object scanned at said scan area. 2. The system of claim 1 wherein said actuator unit includes a swing arm having a pivot end and a free end movable in an arc, said X-ray source being mounted adjacent to said pivot end of said swing arm and said sensor/coupling unit being mounted adjacent to said free end of said swing arm. 3. The system of claim 1 wherein said converter screen is a phosphor screen having a size at least as large as said field of view at said scan area. 4. The system of claim 3 wherein said converter screen is a curved membrane mounted on a holder. 5. The system of claim 1 wherein said input portion of said coupler engages one side of said converter screen, and wherein said system includes a force applicator to maintain engagement of said input portion of said coupler with said one side of said converter screen. 6. The system of claim 5 wherein said force applicator is at least one of a cushion, a vacuum source, and springs. 7. The system of claim 1 wherein said coupler is a fiber optic coupler having an input face movably engaging said converter screen. 8. The system of claim 7 wherein said fiber optic coupling is one of a fiber optic reducer and a fiber optic window. 9. The system of claim 1 wherein said sensor includes at least one charge coupled device. 10. The system of claim 1 wherein said system includes an electronic unit receiving said electrical signal outputs from said sensor and, responsive thereto, providing an output indicative of said object at said scan area. 11. The system of claim 1 wherein said object positioned by said positioning unit at said scan area is a predetermined body portion. 12. An X-ray system comprising: 13. The system of claim 12 wherein said converter screen is a phosphor screen having a size at least as large as said field of view at said scan area, and wherein said input face of said coupler engages the side of said converter screen opposite to the side facing said X-ray source. 14. The system of claim 12 wherein said system includes a force applicator to maintain engagement of said input face of said coupler with said converter screen. 15. The system of claim 14 wherein said force applicator is at least one of an air cushion, a vacuum source and springs. 16. The system of claim 12 wherein said coupler is a fiber optic coupler. 17. A method for X-ray imaging, said method comprising: 18. The method of claim 17 wherein said method includes pivoting said X-ray source and moving said sensor/coupling unit in an arc to effect said scan of said object at said scan area. 19. The method of claim 17 wherein said method includes providing a force to maintain said input face of said coupler in engagement with said converter screen. 20. The method of claim 19 wherein said force applied to maintain said input face in engagement with said converter screen is applied by using at least one of an air cushion, a vacuum, and springs. |
summary | ||
description | The present invention relates to a device and a method for phase stepping in phase contrast image acquisition. Phase stepping is a necessity in most of currently existing differential phase contrast setups making use of Talbot-Lau interferometry. The stepping is typically implemented by an actuator which activates any of the three gratings of a Talbot-Lau interferometer with respect to the two others in synchrony with the readout of the X-ray detector sensing the changes in intensity at various locations within the field-of-view induced by the stepping. The activation leads to a positional shift of the grating. After the shifting of the grating the X-ray detector is read out. Therefore, the operator acquires a readout prior to the shifting and after the shifting. A further example is described in US 2015/0294749 A1 showing an interferometric dynamic-grating imaging method, a diffraction grating and an imaging apparatus. The interferometric dynamic grating is actuated by a microelectromechanical system (MEMS) to change its periodicity. A movable part of the dynamic grating is anchored by springs on two lateral sides of the grating in the direction of movement of the grating. Comb drive means on the sides of the grating allow for modification of the grating in the desired direction. The comb drive means may be piezoelectrically or electrostatically driven. Known disadvantages of the above device include possible delays which are required before the X-ray readout of each phase step can be triggered in view of a possible time it takes the actuator to settle at the new position. Furthermore, positional inaccuracies, back-lash, etc. may occur. There may thus be a need to provide a device for phase stepping in phase contrast image acquisition which provides a fast image acquisition without a significant delay and which reduces positional inaccuracies and which avoids back-lash. The object of the present invention is solved by the subject-matter of the independent claims; further embodiments are incorporated in the dependent claims. It should be noted that the following described aspects of the invention apply also for the method. According to the present invention, a device for phase stepping in phase contrast image acquisition comprises a mobile grating; a guiding element; a restoring element; and a locking element; wherein the guiding element is configured to guide the mobile grating between a first position and a second position; wherein the restoring element is configured to apply a force to the mobile grating; wherein the force is directed from the first position to the second position; and wherein the locking element is configured to releasably lock the mobile grating in the first position. The mobile grating is mounted in a movable manner on the guiding element. When the mobile grating is in the first position, the restoring element applies a force to the mobile grating which directs the mobile grating towards the second position. Furthermore, a locking element may releasably lock the mobile grating in the first position. This means, that the mobile grating may be put into the first position and then be locked by the locking element. In the first position, the restoring element applies a force to the mobile grating which forces the mobile grating into the second position. Thus, after unlocking the locking element, i.e. releasing the mobile grating from the locking element, the restoring element forces the mobile grating towards the second position. The mobile grating and the restoring element may thus define a free oscillating system. This means, that the mobile grating may perform an oscillating movement along the guiding element. Since the mobile grating performs the free movement along the guiding element, the invention does not need an actuator for performing the phase stepping movement of the mobile grating. By moving the mobile grating with the restoring element only, the invention provides a fast image acquisition without a significant delay since a detector does not need to wait for the end of a positioning process of the mobile grating. Thus, in a first embodiment, a detector may immediately start the measurement after the mobile grating is released by from the locking element. In a second alternative embodiment, the detector may start the measurement after a delay time to avoid measurements during the release of the mobile grating. The position of the mobile grating is dynamic but can be determined by considering the mass of the mobile grating and the force being applied by the restoring element. Furthermore, due to the known free movement of the mobile grating along the guiding element, positional inaccuracies are reduced and back-lash is avoided. According to an example, the device comprises a position decoder, wherein the position decoder is configured to detect the position of the mobile grating along the guiding element and to emit a trigger signal for a detector if the mobile grating passes predefined positions along the guiding element. The position decoder may track the position of the mobile grating during the oscillation process. Thus, the exact position of the mobile grating between the first and the second position is known. This ensures that the readout is triggered always at exactly the same locations and it will improve the reproducibility and accuracy of the data. The decoder signals will trigger the detector readout and could also trigger the X-ray tube emission in case the latter is not continuous. In another example, the mobile grating performs the free oscillation starting from the first position towards the second position. The oscillation may be described by the equation of motion{umlaut over (x)}(t)+2γ{dot over (x)}(t)+ω2x(t)=0 with x being the linear position of the mobile grating, t the time, ω being the angular frequency with ω2=k/m with k being a spring constant and m being a spring mass, and γ=d/2 with d being the damping constant. In case of the free oscillation the damping constant is zero. A solution of the equation of motion for the free oscillation is given byx(t)=x0 cos ωt. In case of a damped oscillation, a solution of the equation of motion may be given by x ( t ) = e - γ t ( c 1 e γ 2 - ω 2 t + c 2 e - γ 2 - ω 2 t ) ,wherein c1 and c2 are complex constants being determined by the initial conditions. According to an example, the force applied by the restoring element on the mobile grating reduces to zero when the mobile grating reaches the second position. The restoring element does not apply any force on the mobile grating in the second position. While the mobile grating leaves the second position, the restoring element restarts forcing the mobile grating towards the second position. In another example, arriving in the second position, the mobile grating may advance into a third position in which the restoring element forces the mobile grating back towards the second position. Thereby, the second position is arranged between the first position and the third position. Therefore, the mobile grating may oscillate around the second position, wherein the first position and the third position define maxima of the oscillation. In another example, the first position may be the maximum of a cosine or cosine-like movement wherein the second position may be the zero point of a cosine-like movement. The restoring element applies the maximum force to the mobile grating in the first position. According to another example, the mobile grating is configured to perform a continuous movement between the first position and the second position. According to another example, the device further comprises: a dampening element; wherein the dampening element is configured to dampen a movement of the mobile grating between the first position to the second position. In terms of the movement equation the dampening element provides a non-zero dampening constant d. In another example, the mobile grating, the restoring element and the dampening element define a damped oscillator system. In an example, the dampening element applies friction to the mobile grating. This means, that if the mobile grating moves along the guiding element, the dampening element applies a frictional force to the mobile grating decreasing the movement velocity. According to an example, the dampening element provides a controllable dampening to the mobile grating. In an example, the dampening element may underdamp, critically damp, or overdamp the movement of the grating. The dampening constant in the under dampening case is 0<γ<ω, in the critical dampening case the dampening constant is γ=ω and in the over dampening case the dampening constant is γ>ω. According to an example, the dampening element applies at least a critical dampening to the movement of the mobile grating. This means that the dampening element may perform a critical dampening and/or an over dampening. According to an example, the device further comprises: a displacement unit; wherein the displacement unit is configured to move the mobile grating into the first position. In another example, the displacement unit may move the mobile grating from the second position into the first position. In another example, the displacement unit may be used to start the phase stepping process by bringing the mobile grating into the first position. After the displacement unit has brought the mobile grating into the first position, the locking element may lock the mobile grating in the first position. The displacement unit applies a greater force on the mobile grating than the restoring element. Furthermore, the force of the displacement unit is directed in the opposite direction to the force being applied by the restoring element. In another embodiment, when attaching the displacement unit to the mobile grating the restoring element may detach from the mobile grating. When the mobile grating reaches the first position, the displacement unit may detach from the mobile grating after the locking element locks the mobile grating in the first position and the restoring unit may attach to the mobile grating. According to the present invention, a system for phase stepping in phase contrast image acquisition comprises: a phase contrast image acquisition apparatus; and a device according to one of the preceding claims; wherein the phase contrast image acquisition apparatus comprises a radiation source; and at least one immobile grating; wherein the radiation source defines a start of an optical path extending to the at least one immobile grating and the mobile grating; wherein a movement of the mobile grating from the first position to the second position shifts the mobile grating relative to the at least one immobile grating. The system provides an easy way for applying a phase stepping by moving the mobile grating in a free oscillatory movement in relation to the radiation source and the immobile grating. In one embodiment, the mobile grating has grating structures and may move perpendicular to those grating structures, i.e. the guiding element leads the mobile grating perpendicularly to the grating structures. In another embodiment, the mobile grating may move in a non-parallel manner to the grating structures, that means that at least one angle between the movement direction of the mobile grating and the grating structures direction is smaller than 90° but still has a perpendicular movement component. In an example the phase contrast image acquisition apparatus comprises two immobile gratings. According to another example, the phase contrast image acquisition apparatus comprises: a detector; wherein the detector comprises a photodiode array and a scintillator; wherein the photodiode array matches the scintillator; wherein the detector is arranged on an end of the optical path; and wherein the detector is configured to completely read out the photodiode array at least four times during the movement of the mobile grating between the first position and the second position. In another example, the detector may be a 2D detector which directly converts X-ray radiation into measurement signals. In another example, the detector is immobile in relation to the mobile grating. In another example, at or shortly prior to a scan trigger, the mobile grating is released and undergoes free, damped or undamped, oscillations during which the interferometric phase relations are continuously changing in a well-defined manner. The detector can therefore immediately start a measurement since the detector does not have to wait for a special position of the mobile grating. The oscillation time constants are then preferentially chosen in comparison to the frame duration in such a way that the phase relation does not vary appreciably during one frame time. The detector performs a plurality of measurements while the mobile grating moves from the first position to the second position. According to the present invention, also a method for phase stepping in phase contrast image acquisition comprises the following steps: a) locking a mobile grating in a first position with a locking element, wherein the mobile grating is movable between the first position and a second position; b) applying a force on the mobile grating with a restoring element, wherein the force is directed from the first position to the second position; and c) unlocking the locking element such that the force moves the mobile grating into the second position; wherein step b) may be performed at the same time with and/or after step a). According to an example, the method further comprises the step: d) reading out a detector on which X-ray radiation passing the mobile grating falls on. In an example, the mobile grating and at least one immobile grating have different pitches. In another example, the mobile grating has a larger pitch than the at least one immobile grating. Furthermore, according to the present invention, a computer program element for controlling an apparatus according to the preceding description, which, when being executed by a processing unit, is adapted to perform the method steps being described above. A computer readable medium having stored the program element of the preceding description. These and other aspects of the present invention will become apparent from and be elucidated regarding the embodiments described hereinafter. The device for phase stepping in phase contrast image acquisition is referenced in its entirety with reference number 1 as shown in FIG. 1a-d. The device 1 comprises a mobile grating 10, a guiding element 11, a restoring element 12, a locking element 13, a dampening element 14, and a displacement unit 15. The mobile grating 10 is arranged on the guiding element 11 which guides the mobile grating 10 from a first position 2 shown in FIG. 1a to a second position 3 shown in FIG. 1b. In an exemplary embodiment, the guiding element 11 may be a guide rail along which the mobile grating 10 is guided. In another exemplary embodiment, the guiding element 11 may be a guide edge (not shown), along which the mobile grating 10 is guided from the first position 2 to the second position 3. The restoring element 12 is attached to the mobile grating 10. The restoring element 12 may comprise a connection to an element 26 being immobile in relation to the mobile grating 10. In an exemplary embodiment, the restoring element 12 may be a mechanical spring. In another exemplary embodiment, the restoring element 12 may be an electrical or electromagnetic oscillating element or in a further exemplary embodiment a hydraulic oscillating element. The restoring element 12 applies a force to the mobile grating 10 when the mobile grating 10 is in the first position 2. The force which the restoring element 12 applies to the mobile grating 10 in the first position 2 is directed such, that the mobile grating 10 is moved into the second position 3. In the second position 3, the restoring element 12 does not apply a force on the mobile grating 10. The force of restoring element 12 in the second position 3 is therefore zero. The force of the restoring element 12 on the mobile grating 10 decreases between the first position 2 and the second position 3. Thus, the restoring element 12 applies a restoring force to the mobile grating 10 if the mobile grating 10 is removed from the second position 3. Furthermore, the mobile grating 10 and the restoring element 12 define a free oscillating system. The only force which moves the mobile grating 10 results from the restoring element 12. The distance between the first position 2 and the second position 3 spans at least the pitch of the mobile grating 10. Particularly, the distance may be several times this length. This enables covering at least the entire angular span from 0 to 2*Pi for the phase stepping. Locking element 13 is configured to lock the position of the mobile grating 10 in the first position 2. This means that if the mobile grating 10 is in the first position 2, the locking element 13 may be actuated such that the mobile grating 10 cannot leave the first position 2, anymore. In this state, the restoring element 12 may still apply a force on the mobile grating 10 which is directed from the first position 2 to the second position 3. However, since the locking element 13 locks the mobile grating 10 in the first position 2, the mobile grating 10 will not move when being locked by the locking element 13. The locking element 13 is configured to apply a releasable lock on the mobile grating 10. This means that the locking element 13 may be unlocked such that the mobile grating 10 is released from the locking element 13. If the locking element 13 releases the mobile grating 10, the force being applied by the restoring element 12 to the mobile grating 10 will move the mobile grating 10 from the first position 2 to the second position 3. The locking element 13 is connected to an element which is immobile relative to the mobile grating 10. According to FIGS. 1a-d this may be element 26. If the mobile grating 10 comes into the first position 2, the locking element 13 may connect to the mobile grating 10 in a clamping or form fit manner. In an exemplary embodiment, the locking element 13 may e.g. comprise a pin which may connect to a corresponding recess on the mobile grating 10. In another exemplary embodiment, the locking element may comprise an electromagnet, wherein the mobile grating may comprise a permanent magnet. The locking is performed if the electromagnet is switched on and if the electromagnet and the permanent magnet are arranged next to each other. In another exemplary embodiment (not shown), the locking element 13 may be arranged on the guiding element 11. In this case, the locking element 13 is rigidly connected to the guiding element 11 such that the locking element 13 does not move relative to the guiding element 11. The locking element 13 may then for example clamp the mobile grating 10. In another example, the locking element 13 may block the movement of the mobile grating 10 in a form-fit manner, e.g. the locking element 13 may block the mobile grating 10 on the guiding element 11. The dampening element 14 dampens the movement of the mobile grating 10 when moving along the guiding element 11. Thus, the combination of the mobile grating 10, the restoring element 12 and the dampening element 14 define a damped oscillating system. In an exemplary embodiment, the dampening element 14 may provide a force on the mobile grating 10 which is directed opposite to the force being applied by the restoring element 12 as shown in FIGS. 1a-d. In an example, the dampening element 14 may be integrated into the restoring element 12. In another exemplary embodiment, the dampening element 14 provides a friction force to the mobile grating 10 when the mobile grating 10 moves along the guiding element 11. Two further exemplary embodiments of the guiding element 11 are shown in FIGS. 2a and 2b. In another exemplary embodiment (not shown), the dampening element 14 may be a permanent or electro magnet and the mobile grating may comprise a conductor or vice versa. The dampening then results from relative movement of the conductor and the magnet, since eddy currents are induced in the conductor. The dampening being provided by the dampening element 14 may be chosen such that the phase sampling is as smooth and uniform as possible. FIG. 2a shows a guiding element 11 being formed out as a guide rail. The dampening element 14 is positioned on the bottom of the guiding element 11. While gliding along the guide rail, the mobile grating 10 may contact the dampening element 14 which applies a friction force on the mobile grating 10. In FIG. 2b, the dampening element 14 is positioned on an inner lateral side of the guiding element 11 which comes into contact with the mobile grating 10. While the mobile grating 10 moves along the guide rail, the dampening element 14 applies a force being directed opposite to the force being applied by the restoring element 12. Concerning the damped oscillation of the mobile grating 10, if the dampening d provided by the dampening element 14 is zero, the movement of the mobile grating 10 will be a free oscillation. A diagram showing the movement of a free oscillating mobile grating 10 is shown in FIG. 4a. The movement of the mobile grating 10 in this case follows a cosine function 27. The starting point of the cosine function is at the first position 2. The movement of the mobile grating 10 then transfers the mobile grating 10 to the second position 3 and further into a third position 4. A phase stepped measurement for the phase-contrast image acquisition may be applied in the linear portion of the cosine function 27 being indicated by the bars 29 to 34. In this portion, the cosine function 27 is close to a linear function. The dampening being provided by the dampening element 14 may further be under dampening, critical dampening or over dampening. In the free oscillating (FIG. 4a) and in the under dampening case being shown in FIG. 4d, the movement of the mobile grating 10 will transfer from the first position 2 to the second position 3 and then further towards or in direction of the third position 4 being shown in FIG. 1c. In the third position 4, the restoring element 12 applies a force to the mobile grating 10 which is directed to the second position 3. The mobile grating 10 will therefore oscillate around the second position 3. In the under dampening case, the distance which the mobile grating 10 moves from the second position 3 will exponentially decrease with every passing of the second position 3 until the mobile grating 10 will stop its movement in the second position 3. In the critically dampened case being shown in FIG. 4c, the mobile grating 10 will move from the first position 2 to the second position 3 and then stay in the second position 3. This means, that the mobile grating 10 will slow down with an exponential decay on the way to the second position 3 and then stop when reaching the second position 3. In the over damped case, the mobile grating will move slower than in the critically damped case from the first position 2 to the second position 3 and then stop in the second position 3. An example of an over damped case is shown in FIG. 4b. The movement path 28 starts at the first position 2 and then transfers towards the second position 3. When approaching the second position 3, the movement of the mobile grating 10 until it stops when the mobile grating 10 reaches the second position 3. The displacement unit 15 displaces the mobile grating 10 from the second position 3 to the first position 2 when the mobile grating 10 has stopped in the second position 3. The beginning of the displacement of the mobile grating 10 by the displacement unit 15 is shown in FIG. 1d. The displacement unit 15 may apply a stronger force to the mobile grating 10 as the restoring element 12 wherein the force of the displacement unit 15 is directed opposite to the force of the restoring element 12. After the displacement unit 15 has brought the mobile grating 10 into the first position 2, the locking element 13 will lock the mobile grating 10 in the first position 2. After the locking element 13 locks the mobile grating, the displacement unit 15 stops applying force to the mobile grating 10. In an exemplary embodiment, the displacement unit 15 may be detached from the mobile grating 10 after the mobile grating 10 arrives the first position 2. In a further exemplary embodiment, the displacement unit 15 may be detached from the mobile grating 10 if the locking element 13 locks the mobile grating 10 in the first position 2. In an exemplary embodiment, the components of the device 1 may be arranged on a base plate 24. However, they may also be arranged on further objects in further exemplary embodiments, as on a frame or the like. Regarding FIG. 3, a system 5 for phase stepping in phase contrast image acquisition is described. The system 5 comprises a device 1 and a phase contrast image acquisition apparatus 16. The phase contrast image acquisition apparatus 16 comprises a radiation source 17, at least one immobile grating 18, a detector 19 comprising a photodiode array 20, a scintillator 20a, and a processing unit 22. The photodiode array 20 matches the scintillator 20a. In an example, the phase contrast image acquisition apparatus 16 may be a mammography apparatus, diagnostic X-ray apparatus, an IGT apparatus, or a CT apparatus. Furthermore, the phase contrast image acquisition apparatus 16 may be used in material and/or food inspection as well as non-destructive testing or dental imaging. Therefore, the immobile grating 18 and the mobile grating 10 may be X-ray gratings and the radiation source 17 may be an X-ray radiation source. The radiation source 17 defines a starting point for an optical path 6 which extends from the radiation source 17 to the detector 19. In an example, the optical path 6 is the interferometric optical path of a Talbot-Lau interferometer. The mobile grating 10 is one of the G0, G1, or G2 gratings wherein the remaining gratings are immobile gratings 18. The immobile grating 18 and the mobile grating 10 of the device 1 are positioned along the optical path 6. The transition of the mobile grating 10 from the first position 2 to the second position 3 defines a movement of the mobile grating 10 which is nonparallel to the grating structures 10a. In an exemplary embodiment, the movement direction of the mobile grating 10 is perpendicular to the optical path 6. The X-rays start from the radiation source 17. Then they pass the immobile gratings 18 and the mobile grating 10. The X-ray then may be converted to visible light by the scintillator 20a. The converted visible light is then detected by the photodiode array 20. The detector 19 reads out the photodiode array 20 completely at least four times during the movement of the mobile grating 10 between the first position 2 and the second position 3. In an exemplary embodiment, the detector 19 reads out the photodiode array 20 at least four to sixteen, preferably eight, times. The position of the mobile grating 10 between the first position 2 and the second position 3 is known due to the known movement variables, i.e. the amount of the dampening, the strength of the force of the restoring element 12, i.e. the modulus of resilience of the restoring element 12, and the mass of the mobile grating 10. In another exemplary embodiment, a decoder 11a determines the position of the mobile grating 10 along the guiding element 11. The decoder 11a may trigger the detector 19 to read out the photodiode array 20. The decoder 11a may trigger the detector 19 at at least four predetermined positions 29-34 shown in FIG. 4a of the mobile grating 10 while the mobile grating 10 moves from the first position 2 to the second position 3. Therefore, the phase-contrast image may be determined by the readout of the photodiode array 20. A processing unit 22 may control the locking element 13, the displacing unit 15, and the detector 19. The processing unit 22 therefore provides a signal for the displacement unit 15 to displace the mobile grating 10 from the second position 3 to the first position 2. Furthermore, the processing unit 22 may provide a signal to the locking element 13 such that the locking element 13 will lock the mobile grating 10 in the first position 2. The processing unit may further provide a signal to the locking element 13 to unlock the locking element 13 such that the mobile grating 10 is released from the locking element 13 and may move from the first position 2 to the second position 3. Furthermore, the processing unit 22 may provide a signal to the detector 19 to start the read out of the photodiode array 20. FIG. 5 shows a flow chart of the method 100 for phase stepping in phase contrast image acquisition. The method 100 starts with moving 105 the mobile grating into the first position with the displacement unit. The displacement unit 15 displaces the mobile grating 10 from the second position 3 to the first position 2 when the mobile grating 10 has stopped in the second position 3. The beginning of the displacement of the mobile grating 10 by the displacement unit 15 is shown in FIG. 1d. The displacement unit 15 may apply a stronger force to the mobile grating 10 as the restoring element 12 wherein the force of the displacement unit 15 is directed opposite to the force of the restoring element 12. After the displacement unit 15 has brought to the mobile grating 10 into the first position 2, the locking element 13 will lock the mobile grating 10 in the first position 2. After the locking element 13 locks the mobile grating, the displacement unit 15 stops applying force to the mobile grating 10. In an exemplary embodiment, the displacement unit 15 may be detached from the mobile grating 10. Then a mobile grating is locked 101 in the first position with the locking element, wherein the mobile grating is movable between the first position in the second position. Locking element 13 is configured to lock the position of the mobile grating 10 in the first position 2. This means that if the mobile grating 10 is in the first position 2, the locking element 13 may be actuated such that the mobile grating 10 cannot leave the first position 2, anymore. In this state, the restoring element 12 may still apply a force on the mobile grating 10 which is directed from the first position 2 to the second position 3. However, since the locking element 13 locks the mobile grating 10 in the first position 2, the mobile grating 10 will not move when being locked by the locking element 13. The locking element 13 is configured to apply a releasable lock on the mobile grating 10. This means that the locking element 13 may be unlocked such that the mobile grating 10 is released from the locking element 13. If the locking element 13 releases the mobile grating 10, the force being applied by the restoring element 12 to the mobile grating 10 will move the mobile grating 10 from the first position 2 to the second position 3. The locking element 13 is connected to an element which is immobile relative to the mobile grating 10. According to FIGS. 1a-d this may be element 26. If the mobile grating 10 comes into the first position 2, the locking element 13 may connect to the mobile grating 10 in a clamping or form fit manner. The locking element 13 may e.g. comprise a pin which may connect to a corresponding recess on the mobile grating 10. In another exemplary embodiment, the locking element may comprise an electromagnet, wherein the mobile grating may comprise a permanent magnet. The locking is performed if the electromagnet is switched on and if the electromagnet and the permanent magnet are arranged next to each other. In another exemplary embodiment (not shown), the locking element 13 may be arranged on the guiding element 11. In this case, the locking element 13 is rigidly connected to the guiding element 11 such that the locking element 13 does not move relative to the guiding element 11. The locking element 13 may then for example clamp the mobile grating 10. In another example, the locking element 13 may block the movement of the mobile grating 10 in a form-fit manner, e.g. the locking element 13 may block the mobile grating 10 on the guiding element 11. In step 102, a force on the mobile grating is applied with the restoring element, wherein the forces directed from the first position to the second position. The restoring element 12 is attached to the mobile grating 10. The restoring element 12 may comprise a connection to an element 26 being immobile in relation to the mobile grating 10. In an exemplary embodiment, the restoring element 12 may be a mechanical spring. In another exemplary embodiment, the restoring element 12 may be an electrical or electromagnetic oscillating element or in a further exemplary embodiment a hydraulic oscillating element. The restoring element 12 applies a force to the mobile grating 10 when the mobile grating 10 is in the first position 2. The force which the restoring element 12 applies to the mobile grating 10 in the first position 2 is directed such, that the mobile grating 10 is moved into the second position 3. In the second position 3, the restoring element 12 does not apply a force on the mobile grating 10. The force of restoring element 12 in the second position 3 is therefore zero. The force of the restoring element 12 on the mobile grating 10 decreases between the first position 2 and the second position 3. Thus, the restoring element 12 applies a restoring force to the mobile grating 10 if the mobile grating 10 is removed from the second position 3. Furthermore, the mobile grating 10 and the restoring element 12 define a free oscillating system. The only force which moves the mobile grating 10 results from the restoring element 12. The distance between the first position 2 and the second position 3 spans at least the pitch of the mobile grating 10. Particularly, the distance may be several times this length. This enables covering at least the entire angular span from 0 to 2*Pi for the phase stepping. The force being applied in step 102 may already be applied when bringing the mobile grating into the first position and locking the mobile grating in the first position. In step 103, the locking element is unlocked such that the force of the restoring element 12 moves the mobile grating 10 into the second position. In step 104, the movement of the mobile grating from the first position and the second position is damped with a dampening element. The dampening element 14 dampens the movement of the mobile grating 10 when moving along the guiding element 11. Thus, the combination of the mobile grating 10, the restoring element 12 and the dampening element 14 define a damped oscillating system. In an exemplary embodiment, the dampening element 14 may provide a force on the mobile grating 10 which is directed opposite to the force being applied by the restoring element 12 as shown in FIGS. 1a-d. In an example, the dampening element 14 may be integrated into the restoring element 12. In another exemplary embodiment, the dampening element 14 provides a friction force to the mobile grating 10 when the mobile grating 10 moves along the guiding element 11. Two further exemplary embodiments of the guiding element 11 are shown in FIGS. 2a and 2b. In another exemplary embodiment (not shown), the dampening element 14 may be a permanent or electro magnet and the mobile grating may comprise a conductor or vice versa. The dampening then results from relative movement of the conductor and the magnet, since eddy currents are induced in the conductor. The dampening being provided by the dampening element 14 may be chosen such that the phase sampling may be performed as smooth and uniform as possible. FIG. 2a shows a guiding element 11 being formed out as a guide rail. The dampening element 14 is positioned on the bottom of the guiding element 11. While gliding along the guide rail, the mobile grating 10 may contact the dampening element 14 which applies a friction force on the mobile grating 10. In FIG. 2b, the dampening element 14 is positioned on an inner lateral side of the guiding element 11 which comes into contact with the mobile grating 10. While the mobile grating 10 moves along the guide rail, the dampening element 14 applies a force being directed opposite to the force being applied by the restoring element 12. Concerning the damped oscillation of the mobile grating 10, if the dampening provided by the dampening element 14 is zero, the movement of the mobile grating 10 will be a free oscillation. A diagram showing the movement of a free oscillating mobile grating 10 is shown in FIG. 4a. The movement of the mobile grating 10 in this case follows a cosine function 27. The starting point of the cosine function is at the first position 2. The movement of the mobile grating 10 then transfers the mobile grating 10 to the second position 3 and further into a third position 4. A phase stepped measurement for the phase-contrast image acquisition may be applied in the linear portion of the cosine function 27 being indicated by the bars 29 to 34. In this portion, the cosine function 27 is close to a linear function. The dampening being provided by the dampening element 14 may further be under dampening, critical dampening or over dampening. In the free oscillating and in the under dampening case, the movement of the mobile grating 10 will transfer from the first position 2 to the second position 3 and then further towards or in direction of the third position 4 being shown in FIG. 1c. In the third position 4, the restoring element 12 applies a force to the mobile grating 10 which is directed to the second position 3. The mobile grating 10 will therefore oscillate around the second position 3. In the under dampening case, the distance which the mobile grating 10 moves from the second position 3 will decrease with every passing of the second position 3 until the mobile grating 10 will stop its movement in the second position 3. In the critically dampened case, the mobile grating 10 will move from the first position 2 to the second position 3 and then stay in the second position 3. This means, that the mobile grating 10 will slow down on the way to the second position 3 and then stop when reaching the second position 3. In the over damped case, the mobile grating will move slower than in the critically damped case from the first position 2 to the second position 3 and then stop in the second position 3. An example of a critically damped case or an over damped case is shown in FIG. 4b. The movement path 28 starts at the first position 2 and then transfers towards the second position 3. When approaching the second position 3, the movement of the mobile grating 10 until it stops when the mobile grating 10 reaches the second position 3. In step 106, a detector 19 being arranged on an end of an optical path extending to the mobile grating why the mobile grating moves between the first position and the second position is read out at least four times. The detector 19 may comprise a scintillator 20a and a photodiode array 20. The scintillator 20a converts X-ray radiation to visible light which may be detected by the photodiode array 20. Thus, detector 19 reads out the photodiode array 20 completely at least four times while the mobile grating 10 moves from the first position 2 to the second position 3. In an exemplary embodiment, the detector 19 reads out the photodiode array 20 at least four to sixteen, preferably eight, times. In one exemplary embodiment, the position of the mobile grating 10 between the first position 2 and the second position 3 is known due to the known movement variables, i.e. the amount of the dampening, the strength of the force of the restoring element 12, i.e. the modulus of resilience of the restoring element 12, and the mass of the mobile grating 10. In another exemplary embodiment, a decoder 11a determines the position of the mobile grating 10 along the guiding element 11. The decoder 11a may trigger the detector 19 to read out the photodiode array 20. The decoder 11a may trigger the detector 19 at least four predetermined positions of the mobile grating 10 while the mobile grating 10 moves from the first position 2 to the second position 3. Therefore, the phase-contrast image may be determined by the readout of the photodiode array 20. A processing unit 22 may control the locking element 13, the displacing unit 15, and the detector 19. The processing unit 22 therefore provides a signal for the displacement unit 15 to displace the mobile grating 10 from the second position 3 to the first position 2. Furthermore, the processing unit 22 may provide a signal to the locking element 13 such that the locking element 13 will lock the mobile grating 10 in the first position 2. The processing unit may further provide a signal to the locking element 13 to unlock the locking element 13 such that the mobile grating 10 is released from the locking element 13 and may move from the first position 2 to the second position 3. Furthermore, the processing unit 22 may provide a signal to the detector 19 to start the read out of the photodiode array 20. In another exemplary embodiment of the present invention, a computer program or a computer program element 21 is provided that is characterized by being adapted to execute the method steps of the method according to one of the preceding embodiments, on an appropriate system. The computer program element 21 might therefore be stored on a computer unit, which might also be part of an embodiment of the present invention. This computing unit may be adapted to perform or induce a performing of the steps of the method described above. Moreover, it may be adapted to operate the components of the above described apparatus. The computing unit can be adapted to operate automatically and/or to execute the orders of a user. A computer program may be loaded into a working memory of a data processor. The data processor may thus be equipped to carry out the method of the invention. This exemplary embodiment of the invention covers both, a computer program that right from the beginning uses the invention and a computer program that by means of an up-date turns an existing program into a program that uses the invention. Further on, the computer program element might be able to provide all necessary steps to fulfil the procedure of an exemplary embodiment of the method as described above. According to a further exemplary embodiment of the present invention, a computer readable medium 23, such as a CD-ROM, is presented wherein the computer readable medium 23 has a computer program element 21 stored on it which computer program element 21 is described by the preceding section. A computer program may be stored and/or distributed on a suitable medium, such as an optical storage medium or a solid-state medium supplied together with or as part of other hardware, but may also be distributed in other forms, such as via the internet or other wired or wireless telecommunication systems. However, the computer program may also be presented over a network like the World Wide Web and can be downloaded into the working memory of a data processor from such a network. According to a further exemplary embodiment of the present invention, a medium for making a computer program element 21 available for downloading is provided, which computer program element 21 is arranged to perform a method according to one of the previously described embodiments of the invention. It should be noted that embodiments of the invention are described regarding different subject matters. In particular, some embodiments are described with reference to method type claims whereas other embodiments are described with reference to the device type claims. However, a person skilled in the art will gather from the above and the following description that, unless otherwise notified, in addition to any combination of features belonging to one type of subject matter also any combination between features relating to different subject matters is considered to be disclosed with this application. However, all features can be combined providing synergetic effects that are more than the simple summation of the features. While the invention has been illustrated, and described in detail in the drawings and foregoing description, such illustration and description are to be considered illustrative or exemplary and not restrictive. The invention is not limited to the disclosed embodiments. Other variations to the disclosed embodiments can be understood and effected by those skilled in the art in practicing a claimed invention, from a study of the drawings, the disclosure, and the dependent claims. In the claims, the word “comprising” does not exclude other elements or steps, and the indefinite article “a” or “an” does not exclude a plurality. A single processor or other unit may fulfil the functions of several items re-cited in the claims. The mere fact that certain measures are re-cited in mutually different dependent claims does not indicate that a combination of these measures cannot be used to advantage. Any reference signs in the claims should not be construed as limiting the scope. |
|
052672867 | summary | BACKGROUND OF THE INVENTION The present invention relates to a boiling water type reactor, and is more particularly concerned with a fuel assembly capable of carrying out a spectrum shift operation by controlling a flow resistance in a water cross or water rod arranged in the fuel assembly and also concerned with a reactor core equipped with the fuel assembly. One conventional example of a fuel assembly which is charged in a reactor core of a boiling water type reactor (BWR) is shown in FIG. 21. Referring to FIG. 21, a fuel assembly 1 is composed of a cylindrical, square in cross section, channel box 2 and a bundle of fuel rods 3. The fuel rod bundle 3 includes a plurality of fuel rods 11 arranged in square lattice form of 8 rows and 8 columns, for example, and a water rod 5 arranged at a central portion of the arrangement of the fuel rods 11. The water rod 5 has a diameter larger than that of the fuel rod 11. These fuel rods 11 and water rod 5 are supported together with a plurality of spacers disposed with spaces along the axial direction of the fuel assembly. An upper end plug 46 and a lower end plug 47 are mounted at the upper and lower end portions of these fuel rods 11 and the water rod 5, respectively. The upper end plug 46 is secured to an upper tie plate 12 provided with a handle 8 and the lower end plug 47 is also secured to a lower tie plate 13 provided with a coolant guide inlet 15. A core water functioning as a moderator and a coolant flows into the fuel assembly as shown by arrows through the guide inlet 15 of the lower tie plate in a state that the core water rises upward through gaps between the respective fuel rods 11, and during the flowing, the core water removes a heat from the respective fuel rods 11 through heat exchanging function, thus the core water being finally formed at the upper portion of the core into steam and liquid flows. The water rod 5 is provided with an opening 5a formed at the lower end thereof, and during this flow of the core water, the core water flows into the water rod 5 through this opening 5a, rises gently upward along the axial direction thereof and finally flows outward through a discharge port 5b into the upper portion of the fuel rods 11. The core water flowing in the water rod 5 mainly acts as the moderator and is mixed with the mixture of the steam and liquid flows at the upper portion of the core. FIG. 22 shows an example in which a water cross 4 having a cruciform flow passage in cross section is arranged in place of the water rod 5 of FIG. 21. The water cross 4 has a coolant introducing port, not shown, at its lower end portion and has an upper end portion opened in cross shape. In FIG. 22, reference numeral 6 denotes a control rod. The structure of the control rod 6 is shown in FIG. 23, and referring to FIG. 23, the control rod 6 is composed of a sheath 117 in which a poison tube 118 is accommodated and which has an end secured to a central structural member 119. The sheath 117 also has an upper end to which is secured a handle 115 provided with a guide roller 116. To the lower end of the sheath 117 is secured a lower skirt 123, which is provided with a handle 120 for carrying out a separation from a control rod driving mechanism, not shown, a speed limiter 121 at the lower portion and a control rod driving mechanism socket 122. The conventional BWR, as disclosed, for example, in the Japanese Patent Laid-open Publication No. 54-121389, has a core in which is arranged a fuel assembly provided with a water rod in which only the coolant flows for facilitating the moderation of neutrons. In the use of such water rod, reactivity of the core is made high as the number of the hydrogen atoms with respect to uranium atoms increases under the reactor running condition of the conventional BWR, thus enabling effective use of a nuclear fuel material charged in the core. However, in order to further increase the effective use of the fuel material, it is better to change the number of the hydrogen atoms in the core in accordance with the burnup of the fuel material. Advantages attained by changing the number of the hydrogen atoms in accordance with the burnup of the fuel material will be described hereunder. FIG. 9 is a graph showing a relationship between burnup (axis of abscissa) and infinite multiplication factor (axis of ordinate) with respect to a typical example of the fuel assembly charged in the BWR. In FIG. 9, solid and broken lines both represent the same fuel assembly, but the broken line represents a case in which the burning is performed with constant void fraction (40%) in the coolant flow passage in the fuel assembly and, while, the solid line represents a case in which the reactor is operated initially with a high void fraction of 50% and with a reduced void fraction of 30% on the way of the operation. As can be understood from the graph of FIG. 9, more improved multiplication factor can be obtained at the final stage of the fuel life by burning initially with high void fraction and then reducing the same, and that is, a higher discharge exposure can be obtained. This is because that the mean speed of the neutron becomes large and the neutron is easily absorbed by uranium 238 in the case of the high void fraction and the reduced number of the hydrogen atoms, i.e. small ratio of the number of the hydrogen atoms with respect to the number of the uranium atoms. A fuel material utilized for the BWR includes several % of uranium 235 and large % of uranium 238, thus almost uranium 238. In these uraniums, only the uranium 235 mainly absorbs the neutron and causesfission the uranium 238 hardly causes nuclear fission, and accordingly, as the uranium 235 reduces in its amount by the burning, the reactivity is lowered. However, the uranium 238 is transformed to plutonium 239 by the absorption of the neutron having high energy caused by the fission. The plutonium 239 also causes fission by absorbing slowing-down thermal neutron like as the uranium 235. The higher the void fraction is, the higher the neutron energy is and, hence, the larger is the percentage for transforming the uranium 238 to the plutonium 239, whereby the fission of the uranium 235 and the plutonium 239 can be suppressed. Accordingly, the higher the void fraction is, the slower is the reduction of the whole quantity of the uranium 235 and the plutonium 239. It is however noted that when the void fraction is high, the absolute value of the reactivity is low, and for this reason, when the high void fraction is kept as it is, the reactivity easily or speedily reaches its minimum level for keeping criticality in comparison with the low void fraction. Then, by lowering the void fraction at that time, the slowing-down effect of the neutron is increased and the fission of the uranium 235 and the plutonium 239 is thereby increased in comparison with the case of the constant void fraction, thus increasing the reactivity. Accordingly, the fissile material contained in the fuel material can be burned more longer till the reactivity reaches the minimum level necessary for the criticality. The above described technical facts are the principle for achieving the effective use of the fuel material by changing the void fraction in accordance with the burning of the fissile material, which is hence called a spectrum shift operation. One method of changing the number of the hydrogen atoms in the core in accordance with the burning of the fuel material for such spectrum shift operation has been proposed in the "Large Width Spectrum Shift BRW Core Concenpt (1)", No. F15 presentation on 1998, 4/4-4/6, by "SHO-63 Aunual Meeting" of Atomic Energy Society of Japan and in the Japanese Patent Laid-open Publication No. 63-73187. In these publications, as shown in FIGS. 24 and 25, a fuel rod support member 14 acting as resisting member is disposed at a lower portion of a fuel assembly la and a water rod 9 is provided with an inner tube 35 having a coolant inlet port 42 opened at an area below the resisting member 14 and having inside coolant rising passage 40. The water rod 9 also has a coolant lowering passage 41 and a coolant discharge opening 43 at an area above the resisting member 14 on that coolant lowering passage 41. The coolant lowering passage 41 is connected to the coolant rising passage 40 at the opening 34. The water rod 9 further includes an outer tube 36 supported to the inner tube 35 by means of spacers 37 and plugged with an end plug 38 at its upper end opening. In the fuel assembly of the structure described above, as shown in FIG. 26, when the flow rate of the coolant passing the core decreases, the pressure difference between the inlet and outlet portions of the water rod 9 is reduced and steam is hence filled up in the flow passage of the water rod 9. On the contrary, when the flow rate increases, that pressure difference is increased and the steam in the passage of the water rod 9 is extremely reduced. Accordingly, it becomes possible to widely change the average void fraction in the fuel assembly and the increasing of the reactivity at the end of the reactor running cycle. Namely, during the initial half reactor running cycle in which the coolant flow rate is throttled, the moderator density is made large at the core lower portion in which a liquid phase exists in the flow passage of the water rod, and also the moderator density is made small at the core upper portion in which a steam phase exists therein. Therefore, during the initial half reactor running cycle, fuel material located in the core lower portion is mainly burned and the uranium 238 is transformed into the plutonium 239 in the core upper portion. On the other hand, during the later half reactor running cycle, the plutonium 239 transformed from the uranium 238 in the core upper portion during the initial half running cycle is mainly burned, whereby the fuel efficiency of the fuel material can be enhanced due to the spectral shift effect. However, with reference to the conventional fuel assembly of the characters described above, in order to largely change the average void fraction in the fuel assembly, it is necessary to control the pressure difference between the inlet and outlet portions of the water rod in response to the core flow rate. By the way, in the BWR, the coolant flow rate depends on the output power and the axial power distribution of the fuel assembly. Accordingly, as the power of the fuel assembly becomes large, the void quantity is made large and the coolant flow rate of the fuel assembly is reduced by the increasing of two phase pressure drop. Further, in the case of the same output power of the fuel assembly, when the axial power distribution has downward peak, the void quantity is made large and the coolant flow rate is reduced by the increasing of the two phase pressure drop. The variation of the coolant flow rate due to the power distribution has a wide range of 20%. As shown in FIG. 26, the average void fraction of the water rod largely changes in response to the minute change of the pressure difference between the inlet and outlet portions of the water rod. Accordingly, for example, even if the water rod of the fuel assembly is surely controlled to about 10% of the low void fraction in a case where the reactor is operated with 110% of the rated core flow, and even if the water rod is surely controlled to about 70% of the high void fraction in a case where the reactor is operated with 70% of the core rated flow, with respect to an intermediate core flow rate between 110% and 70%, there causes large dispersion of the void fraction in the water rod depending on the power of the fuel such as 10% and 70%. As this result, there causes large difference between a signal of nuclear monitoring instrumentation in the core and an evaluation result based on three dimensional nuclear-thermal-hydraulic calculation program in which the power of the fuel assembly is monitored and simulated through an online, which results in a defect of being disadvantageous to the evaluation of thermal limitation with high performance in the core (MCPR, MLHGR). Furthermore, for the conventional water rod, it is necessary to widely change the core flow rate in order to carry out the spectral shift operation in which the void fraction in the water rod is widely changed, and in view of the limitation such as MCPR, there provides a defect such that the spectral shift cannot be performed in a case where the coolant flow rate cannot be throttled. SUMMARY OF THE INVENTION An object of the present invention, in view of the above facts, to substantially eliminate defects or disadvantages encountered in the prior art and to provide a fuel assembly or reactor core capable of carrying out the spectrum shift operation due to the void fraction change in the water rod or water cross freely with a high power near the rated power regardless of the core flow rate and the power distribution of the fuel assembly. This and other objects can be achieved according to the present invention by providing, in one aspect, a fuel assembly comprising, in a vertically installed state: an outer cylindrical channel box; an upper tie plate; a lower tie plate; a number of fuel rods disposed inside the channel box so as to form coolant flow passage between mutually adjacent fuel rods and having upper and lower end portions supported by the upper and lower tie plates, respectively, each of the fuel rods being packed with a fuel pellet; a water cross or water rod arranged between the fuel rods, an inside of the water cross or water rod being divided into a coolant rising passage and a coolant lowering passage; and a control element guide tube disposed inside the water cross or water rod and extending along an entire axial direction of the water cross or water rod, the coolant rising passage having a coolant inlet port formed to a portion above or under a portion at which the fuel rods are supported by the lower tie plate, the control element guide tube having a coolant outlet port formed at a portion near a portion at which the fuel rods are supported by the lower tie plate, so that a coolant introduced into the coolant rising passage flows vertically upwardly, then turns downwardly at a portion near an upper end of the water cross or the water rod and then flows downwardly in the coolant lowering passage along the outer surface of the control element guide tube in a direction reverse to the coolant flow in the coolant rising passage, and flows into an inside of guide tube thereof through the coolant outlet port, the control element guide tube having an upper opening opened outward at an upper end portion of the water cross or water rod and a lower end opening through which a control element is inserted into the control element guide tube, wherein the coolant outlet port formed to the control element guide tube is positioned in a vertical alignment between a lower end of active fuel length defined to the fuel rod and the lower opening end of the control element guide tube. In another aspect, there is provided a reactor core comprising a plurality of fuel assemblies each having a structure described above, control rods having a cross shaped section to be inserted between the fuel assemblies and plural control elements to be combined with the control rod and inserted into the control element guide tube, wherein a average void fraction in the water rod or water cross is controlled by controlling an axial position of the control element inserted into the guide tube. According to the fuel assembly or reactor core of the characters described above, when the coolant outlet port, i.e. drain port, formed to the control element guide tube at a portion near a portion at which the fuel rods are supported is opened, the coolant flow resistance at this port is small and the void fraction in the water cross or water rod becomes less than about 5%. While, when the coolant outlet port is partially closed by the shallow insertion of the control element, the coolant flow resistance is large and the void fraction becomes over about 80%, whereby the void fraction can be surely controlled. Furthermore, during the insertion operation of the control element to the fuel active portion of the fuel assembly for the controlling of the reactivity, even if the pressure in the control element guide tube may be increased, water or steam is escaped through an upper open end having a small coolant flow resistance, so that the insertion of the control rod can be made smooth. Further features and characters of the present invention will be made more clear hereunder by way of preferred embodiments with reference to the accompanying drawings. |
051456397 | description | DESCRIPTION OF THE PREFERRED EMBODIMENTS A nuclear reactor plant 100 comprises a concrete containment 101, a nuclear reactor 102 and a turbine 104 to be driven by reactor 102, as shown in FIG. 1. Turbine 104 is used to drive a generator to generate electricity. Reactor 102 includes a reactor vessel 106 and its internals, including a fissionable core 108. The activity of core 108 is regulated by inserting and withdrawing control rods 110. Reactor 102 contains water, up to a nominal level 112, and steam. The water circulates up through core 108 to transfer heat therefrom. Steam resulting from this heating exits vessel 106 via a turbine steam conduit 114. This steam condenses as it drives turbine 104, and the resulting condensate returns to vessel 106 via a feedwater conduit system 116. A dry well 118 houses reactor 102 and is otherwise filled with nitrogen. A gravity driven coolant system (GDCS) 120 is used to at least temporarily replenish coolant lost from vessel 106 during emergency operations. Upon turbine isolation, an isolation condenser system 122 is used to dissipate pressure, decay heat, and sensible heat from reactor 102. Condenser system 122 has a condenser well 124 and an condenser 200 submerged in a condenser pool 125 of water. Condenser pool 125 is vented via a vent conduit 128. During normal operation, a turbine valve 130 and a condenser valve 132 are open. During isolation condenser (IC) mode, condenser valve 132 stays open while turbine valve 130 is closed, diverting steam that would have driven turbine 104 through condenser conduit 134 to condenser 200. Condensate from condenser 200 flows back to vessel 106 via isolation return conduit 136 and valve 138, which is open during IC mode. Note that while conduits 134 and 136 are shown coupling independently with vessel 106, in practice they share connections with turbine conduits 114 and 116, respectively, to minimize the number of penetrations of the vessel wall. Normally, a vapor valve 140 remains closed during IC mode. However, vapor valve 140 can be opened to permit vapor, especially noncondensibles, to transfer from collector plenum 218 via conduit 142 to wet well 126. Valve 140 is typically opened during a passive coolant containment system (PCCS) mode, during which mode a GDCS valve 144 is also opened allowing water from GDCS 120 to flow through a conduit 146 to replace coolant lost from vessel 106. Condenser 200 includes a chamber 202 and a annular manifold 204, as shown in FIG. 2. Chamber 202 comprises a diskshaped base 206, a vertically-extending cylindrical sidewall 208, and a semispherical cover 210. Chamber 202 isolates the enclosed condenser volume 212 from pool 125. An annular partition 214 divides condenser volume 212 into an upper distributor plenum 216 and an annular lower collector plenum 218. Radially inward of collector plenum 218 is an inlet conduit 220, which serves as an extension of steam conduit 134. Inlet conduit 220 extends from the center of base 206 vertically well into distributor plenum 216. Inlet conduit 220 is a thick tube of stainless steel. The thickness helps insulate outgoing condensate in collector plenum 218 from heat of incoming steam rising through inlet conduit 220. Further insulation is provided by a vapor space 222 between partition 214 and inlet conduit 220. This vapor space 222 also allows differential thermal expansion of inlet conduit 220 and partition 214. Collector plenum 218 is coupled through an aperture 224 in base 206 to conduit 136, which serves to drain condensate back to vessel 106. In addition, condenser 200 includes a vapor trap tube 226 which extends vertically more than half-way up collector plenum 218. Vapor trap tube 226 is coupled to conduit 142. When valve 140 is open, noncondensible gases accumulating in collector plenum 218 can escape into wet well 126 through conduit 142. Manifold 204 comprises an outer array of 48 tubes 230 and an inner array of 48 tubes 232, for a total of 96 tubes. Each tube 230, 232 extends radially outward from distributor plenum 216 through sidewall 208 into pool 125, curves through pool 125, and extends radially inward through sidewall 208 to distributor plenum 218. Thus, manifold 204 provides the only fluid path within well 124 between distributor plenum 216 and collector plenum 218. Of course, there is another fluid path between plenums 216 and 218 through reactor vessel 106. A tube support 234 helps maintain the structural integrity of condenser 200. During turbine isolation, steam from vessel 106 rises through conduit 136 and through inlet conduit 220, where it accumulates in distributor plenum 216. Steam accumulating in distributor plenum 216 is ushered out tubes 230 and 232, where it gives up thermal energy to pool 125 and at least partially condenses to water. The condensate flows into collector plenum 218, whence it can drain through base aperture 224 and conduit 136 back to reactor vessel 106. Vapor and noncondensible gases rise through liquid accumulated in distributor plenum 218. Most of the residual vapor condenses before leaving the liquid. Noncondensible gases of course do not liquefy and thus accumulate at the top of collector plenum 218. Under conditions producing sufficient noncondensible gas to interfere with the operation of condenser 200, valve 140 is opened to allow the noncondensible gases to escape into wet well 126. A major advantage of condenser 200 is that there is only one boundary potentially subjected to severe pressure differentials, e.g., 1250 pounds per square inch. This boundary is constituted by sidewall 208 and cover 210. These components have cylindrical and spherical geometries that enclose maximum volume with for a given peripheral area, thus exhibiting favorable pressure bearing characteristics. In the conventional isolation condensers, two pressure bearing chambers are required, each having one or more flat surfaces exposed to the condenser pool. This less optimal geometry requires the additional thickness, reinforcement, and bulk. Of course, condenser 200 does provide separate distributor collector plenums 216 and 218. However, partition 214 which separates them can be relatively thin since the pressure differential across partition 214 is relatively small due to the fluid coupling through manifold 204. A flat geometry is provided by base 206, which is best suited for interfacing with conduits 134, 136, and 142. Since base 206 opposes concrete containment 101, it does not have to bear a pressure differential. By way of comparison, a typical conventional condenser has two disk-shaped chambers with 7' diameters and wall thicknesses on the order of 3.75', although tubes extend to a diameter of 8'; the weight of such a condenser is about 43 tons. Similar capabilities can be provided in accordance with the present invention where sidewall 208 has a diameter of 3' and a thickness of 2.5". Cover 210 can be even thinner at 2.0". The gross weight of condenser 200 is about 13 tons, a reduction of about 70%. In order to provide thermal insulation, inlet conduit 220 can be about 2.0" thick. However, partition 214 can be relatively thin at about 0.375" thickness. Note that the relatively small and lightweight cover 210 provides relatively convenient access to the internals of condenser chamber 202. Each tube 230, 232 is shown as being in a single radial plane so that it enters collector plenum 218 in the same circumferential coordinate as it exited distributor plenum 216. Alternatively, the tubes of the manifold can be coiled so that greater lengths can be achieved for a given manifold diameter. In addition, the lengths of tubes in inner and outer arrays can be equalized by assigning tighter pitches to the coils of inner array. Whether coiled or not, the tubes should return to the chamber at a level below the top of the exit for the noncondensible gases. While the preferred condenser chamber geometry includes a disk-shaped base, a cylindrical sidewall, and a semispherical cover, other geometries are provided for. For example, the base can be semispherical so that the condenser chamber superficially resembles a reactor pressure vessel. With this capsule geometry, the condenser chamber can be spaced above the bottom of condenser well 124, the semispherical bottom being adapted for resisting potentially severe pressure differentials. While cylindrical and spherical geometries are ideally suited for resisting pressure and isolating an enclosed volume from the condenser pool, other geometries are provided for. However, the condenser chamber should enclose a contiguous volume containing both the distributor plenum and the collector plenum. More specifically, the geometry of the chamber is such that every point on a line segment having as its endpoints points within said volume is in that volume. In other words, it is convex. Furthermore, while the chamber geometry need not be spherical and cylindrical, it should be similar enough to those geometries to provide a substantial share of their advantages. The sidewall need not be as compact as a cylinder, which has a circular cross section, but it should be better than a box with a square cross section. In other words, the horizontal cross-sectional area of the sidewall should be greater than the square of one fourth of its perimeter. The cover should be dome-shaped, in other words, it should enclose a volume when mated with its reflection in a horizontal plane. Alternatively, every point on the cover surface, except at the flange, should have a center of curvature within the chamber volume. These and other modifications to and variations upon the described embodiments are provided for by the present invention, the scope of which is limited only by the following claims. |
summary | ||
claims | 1. A method for producing high energy X-rays for radiation therapy at patient's level comprising the steps of:using a linear accelerator without a flattening filter to provide a high radiation dose having a dose distribution;wherein the radiation dose is high energy X-rays ranging from 6 MV to 25 MV;using inverse planning to shape the dose distribution, increase dose rate and shorten treatment time; andradiating a patient in need of radiation therapy with the shaped radiation field. 2. A method according to claim 1 including the step of improving patient radiation therapy by reducing radiation scattered to surrounding normal tissue and reducing electron contamination. 3. A method according to claim 1 including the step of delivering a less contaminated radiation beam at shorter treatment times. 4. A method according to claim 1 including the step of delivering a radiation beam with higher photon fluence at the patient's level. 5. A method according to claim 1 including the step of delivering a cleaner radiation beam at the patient's level. 6. A method according to claim 1 wherein the step of removing the flattening filter reduces lateral scatter. 7. A method according to claim 1 including the steps of:controlling planar fluence in the radiation source with a multi-leaf collimator (MLC); andinverse treatment planning to achieve uniformity within a target volume for the radiation beam without a flattening filter. 8. A method according to claim 1 wherein the linear accelerator is an IMRT capable radiation accelerator. 9. A method according to claim 1 wherein the MLC is used to achieve uniformity that conforms to convention 3D therapy treatments. 10. A method according to claim 1 including the step of varying fluence with a combination of MLC movements in and out of radiation field to produce beam modulation at the patient level. 11. A method according to claim 1 wherein the radiating delivers a 3D conformal gamma radiation dose to a treatment zone at the patient's level. |
|
052672808 | description | In the following description details will be given of the different operations performed from the storage of the cartridges in the storage pool up to the concreting of the container containing the case and consequently the decontaminated empty cartridge and also the different operations performed from the storage of the used cartridges in the storage pool up to the return of the recycled cartridges to the same storage pool. In order to facilitate understanding of the processes according to the invention, FIG. 1 shows an ion Nymphea with its two Nymphea cartridges, which are referred to simply as cartridges. Thus, each Nymphea 1 has two cylindrical, superimposed cartridges 2A, 2B. It also has a suction system 4 positioned above the top cartridge 2A and an outflow unit 6 positioned below the bottom cartridge 2B. The suction system 4 comprises an opening 10 by which the contaminated water is introduced into the Nymphea, a filter system 12 and a propeller 14 connected by a shaft 16 to a motor external of the Nymphea and not shown in the drawing. The suction system 4 also comprises a deflector 18 located below the propeller 14. The suction system 4 is fitted onto the top cartridge by means 19 aligning the cartridge 2A with the suction system 4. Gripping lugs 22 for the cartridges 2A, 2B also ensure the respective alignment of the cartridge 2A with the suction system 4 and the cartridge 2B with the cartridge 2A. These gripping lugs 22 also have the essential function of enabling an operator to seize and displace each of the cartridges with the aid of a handling device and this will be described in greater detail hereinafter. Joints 20 ensuring the sealing of the complete Nymphea with respect to the outside are respectively associated with each of the gripping lugs 22. The top and bottom cartridges 2A, 2B respectively are similar to one another and have a calender 24 and a perforated sheet metal structure 26a, 26b. This metal structure has two perforated sheets covered with metal gauzes 26A, 26B, which are circular and of different sizes forming two perforated ferrules within one another. The volume between two said two ferrules 26a, 26b and forming a confinement chamber is filled with a resin adequate for ion exchange purposes and which is known to the Expert. Bottom and top covers designated respectively 30b, 30a maintain the resin within the confinement chamber formed by the two ferrules 26a, 26b. Circular openings 28 ensure the passage of the contaminated water between the suction system or unit 4 and the cartridge 2A and between the two cartridges 2A and 2B. Each cartridge also comprises a detachable plug 32 placed in an opening of the top cover 30a. In its closed position, said plug ensures the confinement of the resin within the confinement chamber and in its open position permits the introduction of a suction rod, not shown in the drawing, but described hereinafter. Filter screens 29a positioned in the bottoms of the calendars of the cartridges and openings 29b in the surface of the calender of the bottom cartridge 2B ensure the passage of the decontaminated water through the Nymphea. A wall 34 associated with a joint 36 ensures a separation between the contaminated water and the decontaminated water in the intermediate part between the calenders 24 of the cartridges 2A and 2B. The outflow unit 6 is fitted beneath the bottom cartridge 2B by means identical to those ensuring the fitting of the bottom cartridge 2B to the top cartridge 2A. This outflow unit 6 collects the decontaminated waters from the cartridges 2A and 2B and which enter said unit 6 by the filter screen 29a. Ducts 28 ensure the discharge of decontaminated water to the fuel storage pool in which is placed the Nymphea. Thus, the ion Nymphea is placed in the fuel storage pool. All the Nymphea visible in FIG. 1 is immersed. Only the motor supplying the suction unit 4 with power is emerged. The contaminated water is introduced into the Nymphea through the opening 10 by means of the shaft 16/propeller 14 assembly permitting the suction of water into the Nymphea. The filters 12 positioned at the Nymphea intake make it possible to hold back particles of a certain size, e.g. exceeding 100 micrometers. The contaminated water to be treated is then oriented by the deflector 18 on the periphery of the top cartridge 2A and traverses a first series of openings 28, there being twenty, four of the latter in the Nymphea shown. Part of the contaminated water is evacuated on the periphery of the bottom cartridge 2B, whilst the other part traverses the resin bed 27 of the top cartridge 2A. The treated and therefore decontaminated waters are evacuated by the screens and central openings 29a, 29b to the outflow unit 6. Part of the waters evacuated to the bottom cartridge 2B traverses the resin bed 27 thereof in order to be evacuated, when treated, to the outflow unit 6. The thus decontaminated waters can be evacuated from said unit 6 in several directions, when there are several ducts 38, in the present case there are three ducts (only one of which is visible) for the Nymphea described. The processes according to the invention also consist of conditioning or recycling cartridges 2A, 2B. No matter whether conditioning or recycling is involved, when said cartridges 2A, 2B are used or spent, they are removed from the Nymphea by the operator and brought into a spent cartridge storage pool. In the following description, the first part will relate to the treatment of the cartridges common to the recycling and conditioning processes thereof. A description will then be given of the specific stages of the conditioning process and the specific stages of the recycling process. Thus, a description will be given hereinafter of the stages necessary for the treatment of the cartridges from the spent cartridge storage pool to the removal of the spent resins from the cartridge metal structure. Each cartridge is firstly transferred from the storage pool to the discharge pool by a transfer basket, which permits the underwater transportation of a cartridge from one pool to another. A transfer casket is located in said discharge pool. The cartridge is then transferred from the transfer basket into the transfer casket. This transfer casket, called casket for short, is used for transporting the cartridge from the discharge pool to the treatment cell, said pool and said cell having no common opening which would enable the cartridge to pass from one to the other leaving it constantly immersed, because the treatment cell is not under water. Therefore the cartridge must be transported over the water level and the casket enabling this to take place has a first cartridge confinement function. It is also equipped with a thickness of lead and is filled with water, which ensures the biological protection of the operators after emersion. The transfer of the cartridge into the casket takes place by means of a travelling crane under which is attached a pole. This pole is fitted by means of a gripping system into the transfer basket and ensures the displacement thereof under water from the storage pool to the discharge pool. The cartridge is then transferred into the casket waiting in the discharge pool. A cover is placed tightly on the casket, equipped with a crossbar, to which is fixed one end of a pole. The second end of the pole is emerged and can be seized and attached to a travelling crane. Under the action of the latter, the casket is raised and removed from the slightly contaminated water of the discharge pool. The casket containing the cartridge is then transferred into a decontamination cell, where its outer wall is decontaminated so that it can be transferred below the treatment cell, said operation requiring its passage into areas which must not be contaminated. After decontamination, the casket can be transferred and then engaged beneath the treatment cell, from which are extracted from the cartridge the resins. When the decontamination cell is removed, the casket is deposited on a motorized trolley and transferred into a cell located beneath the treatment cell. A casket engagement operation is then performed below the treatment cell and is shown in FIG. 2. More specifically, the treatment cell comprises a surface cell 40A and a subcell 40B. For the engagement operation, the casket 42 is introduced into the subcell 40B and positioned on a height-variable stool 44. This stool permits the engagement of several casket types by adjusting the position of the casket 42 relative to the opening 47 located in the floor of the surface cell 40A. This opening 47 is closed (when there is no engagement) by a not shown cell cover. When the casket 42 is correctly positioned, a ring 46 equipped with a packing or seal ensures the sealing between the upper portion of the casket 42 and the floor of the surface cell 40A. The cell cover and then the casket cover 48 are removed and transferred into said cell 40A. There is then a communication between the casket 42 and the surface cell 40A. The cartridge plug 32 is then removed to permit the passage of a suction rod 50 connected by a flexible tube to a suction pump 52. This compressed air-pump 52 ensures the suction through the rod 50 of the resin contained in the cartridge. The thus extracted resin is discharged to a resin storage unit, positioned outside the cell 40 and not shown in the drawing. When the resin has been extracted from the cartridge, the empty cartridge can either be conditioned or recycled. In the following description part, details will be given of the stages permitting the conditioning of the empty cartridge. Thus, when the resin has been removed from the cartridge, the latter is moved out of the casket by means of a crossbar fixed beneath a travelling crane and decontaminated under high pressure water in a well of the treatment cell 40 in order to eliminate all the active resins. The empty cartridge is shown in mixed line form in FIG. 2 outside the said casket, the rinsing well not being shown for reasons of clarity. According to an embodiment of the invention, video means are located in the cell 40 to permit a visual examination of the purity state of the internal cartridge structure 26. The disengagement of the casket can then take place and it can be returned to the discharge pool, where another cartridge will be introduced into the said casket. The disengagement operation is the reverse of the engagement operation, namely replacement of the cover 48 on the casket 42, replacement of the cell cover on the opening 47 and withdrawal of the casket 42 from below the floor of the surface cell 40A. The casket 42 is then transferred again by the motorized trolley to the discharge pool, where another cartridge is placed in the casket. As the subcell 40B is free, it is then possible to engage the conditioning case brought by the motorized trolley. This case engagement operation is similar to that described for the casket with the positioning of the case beneath the surface cell 40A, its sealing and the removal of the covers. The function of the conditioning case is to confine the decontaminated empty cartridge when it leaves the intervention cell 40. When the case has been engaged, the resin-free cartridge is introduced into the case. It is then possible to disengage said case, which is transferred into a metal container. FIG. 3 shows the operation of transferring the case into the container. Thus, after disengagement, the case 54 is in the vertical position. Journals 56a, 56b respectively in the top and bottom parts of the case 54 permit its gripping and tilting into a horizontal position. More specifically, the case 54 is raised with the aid of a travelling crane beneath which is hung a crossbar 60, which is placed on the upper journal 56a. The thus raised case 54 is positioned in such a way that its bottom journals 56a are fitted into the notches 64 of a cradle 62, which permits the rotation of the case 54 and its bringing into a horizontal position. When the case 54 is in the horizontal position, not shown handling slings make it possible to attach case lifting rings 66 in order to transport it into the container. The container can then be concreted, i.e. the volume between the outer face of the case and the inner face of the container can be filled with concrete. Thus concreted, the container can be stored without any contamination risk for the external medium. According to another embodiment of the invention, the resin-free cartridge can be introduced into a decanning room in order to be cut up. In this way its volume is considerably decreased, which permits the use of cases and therefore containers of reduced size and therefore the volume of the waste to be stored is reduced. The cartridge recycling process comprises all the already described operations up to the resin extraction operation. When the metal structure has been freed from all resin, this recycling process consists of cleaning the said structure and filling it with new resin. The cleaning of the metal structure consists of cleaning on the one hand the wire gauzes and on the other the filter screens. According to an embodiment of the invention, the filter screens are produced in disassemblable manner so as to facilitate their cleaning during the recycling of cartridges. In addition, the empty cartridge is extracted from the transfer casket engaged beneath the treatment cell by means of the crossbar and the travelling crane. It is then transferred to a glovebox of said cell. The term glovebox is used to describe a cell from which operators can carry out manual operations without there being any risk of breaking the confinement existing in the casket and the treatment cell. The lower part of the empty cartridge is placed in said glovebox, where an operator manually dismantles the filter screen or screens from said cartridge. The filter screens are then immersed in an ultrasonic tank, where they are unclogged, i.e. all impurities are removed. In parallel to this filter screen unclogging, the empty cartridge is transferred into a treatment cell rinsing well, where it is cleaned with high pressure water. Clean filter screens are then refitted to the cleaned cartridge, which has been retransferred into the glovebox in order to permit the refitting of said screens. The reconstituted empty cartridge (i.e. clean and refitted) is replaced by means of the crossbar and travelling crane for the treatment cell, in the casket engaged beneath said cell. The operation of filling the metal structure with new resin can then be carried out from a preparation cell or vessel placed outside the intervention cell and containing new ion exchange resins. When the structure is full, new plugs are remotely placed on the top cover of the cartridge. According to any embodiment of the invention, the new resins contained in the preparation cell are mixed with water from which the silica has been removed, in order to permit an easier flow of said resin into the piping used for the filling of the cartridge. During the filling of said cartridge, the silica-free water is pumped into the casket in order to maintain a constant water level in said casket. Thus, when the cartridge is ready for reuse, the casket is disengaged and transferred to the discharge pool, where the cartridge is extracted from the casket and transferred into the cartridge storage pool by the transfer basket. The recycled cartridge is stored awaiting reuse. In addition, according to this recycling process, only the spent resins have to be stored, which leads to a considerable waste storage volume gain, as well as to significant economies, because the empty cartridges are reused. |
description | The present application is (a) a U.S. national stage entry of International Application No. PCT/EP01/11273, filed Sep. 28, 2001, which is a continuation-in-part part of U.S. patent application Ser. No. 09/679,718, filed Sep. 29, 2000 now U.S, Pat. No. 6,438,199, which is a continuation-in-part of U.S. patent application Ser. No. 09/305,017, filed May 4, 1999, now U.S. Pat. No. 6,198,793. The invention concerns an illumination system for wavelengths≦193 nm as well as a projection exposure apparatus with such an illumination system. In order to be able to further reduce the structural widths of electronic components, particularly in the submicron range, it is necessary to reduce the wavelengths of the light utilized for microlithography. Lithography with very deep UV radiation, so called VUV (Very deep UV) lithography or with soft x-ray radiation, so called EUV (extreme UV) lithography, is conceivable at wavelengths smaller than 193 nm, for example. An illumination system for a lithographic device, which uses EUV radiation, has been made known from U.S. Pat. No. 5,339,346. For uniform illumination in the reticle plane and filling of the pupil, U.S. Pat. No. 5,339,346 proposes a condenser, which is constructed as a collector lens and comprises at least 4 pairs of mirror facets, which are arranged symmetrically. A plasma light source is used as the light source. In U.S. Pat. No. 5,737,137, an illumination system with a plasma light source comprising a condenser mirror is shown, in which an illumination of a mask or a reticle to be illuminated is achieved by means of spherical mirrors. U.S. Pat. No. 5,361,292 shows an illumination system, in which a plasma light source is provided, and the point plasma light source is imaged in an annular illuminated surface by means of a condenser, which has five aspherical mirrors arranged off-center. From U.S. Pat. No. 5,581,605, an illumination system has been made known, in which a photon beam is split into a multiple number of secondary light sources by means of a plate with concave raster elements. In this way, a homogeneous or uniform illumination is achieved in the reticle plane. The imaging of the reticle on the wafer to be exposed is produced by means of a conventional reduction optics. EP-A-0 939 341 shows an illumination system and exposure apparatus for illuminating a surface over an illumination field having an arcuate shape with x-ray wave length light. The illumination system comprises first and second optical integrators each with a plurality of reflecting elements. The first and second optical integrators being opposingly arranged such that a plurality of light source images are formed at the plurality of reflecting elements of the second optical integrator. To form an arcuate shaped illumination field in the field plane according to EP-A-0 939 341 the reflecting elements of the first optical integrator have an arcuate shape similar to the arcuate illumination field. Such reflecting elements are complicate to manufacture. EP-A-1 026 547 also shows an illumination system with two optical integrators. Similar to the system of EP-A-0 939 341 reflecting elements of the first optical integrator have an arcuate shape for forming an arcuate shaped illumination field in the field plane. In EP-A-0 955 641 a system with two optical integrators is shown. Each of said optical integrators comprises a plurality of raster-elements. The raster elements of the first optical integrator are of rectangular shape. The arc-shaped field in the field plane is formed by at least one grazing incidence field mirror. Such systems are easier to manufacture than systems according to EP-A-0 939 341 or EP-A-1 026 547. The content of the above mentioned patent-applications are incorporated by reference. All systems known from the state of the art, especially from EP-A-0 939 341, EP-A-0 955 641 and EP-A-1 026 547 have the disadvantage that the track lenght of the overall system is large. It is therefore an object of the invention to ovcercome the disadvantages of the illumination systems according to the state of art and to provide an illumination system for microlithography that fulfills the requirements for advanced lithography with wavelength less or equal to 193 nm. The illumination system should especially be compact in size. The object of the invention is solved by an illumination system with the features of claim 1 and a projection exposure apparatus with the features of claim 20. The system illuminates a structured reticle arranged in the image plane of the illumination system, which will be imaged by a projection objective onto a light sensitive substrate. In scanner-type lithography systems the reticle is illuminated with a rectangular or arc-shaped field, wherein a pregiven uniformity of the scanning energy distribution inside the field is required, for example better than ±5%. The scanning energy is defined as the line integral over the light intensity in the scanning direction. The shape of the field is dependent on the type of projection objective. All reflective projection objectives typically have an arc-shaped field, which is given by a segment of an annulus. A further requirement is the illumination of the exit pupil of the illumination system, which is located at the entrance pupil of the projection objective. A nearly field-independent illumination of the exit pupil is required. Typical light sources for wavelengths between 100 nm and 200 nm are excimer lasers, for example an ArF-Laser for 193 nm, an F2-Laser for 157 nm, an Ar2-Laser for 126 nm and an NeF-Laser for 109 nm. For systems in this wavelength region refractive components of SiO2, CaF2, BaF2 or other crystallites are used. Since the transmission of the optical materials deteriorates with decreasing wavelength, the illumination systems are designed with a combination of refractive and reflective components. For wavelengths in the EUV wavelength region, between 10 nm and 20 nm, the projection exposure apparatus is designed as all-reflective. A typical EUV light source is a Laser-Produced-Plasma-source, a Pinch-Plasma-Source, a Wiggler-Source or an Undulator-Source. The light of this primary light source is directed to a first optical element, wherein the first optical element is part of a first optical component. Preferably the first optical component comprises a collector unit. The collector unit collects the light of the primary light source. The first optical element is organized as a plurality of first raster elements and transforms, preferably together with the collector unit, the primary light source into a plurality of secondary light sources. Each first raster element corresponds to one secondary light source and focuses an incoming ray bundle, defined by all rays intersecting the first raster element, to the corresponding secondary light source. The secondary light sources are arranged in a pupil plane of the illumination system or nearby this plane. A field lens forming a second optical component is arranged between the pupil plane and the image plane of the illumination system to image the secondary light sources into an exit pupil of the illumination system, which corresponds to the entrance pupil of a following projection objective. The first raster elements are imaged into the image plane, wherein their images are at least partially superimposed on a field that must be illuminated. Therefore, they are known as field raster elements or field honeycombs. If the light source is a point-like source, the secondary light sources are also point-like. In this case the imaging of each of the field raster elements can be explained visually with the principle of a “camera obscura”, with the small hole of the camera obscura at the position of each corresponding secondary light source, respectively. To superimpose the images of the field raster elements in the image plane of the illumination system the incoming ray bundles are deflected by the field raster elements with first deflection angles, which are not equal for each of the field raster elements but at least different for two of the field raster elements. Therefore individual deflection angles for the field raster elements are designed. For each field raster element a plane of incidence is defined by the incoming and deflected centroid ray selected from the incoming ray bundle. Due to the individual deflection angles, at least two of the incidence planes are not parallel. In advanced microlithography systems the light distribution in the entrance pupil of a projection objective must fulfill special requirements such as having an overall shape or uniformity. Since the secondary light sources are imaged into the exit pupil, their arrangement in the pupil plane of the illumination system determines the light distribution in the exit pupil. With the individual deflection angles of the field raster elements a predetermined arrangement of the secondary light sources can be achieved, independent of the directions of the incoming ray bundles. For reflective field raster elements the deflection angles are generated by the tilt angles of the field raster elements. The tilt axes and the tilt angles are determined by the directions of the incoming ray bundles and the positions of the secondary light sources, to which the reflected ray bundles are directed. For refractive field raster elements the deflection angles are generated by lenslets, which have a prismatic optical power. The refractive field raster elements can be lenslets with an optical power having a prismatic contribution or they can be a combination of a single prism and a lenslet. The prismatic optical power is determined by the directions of the incoming ray bundles and the positions of the corresponding secondary light sources. Given the individual deflection angles of the first raster elements, the beam path to the plate with the raster elements can be either convergent or divergent. The slope values of the field raster elements at the centers of the field raster elements has then to be similar to the slope values of a surface with negative power to reduce the convergence of the beam path, or with positive power to increase the convergence of the beam path. Finally the field raster elements deflect the incoming ray bundles to the corresponding secondary light sources having predetermined positions depending on the illumination mode of the exit pupil. The diameter of the beam path is preferably reduced after the collector unit to arrange filters or transmission windows with a small size. This is possible by imaging the light source with the collector unit to an intermediate image. The intermediate image is arranged between the collector unit and the plate with the field raster elements. After the intermediate image of the light source, the beam path diverges. An additional mirror to condense the diverging rays is not necessary due to the field raster elements having deflecting optical power. For contamination reasons there is a free working distance between the light source and the collector unit, which results in considerable diameters for the optical components of the collector unit and also for the light beam. Therefore the collector unit has positive optical power to generate a converging ray bundle to reduce the beam diameter and the size of the plate with field raster elements. The convergence of the light rays can be reduced with the field raster elements, if the deflection angles are designed to represent a negative optical power according to the invention. For the centroid rays impinging on the centers of the field raster elements, the collector unit and the plate with the field raster elements then form a telescope system. The collector unit has positive optical power to converge the centroid rays towards the optical axis, wherein the field raster elements reduce the converging angles of the centroid rays. With this telescope system the track length of the illumination system according to the invention can be reduced. Preferably, the field raster elements are tilted planar mirrors or prisms with planar surfaces, which are much easier to produce and to qualify than curved surfaces. This is possible, if the collector unit is designed to image the primary light source into the pupil plane of the illumination system, which would result in one secondary light source, if the field raster elements were omitted. The plurality of secondary light sources is generated by the plurality of field raster elements, which distribute the secondary light sources in the pupil plane according to their deflection angles. The positive optical power to focus the incoming ray bundles to the secondary light sources is completely provided by the collector unit Therefore the optical distance between the image-side principal plane of the collector unit and the image plane of the collector unit is nearly given by the sum of the optical distance between the image-side principal plane of the collector unit and the plate with the field raster elements, and the optical distance between the plate with the field raster elements and the pupil plane of the illumination system. Due to the planar surfaces, the field raster elements do not influence the imaging of the primary light source into one secondary light source, except for the dividing of this one secondary light source into a plurality of secondary light sources due to the deflection angles. For point-like or spherical sources the collector unit has ellipsoidal mirrors or conical lenses with a first or second focus, wherein the primary light source is arranged in the first focus, and the secondary light source is arranged in the second focus of the collector unit. Since according to the invention the focusing power of the collector unit is large and the primary light source is imaged in front of the pupil plane, the field raster elements have negative optical power. The field raster elements with negative optical power are convex mirrors in case of reflective systems or lenslets comprising negative optical power in case of refractive system to generate the secondary light sources in or nearby the pupil plane. The field raster elements are preferably arranged in a two-dimensional array on a plate without overlapping. For reflective field raster elements the plate can be a planar plate or a curved plate. To minimize the light losses between adjacent field raster elements they are arranged only with intermediate spaces between them, which are necessary for the mountings of the field raster elements. Preferably, the field raster elements are arranged in a plurality of rows having at least one field raster element and being arranged among one another. In the rows the field raster elements are put together at the smaller side of the field raster elements. At least two of these rows are displaced relative to one another in the direction of the rows. In one embodiment each row is displaced relative to the adjacent row by a fraction of a length of the field raster elements to achieve a regular distribution of the centers of the field raster elements. The fraction is dependent on the side aspect ratio and is preferably equal to the square root of the length of one field raster element. In another embodiment the rows are displaced in such a way that the field raster elements are illuminated almost completely. Preferably, only these field raster elements are imaged into the image plane, which is completely illuminated. This can be realized with a masking unit in front of the plate with the field raster elements, or with an arrangement of the field raster elements wherein 90% of the field raster elements are completely illuminated. It is advantageous to insert a second optical element with second raster elements in the light path after the first optical element with first raster elements, wherein one first raster element corresponds to one of the second raster elements. Therefore, the deflection angles of the first raster elements are designed to deflect the ray bundles impinging on the first raster elements to the corresponding second raster elements. The second raster elements are preferably arranged at the secondary light sources and are designed to image together with the field lens the first raster elements or field raster elements into the image plane of the illumination system, wherein the images of the field raster elements are at least partially superimposed. The second raster elements are called pupil raster elements or pupil honeycombs. To avoid damaging the second raster elements due to the high intensity at the secondary light sources, the second raster elements are preferably arranged defocused of the secondary light sources, but in a range from 0 mm to 10% of the distance between the first and second raster elements. For extended secondary light sources the pupil raster elements preferably have a positive optical power to image the corresponding field raster elements, which are arranged optically conjugated to the image plane. The pupil raster elements are concave mirrors or lenslets with positive optical power. The pupil raster elements deflect incoming ray bundles impinging on the pupil raster elements with second deflection angles in such a way that the images of the field raster elements in the image plane are at least partially superimposed. This is the case if a ray intersecting the field raster element and the corresponding pupil raster element in their centers intersects the image plane in the center of the illuminated field or nearby the center. Each pair of a field raster element and a corresponding pupil raster element forms a light channel. The second deflection angles are not equal for each pupil raster element. They are preferably individually adapted to the directions of the incoming ray bundles and the requirement to superimpose the images of the field raster elements at least partially in the image plane. With the tilt axis and the tilt angle for a reflective pupil raster element or with the prismatic optical power for a refractive pupil raster element the second deflection angle can be individually adapted. For point-like secondary light sources the pupil raster elements only have to deflect the incoming ray bundles without focusing the rays. Therefore the pupil raster elements are preferably designed as tilted planar mirrors or prisms. If both, the field raster elements and the pupil raster elements deflect incoming ray bundles in predetermined directions, the two-dimensional arrangement of the field raster elements can be made different from the two-dimensional arrangement of the pupil raster elements. Wherein the arrangement of the field raster elements is adapted to the illuminated area on the plate with the field raster elements, the arrangement of the pupil raster elements is determined by the kind of illumination mode required in the exit pupil of the illumination system. So the images of the secondary light sources can be arranged in a circle, but also in an annulus to get an annular illumination mode or in four decentered segments to get a Quadrupol illumination mode. The aperture in the image plane of the illumination system is approximately defined by the quotient of the half diameter of the exit pupil of the illumination system and the distance between the exit pupil and the image plane of the illumination system. Typical apertures in the image plane of the illumination system are in the range of 0.02 and 0.1. By deflecting the incoming ray bundles with the field and pupil raster elements a continuous light path can be achieved. It is also possible to assign each field raster element to any of the pupil raster elements. Therefore the light channels can be mixed to minimize the deflection angles or to redistribute the intensity distribution between the plate with the field raster elements and the plate with the pupil raster elements. Imaging errors such as distortion introduced by the field lens can be compensated for with the pupil raster elements being arranged at or nearby the secondary light sources. Therefore the distances between the pupil raster elements are preferably irregular. The distortion due to tilted field mirrors for example is compensated for by increasing the distances between the pupil raster elements in a direction perpendicular to the tilt axis of the field mirrors. Also, the pupil raster elements are arranged on curved lines to compensate for the distortion due to a field mirror, which transforms the rectangular image field to a segment of an annulus by conical reflection. By tilting the field raster elements the secondary light sources can be positioned at or nearby the distorted grid of the corresponding pupil raster elements. For reflective field and pupil raster elements the beam path has to be folded at the plate with the field raster elements and at the plate with the pupil raster elements to avoid vignetting. Typically, the folding axes of both plates are parallel. Another requirement for the design of the illumination system is to minimize the incidence angles on the reflective field and pupil raster elements. Therefore the folding angles have to be as small as possible. This can be achieved if the extent of the plate with the field raster elements is approximately equal to the extent of the plate with the pupil raster elements in a direction perpendicular to the direction of the folding axes, or if it differs less than ±10%. Since the secondary light sources are imaged into the exit pupil of the illumination system, their arrangement determines the illumination mode of the pupil illumination. Typically the overall shape of the illumination in the exit pupil is circular and the diameter of the illuminated region is in the order of 60%–80% of the diameter of the entrance pupil of the projection objective. The diameters of the exit pupil of the illumination system and the entrance pupil of the projection objective are in another embodiment preferably equal. In such a system the illumination mode can be changed in a wide range by inserting masking blades at the plane with the secondary light sources to get a conventional, Dipol or Quadrupol illumination of the exit pupil. All-reflective projection objectives used in the EUV wavelength region have typically an object field being a segment of an annulus. Therefore the field in the image plane of the illumination system in which the images of the field raster elements are at least partially superimposed has preferably the same shape. The shape of the illuminated field can be generated by the optical design of the components or by masking blades which have to be added nearby the image plane or in a plane conjugated to the image plane. The field raster elements are preferably rectangular. Rectangular field raster elements have the advantage that they can be arranged in rows being displaced against each other. Depending on the field to be illuminated they have a side aspect ratio in the range of 5:1 and 20:1. The length of the rectangular field raster elements is typically between 15 mm and 50 mm, the width is between 1 mm and 4 mm. To illuminate an arc-shaped field in the image plane with rectangular field raster elements the field lens preferably comprises a first field mirror for transforming the rectangular images of the rectangular field raster elements to arc-shaped images. The arc length is typically in the range of 80 mm to 105 mm, the radial width in the range of 5 mm to 9 mm. The transformation of the rectangular images of the rectangular field raster elements can be done by conical reflection with the first field mirror being a grazing incidence mirror with negative optical power. In other words, the imaging of the field raster elements is distorted to get the arc-shaped images, wherein the radius of the arc is determined by the shape of the object field of the projection objective. The first field mirror is preferably arranged in front of the image plane of the illumination system, wherein there should be a free working distance. For a configuration with a reflective reticle the free working distance has to be adapted to the fact that the rays traveling from the reticle to the projection objective are not vignetted by the first field mirror. The surface of the first field mirror is preferably an off-axis segment of a rotational symmetric reflective surface, which can be designed aspherical or spherical. The axis of symmetry of the supporting surface goes through the vertex of the surface. Therefore a segment around the vertex is called on-axis, wherein each segment of the surfaces which does not include the vertex is called off-axis. The supporting surface can be manufactured more easily due to the rotational symmetry. After producing the supporting surface the segment can be cut out with well-known techniques. The surface of the first field mirror can also be designed as an on-axis segment of a toroidal reflective surface. Therefore the surface has to be processed locally, but has the advantage that the surrounding shape can be produced before surface treatment. The incidence angles of the incoming rays with respect to the surface normals at the points of incidence of the incoming rays on the first field mirror are preferably greater than 70°, which results in a reflectivity of the first field mirror of more than 80%. The field lens comprises preferably a second field mirror with positive optical power. The first and second field mirror together image the secondary light sources or the pupil plane respectively into the exit pupil of the illumination system, which is defined by the entrance pupil of the projection objective. The second field mirror is arranged between the plane with the secondary light sources and the first field mirror. The second field mirror is preferably an off-axis segment of a rotational symmetric reflective surface, which can be designed aspherical or spherical, or an on-axis segment of a toroidal reflective surface. The incidence angles of the incoming rays with respect to the surface normals at the points of incidence of the incoming rays on the second field mirror are preferably lower than 25°. Since the mirrors have to be coated with multilayers for the EUV wavelength region, the divergence and the incidence angles of the incoming rays are preferably as low as possible to increase the reflectivity, which should be better than 65%. With the second field mirror being arranged as a normal incidence mirror the beam path is folded and the illumination system can be made more compact. By definition all rays intersecting the field in the image plane have to go through the exit pupil of the illumination system. The position of the field and the position of the exit pupil are defined by the object field and the entrance pupil of the projection objective. For some projection objectives being centered systems the object field is arranged off-axis of an optical axis, wherein the entrance pupil is arranged on-axis in a finite distance to the object plane. For these projection objectives an angle between a straight line from the center of the object field to the center of the entrance pupil and the surface normal of the object plane can be defined. This angle is in the range of 3° to 10° for EUV projection objectives. Therefore the components of the illumination system have to be configured and arranged in such a way that all rays intersecting the object field of the projection objective are going through the entrance pupil of the projection objective being decentered to the object field. For projection exposure apparatus with a reflective reticle all rays intersecting the reticle needs to have incidence angles greater than 0° to avoid vignetting of the reflected rays at components of the illumination system. In the EUV wavelength region all components are reflective components, which are arranged preferably in such a way, that all incidence angles on the components are lower than 25° or greater than 65°. Therefore polarization effects arising for incidence angles around an angle of 45° are minimized. Since grazing incidence mirrors have a reflectivity greater than 80%, they are preferable in the optical design in comparison to normal incidence mirrors with a reflectivity greater than 65%. The illumination system is typically arranged in a mechanical box. By folding the beam path with mirrors the overall size of the box can be reduced. This box preferably does not interfere with the image plane, in which the reticle and the reticle supporting system are arranged. Therefore it is advantageous to arrange and tilt the reflective components in such a way that all components are completely arranged on one side of the reticle. This can be achieved if the field lens comprises only an even number of normal incidence mirrors. The illumination system as described before can be used preferably in a projection exposure apparatus comprising the illumination system, a reticle arranged in the image plane of the illumination system and a projection objective to image the reticle onto a wafer arranged in the image plane of the projection objective. Both, reticle and wafer are arranged on a support unit, which allows the exchange or scan of the reticle or wafer. The projection objective can be a catadioptric lens, as known from U.S. Pat. No. 5,402,267 for wavelengths in the range between 100 nm and 200 nm. These systems have typically a transmission reticle. For the EUV wavelength range the projection objectives are preferably all-reflective systems with four to eight mirrors as known for example from U.S. Ser. No. 09/503,640 showing a six mirror projection lens. These systems have typically a reflective reticle. For systems with a reflective reticle the illumination beam path between the light source and the reticle and the projection beam path between the reticle and the wafer preferably interfere only nearby the reticle, where the incoming and reflected rays for adjacent object points are traveling in the same region. If there are no further crossing of the illumination and projection beam path it is possible to separate the illumination system and the projection objective except for the reticle region. The projection objective has preferably a projection beam path between said reticle and the first imaging element which is tilted toward the optical axis of the projection objective. Especially for a projection exposure apparatus with a reflective reticle the separation of the illumination system and the projection objective is easier to achieve. To generally explain the effect of prismatic first raster elements FIG. 1 depicts a purely refractive system in a schematically view. The beam cone of the light source 6501 is collected by the aspherical collector lens 6503 and is directed to the plate with the field raster elements 6509. The collector lens 6503 is designed to generate an image 6505 of the light source 6501 at the plate with the pupil raster elements 6515 as shown with the dashed lines if the plate with the field raster elements 6509 is not in the beam path. Therefore without the plate with the field raster elements 6509 one secondary light source 6505 would be produced at the plate with the pupil raster elements. This imaginary secondary light source 6505 is divided into a plurality of secondary light sources 6507 by the field raster elements 6509 formed as field prisms 6511. The arrangement of the secondary light sources 6507 at the plate with the pupil raster elements 6515 is produced by the deflection angles of the field prisms 6511. These field prisms 6511 have rectangular surfaces and generate rectangular light bundles. However, they can have any other shape. The pupil raster elements 6515 are arranged nearby each of the secondary light sources 6507 to image the corresponding field raster elements 6509 into the reticle plane 6529 and to superimpose the rectangular images of the field raster elements 6509 in the field 6531 to be illuminated. The pupil raster elements 6515 are designed as combinations of a pupil prism 6517 and a pupil lenslet 6519 with positive optical power. The pupil prisms 6517 deflect the incoming ray bundles to superimpose the images of the field raster elements 6509 in the reticle plane 6529. The pupil lenslets 6519 are designed together with the field lens 6521 to image the field raster elements 6509 into the reticle plane 6529. Therefore with the prismatic deflection of the ray bundles at the field raster elements 6509 and pupil raster elements 6515 an arbitrary assignment between field raster elements 6509 and pupil raster elements 6515 is possible. The pupil prisms 6517 and the pupil lenslets 6519 can also be made integrally to form a pupil raster element 6515 with positive and prismatic optical power. The field lens 6521 images the secondary light sources 6507 into the exit pupil 6533 of the illumination system forming tertiary light sources 6535 there. FIG. 2 shows an inventive embodiment for a purely refractive system with first raster elements having negative optical power in a schematically view. Corresponding elements have the same reference numbers as those in FIG. 1 increased by 200. Therefore, the description to these elements is found in the description to FIG. 1. The aspheric collector lens 6703 is designed to focus the light rays of the light source 6701 in a plane 6705 which is arranged between the plate with the field raster elements 6709 and the plate with the pupil raster elements 6715 as indicated by the dashed lines. Therefore the field raster elements 6709 have negative optical power to produce the secondary light sources 6707 at the plate with the pupil raster elements 6715. The field raster elements 6709 are designed as combinations of a field prism 6711 and a field lenslet 6713. The field prisms 6711 deflect the incoming ray bundles to the corresponding secondary light sources 6707. The field lenslets 6713 are designed to generate the secondary light sources 6707 at the corresponding pupil raster elements 6715. The field prisms 6711 and the field lenslets 6713 can also be made integrally to form field raster elements 6709 with negative and prismatic optical power. FIG. 3 shows an embodiment for a purely reflective system in a schematically view. Corresponding elements have the same reference numbers as those in FIG. 2 increased by 300. Therefore, the description to these elements is found in the description to FIG. 2. The beam cone of the light source 7001 is collected by the ellipsoidal collector mirror 7003 and is directed to the plate with the field raster elements 7009. The collector mirror 7003 is designed to generate an image 7005 of the light source 7001 between the plate with the field raster elements 7009 and the plate with the pupil raster elements 7015 if the plate with the field raster elements 7009 would be a planar mirror as indicated by the dashed lines. The convex field raster elements 7009 are designed to generate point-like secondary light sources 7007 at the pupil raster elements 7015, since the light source 7001 is also point-like. Therefore the pupil raster elements 7015 are designed as planar mirrors. Since the intensity at the point-like secondary light sources 7007 is very high, the planar pupil raster elements 7015 can alternatively be arranged defocused from the secondary light sources 7007. The distance between the secondary light sources 7007 and the pupil raster elements 7015 should not exceed 20% of the distance between the field raster elements and the pupil raster elements. The pupil raster elements 7015 are tilted to superimpose the images of the field raster elements 7009 together with the field lens 7021 formed as the field mirrors 7023 and 7027 in the field 7031 to be illuminated. Both, the field raster elements 7009 and the pupil raster elements 7015 are tilted. Therefore the assignment between the field raster elements 7009 and pupil raster elements 7015 is defined by the user. In the embodiment of FIG. 3 the field raster elements 7009 at the center of the plate with the field raster elements 7009 correspond to the pupil raster elements 7015 at the border of the plate with the pupil raster elements 7015 and vice versa. The tilt angles and the tilt axes of the field raster elements are determined by the directions of the incoming ray bundles and by the positions of the corresponding pupil raster elements 7015. Since for each field raster element 7009 the tilt angle and the tilt axis is different, also the planes of incidence defined by the incoming and reflected centroid rays are not parallel. The tilt angles and the tilt axes of the pupil raster elements 7015 are determined by the positions of the corresponding field raster elements 7009 and the requirement that the images of the field raster elements 7009 has to be superimposed in the field 7031 to be illuminated. The concave field mirror 7023 images the secondary light sources 7007 into the exit pupil 7033 of the illumination system forming tertiary light sources 7035, wherein the convex field mirror 7027 being arranged at grazing incidence transforms the rectangular images of the rectangular field raster elements 7009 into arc-shaped images. FIG. 4 shows another embodiment for a purely reflective system in a schematically view. Corresponding elements have the same reference numbers as those in FIG. 3 increased by 100. Therefore, the description to these elements is found in the description to FIG. 3. In this embodiment the light source 7101 and therefore also the secondary light sources 7107 are extended. The pupil raster elements 7115 are designed as concave mirrors to image the field raster elements 7109 into the image plane 7129. It is also possible to arrange the pupil raster elements 7115 not at the secondary light sources 7107, but defocused. The influence of the defocus on the imaging of the field raster elements 7109 has to be considered in the optical power of the pupil raster elements. FIG. 5 shows in a schematic view the imaging of one field raster element 7209 into the reticle plane 7229 forming an image 7231 and the imaging of the corresponding secondary light source 7207 into the exit pupil 7233 of the illumination system forming a tertiary light source 7235. Corresponding elements have the same reference numbers as those in FIG. 3 increased by 200. Therefore, the description to these elements is found in the description to FIG. 3. The field raster elements 7209 are rectangular and have a length XFRE and a width YFRE. All field raster elements 7209 are arranged on a nearly circular plate with a diameter DFRE They are imaged into the image plane 7229 and superimposed on a field 7231 with a length Xfield and a width Yfield, wherein the maximum aperture in the image plane 7229 is denoted by NAfield. The field size corresponds to the size of the object field of the projection objective, for which the illumination system is adapted to. The plate with the pupil raster elements 7215 is arranged in a distance of Z3 from the plate with the field raster elements 7209. The shape of the pupil raster elements 7215 depends on the shape of the secondary light sources 7207. For circular secondary light sources 7207 the pupil raster elements 7215 are circular or hexagonal for a dense packaging of the pupil raster elements 7215. The diameter of the plate with the pupil raster elements 7215 is denoted by DPRE. The pupil raster elements 7215 are imaged by the field lens 7221 into the exit pupil 7233 having a diameter of DEP. The distance between the image plane 7229 of the illumination system and the exit pupil 7233 is denoted with ZEP. Since the exit pupil 7233 of the illumination system corresponds to the entrance pupil of the projection objective, the distance ZEP and the diameter DEP are predetermined values. The entrance pupil of the projection objective is typically illuminated up to a user-defined filling ratio σ. The data for a preliminary design of the illumination system can be calculated with the equations and data given below. The values for the parameters are typical for a EUV projection exposure apparatus. But there is no limitation to these values. Wherein the schematic design is shown for a refractive linear system it can be easily adapted for reflective systems by exchanging the lenses with mirrors. The field 7231 to be illuminated is defined by a segment of an annulus. The Radius of the annulus isRfield=138 mm. The length and the width of the segment areXfield=88 mm, Yfield=8 mm Without the field-forming field mirror which transforms the rectangular images of the field raster elements into arc-shaped images the field to be illuminated is rectangular with the length and width defined by the segment of the annulus. The distance from the image plane to the exit pupil isZEP=1320 mm. The object field of the projection-objective is an off-axis field. The distance between the center of the field and the optical axis of the projection objective is given by the radius Rfield. Therefore the incidence angle of the centroid ray in the center of the field is 6°. The aperture at the image plane of the projection objective is NAwafer=0.25. For a reduction projection objective with a magnification ratio of βproj=−0.25 and a filling ratio of σ=0.8 the aperture at the image plane of the illumination system is NA field = σ · NA wafer 4 = 0.05 DEP=2 tan └arcsin(NAfield)┘·ZEP≈2NAEP≈132 mm The distance Z3 between the field raster elements and the pupil raster elements is related to the distance ZEP between the image plane and the exit pupil by the depth magnification α:ZEP=α·Z3 The size of the field raster elements is related to the field size by the lateral magnification βfield:Xfield=βfield·XFREYfield=βfield·YFRE The diameter DPRE of the plate with the pupil raster elements and the diameter DEP of the exit pupil are related by the lateral magnification βpupil:DEP=βpupil·DPRE The depth magnification α is defined by the product of the lateral magnifications βfield and βpupil:α=βfield·βpupil The number of raster elements being superimposed at the field is set to 200. With this high number of superimposed images the required field illumination uniformity can be achieved. Another requirement is to minimize the incidence angles on the components For a reflective system the beam path is bent at the plate with the field raster elements and at the plate with the pupil raster elements. The bending angles and therefore the incidence angles are minimum for equal diameters of the two plates:DPRE=DFRE 200 · X PRE · Y PRE = 200 · X field · Y field β field 2 = D EP 2 β pupil 2 = β field 2 α 2 D EP 2 The distance Z3 is set to Z3=900 mm. This distance is a compromise between low incidence angles and a reduced overall length of the illumination system. α = Z EP Z 3 = 1.47 Therefore Therefore β field ≈ 200 · X field · Y field D EP 2 α 2 4 ≈ 2.05 β pupil ≈ α β field ≈ 0.7 D FRE = D PRE = β field α D EP ≈ 200 mm X FRE = X field β field ≈ 43 mm Y FRE = Y field β field ≈ 4 mm With these values the principal layout of the illumination system is known. In a next step the field raster elements 7309 have to be distributed on the plate as shown in FIG. 6. The two-dimensional arrangement of the field raster elements 7309 is optimized for efficiency. Therefore the distance between the field raster elements 7309 is as small as possible. Field raster elements 7309, which are only partially illuminated, will lead to uniformity errors of the intensity distribution in the image plane, especially in the case of a restricted number of field raster elements 7309. Therefore only these field raster elements 7309 are imaged into the image plane which are illuminated almost completely. FIG. 6 shows a possible arrangement of 216 field raster elements 7309. The solid line 7339 represents the border of the circular illumination of the plate with the field raster elements 7309. Therefore the filling efficiency is approximately 90%. The rectangular field raster elements 7309 have a length XFRE=46.0 mm and a width YFRE=2.8 mm. All field raster elements 7309 are inside the circle 7339 with a diameter of 200 mm. The field raster elements 7309 are arranged in 69 rows 7341 being arranged one among another. The field raster elements 7309 in the rows 7341 are attached at the smaller y-side of the field raster elements 7309. The rows 7341 consist of one, two, three or four field raster elements 7309. Some rows 7341 are displaced relative to the adjacent rows 7341 to distribute the field raster elements 7309 inside the circle 7339. The distribution is symmetrical to the y-axis. FIG. 7 shows the arrangement of the pupil raster elements 7415. They are arranged on a distorted grid to compensate for distortion errors of the field lens. If this distorted grid of pupil raster elements 7415 is imaged into the exit pupil of the illumination system by the field lens a undistorted regular grid of tertiary light sources will be generated. The pupil raster elements 7415 are arranged on curved lines 7443 to compensate the distortion introduced by the field-forming field mirror. The distance between adjacent pupil raster elements 7415 is increased in y-direction to compensate the distortion introduced by field mirrors being tilted about the x-axis. Therefore the pupil raster elements 7415 are not arranged inside a circle. The size of the pupil raster elements 7415 depends on the source size or source etendue. If the source é{acute over (t)}éń{acute over (d)}úé is much smaller than the required etendue in the image plane, the secondary light sources will not fill the plate with the pupil raster elements 7415 completely. In this case the pupil raster elements 7415 need only to cover the area of the secondary light sources plus some overlay to compensate for source movements and imaging aberrations of the collector-field raster element unit. In FIG. 7 circular pupil raster elements 7415 are shown. Each field raster element 7309 correspond to one of the pupil raster elements 7415 according to a assignment table and is tilted to deflect an incoming ray bundle to the corresponding pupil raster element 7415. A ray coming from the center of the light source and intersecting the field raster element 7309 at its center is deflected to intersect the center of the corresponding pupil raster element 7415. The tilt angle and tilt axis of the pupil raster element 7415 is designed to deflect this ray in such a way, that the ray intersects the field in its center. The field lens images the plate with the pupil raster elements into the exit pupil and generates the arc-shaped field with the desired radius Rfield. For Rfield=138 mm, the field forming gracing incidence field mirror has only low negative optical power. The optical power of the field-forming field mirror has to be negative to get the correct orientation of the arc-shaped field. Since the magnification ratio of the field lens has to be positive, another field mirror with positive-optical power-is-required. Wherein for apertures NAfield lower than 0.025 the field mirror with positive optical power can be a grazing incidence mirror, for higher apertures the field mirror with positive optical power should be a normal incidence mirror. FIG. 8 shows a schematic view of a embodiment comprising a light source 7501, a collector mirror 7503, a plate with the field raster elements 7509, a plate with the pupil raster elements 7515, a field lens 7521, a image plane 7529 and a exit pupil 7535. The field lens 7521 has one normal-incidence mirror 7523 with positive optical power for pupil imaging and one grazing-incidence mirror 7527 with negative optical power for field shaping. Exemplary for the imaging of all secondary light sources, the imaging of one secondary light source 7507 into the exit pupil 7533 forming a tertiary light source 7535 is shown. The optical axis 7545 of the illumination system is not a straight line but is defined by the connection lines between the single components being intersected by the optical axis 7545 at the centers of the components. Therefore, the illumination system is a non-centered system having an optical axis 7545 being bent at each component to get a beam path free of vignetting. There is no common axis of symmetry for the optical components. Projection objectives for EUV exposure apparatus are typically centered systems with a straight optical axis and with an off-axis object field. The optical axis 7547 of the projection objective is shown as a dashed line. The distance between the center of the field 7531 and the optical axis 7547 of the projection objective is equal to the field radius Rfield. The pupil imaging field mirror 7523 and the field-forming field mirror 7527 are designed as on-axis toroidal mirrors, which means that the optical axis 7545 paths through the vertices of the on-axis toroidal mirrors 7523 and 7527. In another embodiment as shown in FIG. 9, a telescope objective in the field lens 7621 comprising the field mirror 7623 with positive optical power, the field mirror 7625 with negative optical power and the field mirror 7627 is applied to reduce the track length furthermore. Corresponding elements have the same reference numbers as those in FIG. 8 increased by 100. Therefore, the description to these elements is found in the description to FIG. 8. The field mirror 7625 and the field mirror 7623 of the telescope objective in FIG. 9 are formed as an off-axis Cassegrainian configuration. The telescope objective has an object plane at the secondary light sources 7607 and an image plane at the exit pupil 7633 of the illumination system. The pupil plane of the telescope objective is arranged at the image plane 7629 of the illumination system. In this configuration, having five normal-incidence reflections at the mirrors 7603, 7609, 7615, 7625 and 7623 and one grazing-incidence reflection at the mirror 7627, all mirrors are arranged below the image plane 7629 of the illumination system. Therefore, there is enough space to install the reticle and the reticle support system. In FIG. 10 a detailed view of the embodiment of FIG. 9 is shown. Corresponding elements have the same reference numbers as those in FIG. 9 increased by 100. Therefore, the description to these elements is found in the description to FIG. 9. The components are shown in a y-z-sectional view, wherein for each component the local co-ordinate system with the y- and z-axis is shown. For the collector mirror 7703 and the field mirrors 7723, 7725 and 7727 the local co-ordinate systems are defined at the vertices of the mirrors. For the two plates with the raster elements the local co-ordinate systems are defined at the centers of the plates. In table 1 the arrangement of the local co-ordinate systems with respect to the local co-ordinate system of the light source 7701 is given. The tilt angles α, β and γ about the x-, y- and z-axis are defined in a right-handed system. TABLE 1Co-ordinate systems of vertices of mirrorsX[mm]Y[mm]Z[mm]α[°]β[°]γ[°]Light source 77010.00.00.00.00.00.0Collector mirror0.00.0125.00.00.00.07703Plate with field0.00.0−975.010.5180.00.0raster elements7709Plate with pupil0.0−322.5−134.813.50.0180.0raster elements7715Field mirror 77250.0508.4−1836.1−67.80.0180.0Field mirror 77230.0204.8−989.7−19.70.0180.0Field mirror 77270.0−163.2−2106.249.4180.00.0Image plane 77310.0−132.1−1820.245.00.00.0Exit pupil 77330.0−1158.1−989.445.00.00.0 The surface data are given in table 2. The radius R and the conical constant K define the surface shape of the mirrors according to the formula z = 1 R h 2 1 + 1 - ( 1 + K ) ( 1 R ) 2 h 2 ,wherein h is the radial distance of a surface point from the z-axis. TABLE 2Optical data of the componentsFieldPupilCollectorrasterrasterFieldFieldFieldmirrorelementelementmirrormirrormirror770377097715772577237727R [mm]−232.883600−1239.7−534.7−937.7−65.5K−0.744790.00.0−0.0435−0.0378−1.1186Focal–1800617.6−279.4477.0−757.1length f[mm] The light source 7701 in this embodiment is a Laser-Produced-Plasma source having a diameter of approximately 0.3 mm generating a beam cone with an opening angle of 83°. To decrease the contamination of the collector mirror 7703 by debris of the source 7701 the distance to the collector mirror 7703 is set to 125 mm. The collector mirror 7703 is an elliptical mirror, wherein the light source 7701 is arranged in the first focal point of the ellipsoid. According to the invention the focusing power of the collector unit is large and the primary light source is imaged in front of the pupil plane, if the field raster elements are planar. To generate secondary light sources 7707 in or nearby the pupil plane the field raster elements 7709 have to be convex mirrors. The distance between the vertex of the collector mirror 7703 and the center of the plate with the field raster elements 7709 is 1110 mm. The field raster elements 7709 are rectangular with a length XFRE=46.0 mm and a width YFRE=2.8 mm. The arrangement of the field raster elements is shown in FIG. 6. The tilt angles and tilt axis are different for each field raster element 7709, wherein the field raster elements are tilted to direct the incoming ray bundles to the corresponding pupil raster elements 7715. The tilt angles are in the range of −4° to 4°. The mean incidence angle of the rays on the field raster elements is 10.5°. Therefore the field raster elements 7709 are used at normal incidence. The plate with the pupil raster elements 7715 is arranged in a distance of 900 mm from the plate with the field raster elements 7709. The pupil raster elements 7715 are concave mirrors. The arrangement of the pupil raster elements 7715 is shown in FIG. 7. The tilt angles and tilt axis are different for each pupil raster element 7715, wherein the pupil raster elements 7715 are tilted to superimpose the images of the field raster elements 7709 in the image plane 7731. The tilt angles are in the range of −4° to 4°. The mean incidence angle of the rays on the pupil raster elements 7715 is 7.5°. Therefore the pupil raster elements 7715 are used at normal incidence. The field mirror 7725 is a convex mirror. The used area of this mirror defined by the incoming rays is an off-axis segment of a rotational symmetric conic surface. The mirror surface is drawn in FIG. 10 from the vertex up to the used area as dashed line. The distance between the center of the plate with the pupil raster elements 7715 and the center of the used area on the field mirror 7725 is 1400 mm. The mean incidence angle of the rays on the field mirror 7725 is 12°. Therefore the field mirror 7725 is used at normal incidence. The field mirror 7723 is a concave mirror. The used area of this mirror defined by the incoming rays is an off-axis segment of a rotational symmetric conical surface. The mirror surface is drawn in FIG. 10 from the vertex up to the used area as dashed line. The distance between the center of the used area on the field mirror 7725 and the center of the used area on the field mirror 7723 is 600 mm. The mean incidence angle of the rays on the field mirror 7723 is 7.5°. Therefore the field mirror 7723 is used at normal incidence. The field mirror 7727 is a convex mirror. The used area of this mirror defined by the incoming rays is an off-axis segment of a rotational symmetric conic surface. The mirror surface is drawn in FIG. 10 from the vertex up to the used area as dashed line. The distance between the center of the used area on the field mirror 7723 and the center of the used area on the field mirror 7727 is 600 mm. The mean incidence angle of the rays on the field mirror 7727 is 78°. Therefore the field mirror 7727 is used at grazing incidence. The distance between the field mirror 7727 and the image plane 7731 is 300 mm. In another embodiment the field mirror and the field mirror are replaced with on-axis toroidal mirrors. The vertices of these mirrors are arranged in the centers of the used areas. The convex field mirror has a radius Ry=571.3 mm in the y-z-section and a radius Rx=546.6 mm in the x-z-section. This mirror is tilted about the local x-axis about 12° to the local optical axis 7745 defined as the connection lines between the centers of the used areas of the mirrors. The concave field mirror has a radius Ry=−962. 14 mm in the y-z-section and a radius Rx=−945.75 mm in the x-z-section. This mirror is tilted about the local x-axis about 7.5° to the local optical axis 7745. FIG. 11 shows the illuminated arc-shaped area in the image plane 7731 of the illumination system presented in FIG. 10. The orientation of the y-axis is defined in FIG. 10. The solid line 7849 represents the 50%-value of the intensity distribution, the dashed line 7851 the 10%-value. The width of the illuminated area in y-direction is constant over the field. The intensity distribution is the result of a simulation done with the optical system given in table 1 and table 2. FIG. 12 shows the illumination of the exit pupil 7733 for an object point in the center (x=0 mm; y=0 mm) of the illuminated field in the image plane 7731. The arrangement of the tertiary light sources 7935 corresponds to the arrangement of the pupil raster elements 7715, which is presented in FIG. 7. Wherein the pupil raster elements in FIG. 7 are arranged on a distorted grid, the tertiary light sources 7935 are arranged on a undistorted regular grid. It is obvious in FIG. 12, that the distortion errors of the imaging of the secondary light sources due to the tilted field mirrors and the field-shaping field mirror are compensated. The shape of the tertiary light sources 7935 is not circular, since the light distribution in the exit pupil 7733 is the result of a simulation with a Laser-Plasma-Source which was not spherical but ellipsoidal. The source ellipsoid was oriented in the direction of the local optical axis. Therefore also the tertiary light sources are not circular, but elliptical. Due to the mixing of the light channels and the user-defined assignment between the field raster elements and the pupil raster elements, the orientation of the tertiary light sources 7935 is different for nearby each tertiary light source 7935. Therefore, the planes of incidence of at least two field raster elements have to intersect each other. The plane of incidence of a field raster element is defined by the centroid ray of the incoming bundle and its corresponding deflected ray. FIG. 13 shows an EUV projection exposure apparatus in a detailed view. The illumination system is the same as shown in detail in FIG. 10. Corresponding elements have the same reference numbers as those in FIG. 10 increased by 700. Therefore, the description to these elements is found in the description to FIG. 10. In the image plane 8429 of the illumination system the reticle 8467 is arranged. The reticle 8467 is positioned by a support system 8469. The projection objective 8471 having six mirrors images the reticle 8467 onto the wafer 8473 which is also positioned by a support system 8475. The mirrors of the projection objective 8471 are centered on a common straight optical axis 8447. The arc-shaped object field is arranged off-axis. The direction of the beam path between the reticle 8467 and the first mirror 8477 of the projection objective 8471 is tilted to the optical axis 8447 of the projection objective 8471. The angles of the chief rays 8479 with respect to the normal of the reticle 8467 are between 5° and 7°. As shown in FIG. 13 the illumination system 8479 is well separated from the projection objective 8471. The illumination and the projection beam path interfere only nearby the reticle 8467. The beam path of the illumination system is folded with reflection angles lower than 25° or higher than 75° in such a way that the components of the illumination system are arranged between the plane 8481 with the reticle 8467 and the plane 8383 with the wafer 8473. |
|
claims | 1. An apparatus defining a coolant flow passage in a nuclear reactor, the apparatus comprising:a base material, the base material being electrically conductive and having a surface configured to define a portion of the coolant flow passage;a base dielectric layer formed on the surface of the base material, the base dielectric layer being substantially continuous, the base dielectric layer being a Ta2O5 layer; andan outer dielectric layer formed on the base dielectric layer, the outer dielectric layer being substantially continuous, the outer dielectric layer defining a wetted surface in the coolant flow passage, the outer dielectric layer being a TiO2 layer, the outer dielectric layer being thicker than the base dielectric layer,wherein the outer dielectric layer has a thickness between about 0.5 to 3 μm. 2. The apparatus of claim 1, wherein the base dielectric layer has a thickness between about 0.1 to 2 μm. 3. The apparatus of claim 2, wherein the base dielectric layer has a thickness between about 0.1 to 0.5 μm. 4. The apparatus of claim 1, wherein the base dielectric layer has a thickness between about 0.1 to 0.5 μm. |
|
description | This application claims priority to, and incorporates by reference herein in its entirety, U.S. Provisional Patent Application Ser. No. 62/396,752, filed Sep. 19, 2016. The patent or application file contains at least one drawing executed in color. Copies of this patent or patent application publication with color drawing(s) will be provided by the Office upon request and payment of the necessary fee. FIGS. 7a-7I are executed in color. A wide variety of potential practical and useful embodiments will be more readily understood through the following detailed description of certain exemplary embodiments, with reference to the accompanying exemplary drawings in which: FIG. 1 is a representation of a structure of ammonium metatungstate 1000; FIG. 2 is a representation of electron behavior of a system 2000, which comprises a tungsten atom in proximity to a water molecule; FIG. 3 is a block diagram of an exemplary embodiment of a system 3000; FIG. 4 is a flowchart of an exemplary embodiment of a method 4000; FIG. 5 is a block diagram of an exemplary embodiment of a system 5000; FIG. 6 is an exemplary Raman Spectra 5000 of exemplary Ammonium Metatungstate signature of long chain Tungsten and Oxygen bond. FIG. 7A is a photograph of our transparent Ammonium Metatungstate solution housed in window panels; FIG. 7B is a photograph of our transparent Ammonium Metatungstate solution housed in a shielded work platform; FIG. 7C is a photograph of our transparent Ammonium Metatungstate solution housed in shield panels, which make a glove box; FIG. 7D is a photograph of our transparent Ammonium Metatungstate solution housed in a hollow shield cylinder; FIG. 7E is a photograph of our transparent Ammonium Metatungstate solution housed in a hollow shield cylinder; FIG. 7F is a photograph of our transparent Ammonium Metatungstate solution housed in a shielded rolling rack; FIG. 7G is a photograph of our transparent Ammonium Metatungstate solution housed in a transparent shield door; FIG. 7H is a photograph of our transparent Ammonium Metatungstate solution housed in a transparent shield door; and FIG. 7I is a photograph of our transparent Ammonium Metatungstate solution housed in a transparent shield door. Certain exemplary embodiments can provide a system comprising a substantially transparent radiation shield, which comprises transparent ammonium metatungstate. The transparent ammonium metatungstate can have a density of greater than 1 gram/(cubic centimeter). The substantially transparent radiation shield can be installed on tanks and/or pressure vessels, used as a transparent radiation shield in medical shielding/devices, used as windows in glove boxes, and any application where effective radiation shielding is needed with transparency. The substantially transparent radiation shield can be used in one or more articles worn by a human. Materials such as tungsten, lead, concrete, stainless steel and iron are used for most radiation shielding. These dense metals work well for shielding radiation but they are thick, heavy, and in lead's case, toxic. They come in forms such as bricks, sheets, plates, and lead wool sown in blankets and are used in temporary and substantially permanent radiation shields; none of which are transparent. Water is also used for shielding nuclear fuel in certain circumstances. Many feet of water can be used to shield personnel from a high radiation source, which reduces working space drastically. A dense liquid housed in containers can be used for shielding radiation such as gamma rays, neutrons, and other forms of radiation. The liquid is a transparent formulation of Ammonium Metatungstate (“AMT”). AMT can be used in other applications in the geology and oil industry. We have developed a high density formulation of AMT for use in radiation shielding products housed in acrylics/polycarbonates to take advantage of the light weight, shielding capabilities, and the transparent characteristics of our AMT fluid. High purity water, AMT, nitric acid (HNO3) and ammonia water were the materials used to formulate our AMT solution. There was a process to select a water-soluble, non-toxic, heavy element powder and mixing it in a solvent to attain high contribution of the heavy element. To create a fine particle AMT product for our solution, there were many technical criteria that the product had to meet. Besides the performance, material safety was an important criterion in case of material spillage occurred. The Hazardous Materials Identification System (HMIS) and National Fire Protection Association ratings were considered. Water soluble compounds such as sodium tungstate, lead nitrate, lead sulfate, silicotungstic acid, magnesium tritungstate, etc. were considered but none of them fulfilled the criteria of shielding performance and safety. Our AMT solution is reasonably safe to handle and can be washed off with water and soap if it spills on the floor or on hands. We desired to find an alternative to lead and tungsten (i.e., wolfram) as the main candidate for shielding application. Having a liquid shield has advantages and for effective shielding, a sufficient number of tungsten atoms can be utilized. The reactions and bonding of tungsten with alkalis, alkaline earth elements, transition elements, rare earth metals, organic solvents and acidic solutions was studied. This research was companioned with analysis of diffusion of gases into tungsten, and thermodynamic stability of tungsten compounds. Further research into formation of long chain tungsten compounds revealed that long chain tungstates are seen in the form of paratungstates ([HW6O20(OH)2]5-, or as [HW6O21]5-), monotungstates with octahedral structure ([WO2(OH)4]2-), tungsten monomers such as WOBr4 and polycondensates of tungsten leading to the Keggin structure [H2W12O40]6-. Research led to this selection with twelve tungsten atoms in the anion, giving tungsten approximately 77.45% contribution in the anion. We conducted research that led to demonstrate the combined effectiveness of AMT in subjects of safety, tungsten concentration and solubility in water. The AMT salt has a Keggin structure, which is shown in FIG. 1. In many ways it replicates a long chain hydrocarbon, much like the buckminsterfullerene structure of carbon-60. To evaluate the solubility of anhydrous AMT in a solvent, we studied bond formation, bond energy, and its stability. The electron configuration of tungsten is 1s2 2s2 2p63s2 3p6 4s2 3d10 4p6 5s2 4d10 5p6 6s2 4f14 5d4. The 5d shell has space to accept electrons from a donor. Among the elements tungsten bonds with, oxygen bonds very well, since it has an electron pair that can be donated to form a bond. Among the solvents researched with oxygen in liquid form, water (H2O) was the most stable. Oxygen from water donated an electron to the 5d shell of tungsten and formed a coordination bond. FIG. 2 is a representation of electron behavior of a system 2000, which comprises a tungsten atom in proximity to a water molecule. The 5th orbital can accommodate 32 electrons and naturally tungsten has 10 electrons. Hence, the 5th orbital has capacity for 22 more electrons and oxygen from the solvent we used, water, formed a coordination bond with tungsten, which is represented in a model shown in FIG. 2. This bonding process between ammonium metatungstate and water makes it a candidate for creating a liquid shield. The molecular mass of AMT is approximately 2958. Careful deliberation was utilized in selecting the AMT. The AMT used to create the AMT solution was substantially anhydrous; research demonstrated that solubility of AMT in water could be different and certain exemplary embodiments utilize substantially anhydrous AMT. Preparation of Solution The raw materials utilized were high purity water, AMT, HNO3, and ammonia water. Certain exemplary embodiments provide an ammonium metatungstate solution with a density of greater than approximately 1.5 grams/(cubic centimeter), wherein the ammonium metatungstate solution is filtered to remove particles greater than approximately 15 microns in size. Certain exemplary ammonium metatungstate solutions when analyzed via Raman spectroscopy yield a spectrum that has peaks at approximately 884 cm−1, approximately 923 cm−1, and approximately 972 cm−1. The ammonium metatungstate solution can comprise greater than 30% tungsten by weight. The ammonium metatungstate solution can have a gamma ray mass attenuation coefficient of at least 0.06 (square centimeters)/gram. The ammonium metatungstate solution can remain substantially transparent at distances of at least one foot. With density and addition of AMT powder, certain exemplary embodiments can utilize different (e.g., lower) mixing ratios than those disclosed in exemplary embodiments herein, such embodiments can have correspondingly different (e.g., lower) densities. Certain exemplary embodiments can utilize higher mixing ratios than exemplary embodiments disclosed herein, which can result in a density higher than 2.35 when a system is pressurized while mixing. An exemplary manufacturing process for our stable AMT solution is shown in FIG. 3. FIG. 3 is a block diagram of an exemplary embodiment of a system 3000. An ammonium metatungstate feed 3100 is placed in a feed vessel 3200. Very pure water is placed in water vessel 3300. The ammonium metatungstate and very pure water are fed to mixing vessel 3400 in predetermined proportions selected to result in desired stable AMT solution properties. The stable AMT solution is conveyed to storage vessel 3500. The exemplary manufacturing process that was used has produced a stable AMT solution with a solubility of approximately 2.2 grams of AMT per approximately 1 ml of water. FIG. 4 is a flowchart of an exemplary embodiment of a batch method 4000 for making an exemplary ammonia metatungstate solution. At activity 4100, ammonium metatungstate powder is weighed into a first container. At activity 4200, high purity water is weighed into a second container. At activity 4300, the water is transferred to a third container. At activity 4400, the water is heated, such as to approximately 33° C. Certain exemplary embodiments comprise adjusting temperature in a mixing vessel such as to between 14° C. and 99° C. At activity 4500, the ammonium metatungstate powder is added to the third container. The ammonium metatungstate powder can be added to the ammonium metatungstate solution in an amount greater than 1.9 grams per milliliter of water. The ammonium metatungstate powder can be added in installments to a mixing vessel comprising water. The ammonium metatungstate powder can be mixed with the water between installments. The ammonium metatungstate powder can be mixed in a mixing vessel for a predetermined time period. At activity 4600, a stirrer in the third container is energized and begins stirring the solution. At activity 4650, a rotational speed of a mixer in a mixing vessel can be adjusted. At activity 4700, pH is measured in the third container and corrections are made to the pH. Certain exemplary embodiments comprise adjusting pH in a mixing vessel comprising ammonium metatungstate powder and water. Certain exemplary embodiments comprise adjusting pH in a mixing vessel comprising ammonium metatungstate powder and water to a level between 2.0 and 4.5. Certain exemplary embodiments comprise adding nitric acid to a mixing vessel comprising ammonium metatungstate powder and water. At activity 4800, the solution is cooled to room temperature. At activity 4900, the solution is prepared for use and can be transferred to a fourth container for use. In certain exemplary embodiments, the transfer to the fourth container can be substantially without exposure of the solution to air. Certain exemplary embodiments comprise causing transfer of the ammonium metatungstate solution from the third container to the fourth container substantially without exposing the ammonium metatungstate solution to air. Certain exemplary embodiments provide preparing an ammonium metatungstate solution with a density of approximately 2.35 grams/(cubic centimeter). FIG. 5 is a block diagram of an exemplary embodiment of a system 5000. Certain exemplary embodiments provide an at least partially transparent radiation shield 5200 comprising transparent ammonium metatungstate solution 5300. Transparent ammonium metatungstate solution 5300 has a density of greater than 1.5 grams/(cubic centimeter). Transparent radiation shield 5200 can be installed on mechanical equipment, tanks, transparent radiation shields is worn by humans, mounted on rockets, submarines, ships, medical isotope production facilities, in hospitals, radiation detectors, as camera housings, and or particle accelerators, etc. Certain exemplary embodiments provide a transparent shield installed inside a wall used as a window. Certain exemplary embodiments can be installed on mobile equipment as a rolling shield. Factors for a stable Ammonium Metatungstate Solution Rate of addition of AMT Powder—We determined that the AMT powder should added in installments and not all at once. It was determined that sufficient time should be utilized for mixing and bond formation for a small batch of approximately one gallon. In an exemplary embodiment, we found that adding approximately half of the AMT and mixing for approximately twenty to thirty minutes resulted in acceptable bond formation. In that embodiment, the second half of the AMT was added and mixed for approximately sixty minutes. Certain parameters were monitored during mixing. For example, pH, clarity, and/or liquid volume, etc. can be used to determine that a stable solution has been created. Mixing time and conditions may be scaled and altered according to size of batch keeping the dimensionless numbers for the batches substantially similar. We also determined that more AMT than approximately 2.2 grams per milliliter water is added in aforementioned mixing conditions, the solution can proceed towards super saturation. It seemed that the dissolution process was complete, but as soon as the solution cooled down the crystals began to appear. Temperature—The temperature of the water (solvent) and solution during mixing process was important. If the mixing was done at room temperature, the Gibbs free energy of the system remained negative for a smaller time and the reaction or bonding for AMT and water reached equilibrium at a lower concentration. Increasing the temperature of water increased the entropy and larger negativity of Gibbs free energy in the system, since increasing the temperature increased the partial entropy of individual species of AMT and water. A larger negativity of Gibbs free energy gave a greater bond formation between AMT and water as the solution reached equilibrium. If we increased the temperature too much, the internal energy of the system became high enough to break the bonds formed between the water and AMT powder. These bonds were not ionic or pure covalent bonds, but coordination bonds formed on donation of lone electron pairs by oxygen. Increasing temperature broke the water bonds and evaporated the water, recrystallizing the AMT powder. Stability and pH—During the mixing process, situations may occur that the pH will increase or decrease on addition of AMT in water. During the mixing process, OH— ions or H+ ions can be released which contribute to the acidity or basicity of the AMT solution. We discovered that to achieve solubility of approximately 2.2 grams per milliliter water or approximately 2.2 kilograms AMT in approximately 1 liter water, the pH for a stable solution was approximately 2.8. In case the pH deviated, we had an approximate 1:1 HNO3 solution diluted in approximately 20 milliliters of water and ammonia water (NH4OH) to stabilize acidity and basicity respectively. Mixing speed—The agitation of velocity or rotations per minute (RPM) is also a factor to be monitored and controlled. Increasing the mixing rate increases the turbulence of the system. This is turn increases the internal energy of the system, which will break coordination the bonds, formed between water and AMT. Combining this turbulence with heat added to the system, can evaporate water from the solution. Once again it may seem that dissolution has taken place, but as soon as mixing and heating stops crystals will appear. By controlling the parameters of Temperature, Mixing Rate, Solubility, pH, rate of addition of Ammonium Metatungstate powder, we have successfully increased the solubility of AMT powder by 46.667% compared to certain others. This increased solubility has resulted in the invention of Ammonium Metatungstate solution with a density of approximately 2.35 grams/(cm3), which is approximately 235% denser and heavier than water. FIG. 6 is an exemplary Raman Spectra 6000 of exemplary Ammonium Metatungstate. Certain exemplary embodiments provide an ammonium metatungstate solution with a density of greater than 1.5 grams/(cubic centimeter), wherein the ammonium metatungstate solution is filtered to remove particles greater than 15 microns in size. Raman spectroscopy of the ammonium metatungstate solution has peaks at approximately 884 cm−1, approximately 923 cm−1, and approximately 972 cm−1. The ammonium metatungstate solution comprises greater than 50% tungsten by weight. The ammonium metatungstate solution has a gamma ray mass attenuation coefficient of at least 0.06 (square centimeters)/gram and remains transparent at distances of at least one foot Testing We performed a detailed study covering chemical bond formation, ionizing radiation and interaction with matter, chemical reaction engineering to our ammonium metatungstate solution. To verify the solution's tungsten content per unit volume of AMT solution, we performed inductively coupled plasma atomic emission spectroscopy. This spectroscopic technique sprays a known volume of the AMT solution into high temperature plasma. The constituents of our solution are engulfed by the plasma, burn and emit light. The detectors in the machine report the intensity and wavelength emitted from the light rays, which are used to calculate the concentration of constituent elements in the form milligrams per milliliter. Results from this experiment showed that our invented solution had approximately 53.15% Tungsten. Raman spectroscopy was also performed to verify the formation of ammonium metatungstate solution. Raman peaks were observed as expected at approximately 884 cm-1, approximately 923 cm-1 and approximately 972 cm-1. This was an additional validation step. In case the pH and temperature conditions would not have been maintained as mentioned the peaks would not have been at these wave numbers. Raman spectroscopy is commonly known as the fingerprint of a compound and our fingerprints were of the ammonium metatungstate solution. Any deviation or other kind of bond formed would have been reported by their Raman spectra. We verified that we manufactured the ammonium metatungstate solution, with a tungsten content of approximately 53.15% by weight and that it was a stable solution. Radiation Testing An irradiation test was performed on our AMT solution using a cobalt-60 source and the A.N.S.I/H/P.S. N13.11 standard; the method which is used to calibrate dosimeters used in medical facilities and nuclear power plants. The test was performed with different thicknesses of our developed liquid AMT shield. Cobalt-60 is a very strong source of gamma rays and emits photons at approximately 1.3325 MeV and approximately 1.1732 MeV. This test was performed to get the half value layer of an exemplary ammonium metatungstate solution and compare it with lead. Half value layer (“HVL”) is defined as the width or thickness of a material utilized to reduce the air kerma or dose of x-rays or gamma ray to half its original value. The results from our testing are shown in the table below. AmmoniumMetatungstateParameterLeadAluminumSolutionDensity 11.34 grams cm 3 2.7 grams cm 3 2.35 grams cm 3 Half Value Layer0.58 inches1.843 inches1.50 inches(14.73 mm)(46.83 mm)(38.1 mm) Mass Attenuation Coefficient (at Co-60 gamma energy) 0.0569 cm 2 grams 0.0548 cm 2 grams 0.0894 cm 2 grams As sown in the table above, our AMT solution: 1) Has a mass attenuation coefficient approximately 38.70% better than Aluminum and approximately 36.35% better than lead. 2) With a density of 2.35 grams/(cubic centimeter) will be 50% lighter than lead for equivalent reduction in radiation. In most but not all applications, the AMT solution, which is the gamma shield, will be contained in a Polycarbonate or Cast Acrylic Housing with a thickness at least 0.125″, which provides sufficient protection against Alpha and Beta particles. AMT solution was also tested for its effectiveness against with neutrons of different energies. Against thermal neutrons, energies<0.05 eV, 1.5″ thick AMT solution contained in a housing with 0.25″ thick polycarbonate walls, reduction of thermal neutron flux and dose was reported to be at least 60%. Against fast neutrons of approximately 2.5 MeV energy, at least 3.75″ of AMT solution and at least 2″ polycarbonate, reduction neutron flux and dose was reported to be at least 50%. Applications: Radiation shielding applications across many industries including but not limited to nuclear power, medical, dental, radiography, space, and other industries where radiation is present. We have developed transparent panels that can be filled with our AMT solution and can be used in temporary or permanent radiation shields. See FIG. 7 for examples. We have developed shield doors for pressure vessel or tank openings where radiation is present inside. Workers can view through the shield to look inside these containers while being shielded from the radiation. These shield doors can be mounted on the man ways and rotate out of the way to allow access to the opening. We have developed a transparent personal shielding panel to be placed in front of the worker to protect his whole body from the radiation but allow the worker to reach around the panel to complete his work activity while viewing the work area through our shield. This can be a supported panel on a pedestal/stand or a formed clear polycarbonate in any shape to accommodate the work location. Because it is a liquid, it can be easily pumped from a shield container to another holding container when the shield is not utilized. FIG. 7A is a photograph of our transparent Ammonium Metatungstate solution housed in window panels. FIG. 7B is a photograph of our transparent Ammonium Metatungstate solution housed in a shielded work platform. FIG. 7C is a photograph of our transparent Ammonium Metatungstate solution housed in shield panels, which make a glove box. FIG. 7D is a photograph of our transparent Ammonium Metatungstate solution housed in a hollow shield cylinder. FIG. 7E is a photograph of our transparent Ammonium Metatungstate solution housed in a hollow shield cylinder. FIG. 7F is a photograph of our transparent Ammonium Metatungstate solution housed in a shielded rolling rack. FIG. 7G is a photograph of our transparent Ammonium Metatungstate solution housed in a transparent shield door. FIG. 7H is a photograph of our transparent Ammonium Metatungstate solution housed in a transparent shield door. FIG. 7I is a photograph of our transparent Ammonium Metatungstate solution housed in a transparent shield door. Definitions When the following terms are used substantively herein, the accompanying definitions apply. These terms and definitions are presented without prejudice, and, consistent with the application, the right to redefine these terms during the prosecution of this application or any application claiming priority hereto is reserved. For the purpose of interpreting a claim of any patent that claims priority hereto, each definition (or redefined term if an original definition was amended during the prosecution of that patent), functions as a clear and unambiguous disavowal of the subject matter outside of that definition. a—at least one. activity—an action, act, step, and/or process or portion thereof. add—to put something in with something else. adjust—to change. alpha particle—two protons and two neutrons bound together into a particle substantially identical to a helium nucleus. amount—quantity. and/or—either in conjunction with or in alternative to. apparatus—an appliance or device for a particular purpose. approximately—almost, but not completely, accurate or exact. ammonium metatungstate—A chemical compound with a molecular formula of (NH4)6H2W12O40.xH2O, a unit cell having orthorhombic structure. associate—to join, connect together, and/or relate. beta particle—a high-energy, high-speed electron or positron emitted in the radioactive decay of an atomic nucleus. bremsstrahlung—electromagnetic radiation produced by the deceleration of a charged particle when deflected by another charged particle, typically an electron by an atomic nucleus. can—is capable of, in at least some embodiments. comprising—including but not limited to. configure—to make suitable or fit for a specific use or situation. constructed to—made to and/or designed to. container—a device creating a partially or fully enclosed space that can be used to contain, store, and transport objects or materials. convert—to transform, adapt, and/or change. define—to establish the outline, form, or structure of. density—a degree of compactness of a substance that is measured in mass per unit volume. determine—to obtain, calculate, decide, deduce, and/or ascertain. device—a machine, manufacture, and/or collection thereof. effective barrier—a device and/or system that substantially prevents something moving past a particular interface. fast neutron—a free neutron having a kinetic energy level of approximately 1 MeV (100 TJ/kg), hence a speed of at least 14,000 km/s. gamma ray—electromagnetic radiation of the shortest wavelength and highest energy. generate—to create, produce, give rise to, and/or bring into existence. human—of or characteristic of people. install—to place or fix (e.g., equipment or machinery) in position ready for use. installments—added via a plurality of discrete dosages over time. mass attenuation coefficient—a quantitative measure of a material characterizing how easily the material can be penetrated by a beam of ionizing radiation. may—is allowed and/or permitted to, in at least some embodiments. mechanical or industrial equipment—devices and/or systems comprising parts that transmit forces, motion, and energy one to another in a predetermined manner and used in an operation or activity. medical equipment or facility—structures, devices and/or systems used to treat humans. method—a process, procedure, and/or collection of related activities for accomplishing something. mix—to blend ingredients together. nitric acid—a chemical compound having a linear formula of HNO3. peak—a local or global maxima of an analytic spectra. penetrate—to enter or pass through something. pH—a numeric scale used to specify the acidity or basicity of an aqueous solution. plurality—the state of being plural and/or more than one. powder—fine solid particles. predetermined—established in advance. prepare—to make something by putting things together. pressure vessel—a container constructed to hold substances at a pressure substantially different from the ambient pressure. provide—to furnish, supply, give, and/or make available. radiation shield—a device and/or system that comprises a material that is constructed to absorb ionizing radiation when placed between an ionizing radiation source (e.g., a nuclear reactor or other source of ionizing radiation) and a human to reduce a radiation level to a magnitude that is safe for human exposure. Raman spectroscopy—a spectroscopic technique used to observe vibrational, rotational, and other low-frequency modes in a system. Raman spectroscopy is commonly used in chemistry to provide a structural fingerprint by which molecules can be identified. receive—to get as a signal, take, acquire, and/or obtain. repeatedly—again and again; repetitively. request—to express a desire for and/or ask for. rotational speed—angular velocity. set—a related plurality. solution—a liquid mixture in which the minor component (the solute) is substantially uniformly distributed within the major component (the solvent). substantially—to a great extent or degree. system—a collection of mechanisms, devices, machines, articles of manufacture, processes, data, and/or instructions, the collection designed to perform one or more specific functions. tank—a container constructed to hold a substance. temperature—a measure of kinetic energy of a substance. thermal neutron—a free neutron with a kinetic energy of approximately 0.025 eV (about 4.0×10−21 J or 2.4 MJ/kg, hence a speed of 2.2 km/s), which is the most probable energy at a temperature of 290 K (17° C. or 62° F.), the mode of the Maxwell-Boltzmann distribution for this temperature. transfer—to move from one location to another. temperature—a measure of kinetic energy of a substance. time period—a discrete time interval. transparent—allowing light to pass through so that objects behind can be seen by a human with reasonable visual acuity. vessel—a receptacle that defines a hollow portion constructed to hold a liquid. via—by way of and/or utilizing. worn—placed on a body of. Note Still other substantially and specifically practical and useful embodiments will become readily apparent to those skilled in this art from reading the above-recited and/or herein-included detailed description and/or drawings of certain exemplary embodiments. It should be understood that numerous variations, modifications, and additional embodiments are possible, and accordingly, all such variations, modifications, and embodiments are to be regarded as being within the scope of this application. Thus, regardless of the content of any portion (e.g., title, field, background, summary, description, abstract, drawing figure, etc.) of this application, unless clearly specified to the contrary, such as via explicit definition, assertion, or argument, with respect to any claim, whether of this application and/or any claim of any application claiming priority hereto, and whether originally presented or otherwise: there is no requirement for the inclusion of any particular described or illustrated characteristic, function, activity, or element, any particular sequence of activities, or any particular interrelationship of elements; no characteristic, function, activity, or element is “essential”; any elements can be integrated, segregated, and/or duplicated; any activity can be repeated, any activity can be performed by multiple entities, and/or any activity can be performed in multiple jurisdictions; and any activity or element can be specifically excluded, the sequence of activities can vary, and/or the interrelationship of elements can vary. Moreover, when any number or range is described herein, unless clearly stated otherwise, that number or range is approximate. When any range is described herein, unless clearly stated otherwise, that range includes all values therein and all subranges therein. For example, if a range of 1 to 10 is described, that range includes all values therebetween, such as for example, 1.1, 2.5, 3.335, 5, 6.179, 8.9999, etc., and includes all subranges therebetween, such as for example, 1 to 3.65, 2.8 to 8.14, 1.93 to 9, etc. When any claim element is followed by a drawing element number, that drawing element number is exemplary and non-limiting on claim scope. No claim of this application is intended to invoke paragraph six of 35 USC 112 unless the precise phrase “means for” is followed by a gerund. Any information in any material (e.g., a United States patent, United States patent application, book, article, etc.) that has been incorporated by reference herein, is only incorporated by reference to the extent that no conflict exists between such information and the other statements and drawings set forth herein. In the event of such conflict, including a conflict that would render invalid any claim herein or seeking priority hereto, then any such conflicting information in such material is specifically not incorporated by reference herein. Accordingly, every portion (e.g., title, field, background, summary, description, abstract, drawing figure, etc.) of this application, other than the claims themselves, is to be regarded as illustrative in nature, and not as restrictive, and the scope of subject matter protected by any patent that issues based on this application is defined only by the claims of that patent. |
|
058752200 | summary | FIELD OF THE INVENTION The invention relates to radiochemistry and more specifically, to a process for the production and extraction of pure radiostrontium (Strontium 82 or 85) which is widely used in medicine to diagnose a number of diseases with the use of positron emission tomography. BACKGROUND OF THE INVENTION A process is known in prior art to be used for the production of radiostrontium (see, for instance, L. F. Mausner, et al., Rad. and Isot. Journal, Vol. 38, 1987, pp. 181-184), said process comprising the steps of bombarding by accelerating protons relatively thin targets of rubidium chloride, and extracting radiochemically radiostrontium therefrom. The shortcomings of the above-mentioned process consist in complexity of extracting radiostrontium, insufficient efficiency, corrosion and radiation decomposition of the target material. The closest technical solution is furnished by a process for the production of radiostrontium, said process comprising bombarding a target of metallic rubidium by a beam of accelerating charged particles, followed by extracting the resultant radiostrontium from rubidium by a radiochemical method (see, M. R. Cackette, T. J. Ruth, J. S. Vincent "Sr-82 Production from Metallic Rb Targets and Development of an Rb-82 Generator System", Journal "Applied Radiation and Isotopes", Vol. 44, p.p. 917-922, 1993). The shortcoming of the above-mentioned process also consists in complexity of extracting radiostrontium and insufficient efficiency. SUMMARY OF THE INVENTION In the basis of the present invention is put a problem of improving efficiency of the production of radiostrontium and simplifying the technology of its extraction when a metallic rubidium target is used, through a sorption extraction of radiostrontium directly from liquid rubidium. The problem thus posed is solved owing to that, in the process for the production of radiostrontium, according to the invention, the target of metallic rubidium bombarded by a beam of accelerating charged particles is melted, whereas the extraction of radiostrontium is carried out by sorption on the surface of a sorbing material immersed into the irradiated molten metallic rubidium, wherein as the sorbing material, use is made of materials selected from the group consisting of heat-resistant metals or metallic oxides or silicon which are inert with respect to rubidium. The temperature of the sorbing material is selected to be close to the optimum one for the sorption of radiostrontium which is within the range of from the melting point of metallic rubidium to 220.degree. C., and the temperature of the molten rubidium is selected to be close to the optimum one for the desorption of radiostrontium within the range of from 220.degree. C. to 270.degree. C. |
claims | 1. A dielectric raw material comprising:a silicone rubber base material containing a silicone rubber as a main material; andcarbon dispersed in the silicone rubber base material, whereinthe carbon is unevenly distributed in the silicone rubber base material or the carbon is contained with at least part of carbon materials contacting each other, so that conductive paths cover an area of not less than ¼ times of the surface area of the dielectric raw material, ina dielectric raw material containing 150 to 300 parts by weight of said carbon per 100 parts by weight of said silicone rubber, whereinthe dielectric raw material is formed by crosslinking and molding a mixture of said silicone rubber in its non-crosslinked state, a non-crosslinked organic polymer, and said carbon, and the amount of the non-crosslinked organic polymer is 1 to 15 parts by weight per 100 parts by weight of the non-crosslinked silicone rubber, and combining and blending at least two kinds of said carbon having different shapes, whereinsaid organic polymer is liquid ethylenepropylene rubber or polybutene, and whereinthe at least two kinds of said carbon are selected from the group consisting of spherical carbon, carbon nanotubes, and conductive carbon. 2. The dielectric raw material as set forth in claim 1, wherein the dielectric raw material has a property wherein for a 1 mm-thick sheet of said dielectric raw material, the value ∈r′ of the real part of the complex relative permittivity ∈r at 900 MHz is not less than 100 and the value ∈r” of the imaginary part of the complex relative permittivity ∈r at 900 MHz is not less than 100. 3. The dielectric raw material as set forth in claim 1, wherein the mixture of the silicone rubber is essentially free of a crosslinked particulate polymer. 4. The dielectric raw material as set forth in claim 1, wherein the spherical carbon is employed in combination with carbon nanotubes or conductive carbon. 5. The dielectric raw material as set forth in claim 1, wherein the spherical carbon is employed in combination with conductive carbon, the amount of said spherical carbon being 50 to 99 wt. % in the total amount of said carbon, and the amount of conductive carbon being 1 to 50 wt. % in the total amount of said carbon. 6. The dielectric raw material as set forth in claim 1, wherein the conductive carbon and the carbon nanotubes are employed in combination, the amount of carbon nanotubes being 1 to 99 wt. % in the total amount of said carbon, and the amount of the conductive carbon being 1 to 99 wt. % in the total amount of said carbon. 7. The dielectric raw material as set forth in claim 1, wherein the carbon nanotubes are employed in combination of a spherical carbon, the amount of the carbon nanotubes being 0.5 to 95 wt. % in the total amount of said carbon, and the amount of said spherical carbon being 5 to 99.5 wt. % in the total amount of said carbon. 8. The dielectric raw material as set forth in claim 1, wherein a DBP oil absorption measured according to ASTM D 2414-79 of said conductive carbon is not less than 100 cm3/100 g and the BET specific surface area of said conductive carbon is not less than 30 m2/g. 9. The dielectric raw material as set forth in claim 1, wherein said carbon is in the state of being present in said non-crosslinked organic polymer preferentially to being present in said non-crosslinked silicone rubber. 10. An antenna device comprising a dielectric raw material as set forth in claim 1. 11. The antenna device as set forth in claim 10, which is a built-in antenna device of a portable phone. 12. A portable phone equipped with an electromagnetic wave shielding body using a dielectric raw material as set forth in claim 1. 13. An electromagnetic wave shielding body comprising a dielectric raw material as set forth in claim 1. 14. The electromagnetic wave shielding body as set forth in claim 13, which is an electric cooker electromagnetic wave shielding body for shielding electromagnetic waves generated from an electric cooker. |
|
052805085 | claims | 1. A tool and fuel bundle combination enabling the replacement and removal of a part length fuel rod from a fuel bundle with said tool, said fuel bundle of said combination having: a matrix of vertically upstanding fuel rods, said fuel rods including full length fuel rods extending substantially the full vertical length of said fuel bundle from a lower tie plate; at least one part length fuel rod extending less than the full vertical length of said full length fuel rods from said lower tie plate; a lower tie plate for supporting said fuel rods; a plurality of spacers placed about said fuel rods, said spacers defining discrete cells surrounding each said fuel rods and contacting said fuel rods to restrain side-to-side movement of each said fuel rods with respect to said remaining fuel rods; said at least one of said fuel rods being a part length fuel rod having support on said lower tie plate at the lower end and terminating at an upper end underlying at least some but not all of said spacers whereby some spacers surround said part length rods and spacers above said part length rods do not surround said part length rods; a tip for the end of said part length rod including; a cylindrical plug having a lower end for attachment to and sealing the upper end of said part length fuel rod; said cylindrical plug having a diameter less than the cell of said spacers overlying said part length fuel rod; a longitudinal vertically extending keyway configured within said tip, said vertically extending keyway for permitting rotation of said tip under substantial torque exerted at said keyway; a tool for the removal and replacement of said part length rod at said tip, said tool of said combination comprising: an elongate pole having means for grasping said pole at the upper end thereof and means for supporting a working tip grasping tool at the lower end thereof, said pole proximate said tip grasping tool having a diameter less than the diameter of said cells of said spacer overlying the end of said part length rod; first and second relatively moving members at the lower end of said pole, said first and second relatively moving members operable from the top of said pole to effected relative movement of said members at the bottom of said pole; a grasping tool suspended from one of said relatively moving members of said pole, said grasping tool including a tip capturing member for movement towards and away from said tip; said tip capturing member when movable toward said tip to engage said tip; said tip capturing member when moveable away from said tip enabling withdrawal of said grasping tool to release said tip; a tool holding member, said tool holding member defining a longitudinally extending passage for the support of a spring biased member extending within said passage way; a spring biased member fastened to the upper end of said passage way, extending along said passageway toward the bottom of said tool; a vertically extending key attached to said spring biased member for movement towards and away from said vertically extending longitudinal keyway from said grasping tool for turning said part length fuel rod; an exterior sleeve for reciprocating to and from a position overlying said tip immediately over said tip grasping tool, said exterior sleeve attached to the other of said relatively moving members at the bottom of said pole for movement relative to said tip grasping tool; said sleeve when reciprocated toward said grasping tool preventing relative movement of said tool to release said tip whereby said tip can be locked to said grasping tool. wherein said grasping tool includes; a male cylinder segment complimentary to said female cylinder segment, said male cylinder segment attached to said spring biased member at a complimentary position overlying said female cylindrical segment whereby said segment can move into and out of said female cylindrical segment for locking and releasing said tip. 2. The invention of claim 1 and wherein said tip includes at least one female cylindrical segment removed from said tip to enable raising and lowering of said tip to enable removal and replacement of said part length fuel rod from said fuel bundle at said tip; and, |
042886961 | claims | 1. A control system for controlling the output of a sealed off generator tube having a target material, an ion source, and a replenisher pressure regulator, comprising: means for monitoring the average target current of said tube and for developing a voltage signal representative thereof; means for comparing a function of said average target current representative signal with a reference voltage signal and for developing a control voltage signal representative of the comparison of said signals, said comparing means including an operational amplifier and means for summing said target current representative signal and a voltage signal representative of the replenisher current of said tube to form a summation signal and for comparing said summation signal and said reference voltage signal in said operational amplifier to provide an output control signal; and control means, responsive to said control signal, for controlling current flow to the replenisher of said tube as a function thereof. a fluid tight hollow body member sized and adapted for passage through a well borehole and housing therein; a radiation detector for detecting radiations emanating from earth formations in the vicinity of the well borehole in response to neutron irriadiation thereof; and a sealed off neutron generator tube having a target material, an ion source, and a replenisher pressure regulator and a control system therefor, comprising: means for monitoring the average target current of said tube and for developing a voltage signal representative thereof; means for comparing a function of said average target current representative signal with a reference voltage signal and for developing a control voltage signal representative of the comparison of said signals, said comparing means including an operational amplifier and means for summing said target current representative signal and a voltage signal representative of the replenisher current of said tube to form a summation signal and for comparing said summation signal and said reference voltage signal in said operational amplifier to provide an output control signal; and control means, responsive to said control signal, for controlling current flow to the replenisher of said tube as a function thereof. 2. The system of claim 1 wherein said control means comprises an active circuit element placed in series circuit configuration with the replenisher pressure regulator of said tube. 3. The system of claim 1 wherein said active circuit element placed in series circuit configuration is capable of completely turning off current flow to said replenisher. 4. The system of claim 3 wherein said control means comprises a field effect transistor having a source, a gate and a drain. 5. A well logging system for conducting pulsed neutron well logging operations, comprising: 6. The system of claim 5 wherein said control means comprises an active circuit element placed in series circuit configuration with the replenisher pressure regulator of said tube. 7. The system of claim 6 wherein said active circuit element placed in series configuration is capable of completely turning off current flow to said replenisher. 8. The system of claim 7 wherein said active circuit element comprises a field effect transistor having a source, a gate and a drain. |
abstract | An apparatus is provided to extract radioactive solid particles. An extracting nozzle is used to extract radioactive solid particles. Then, a separator is used to separate out the radioactive solid particles into a storing container. The radioactive solid particles are avoided from entering a suction pump. Not only the suction pump is not polluted, but also the secondary waste is not increased. By designing a falling inlet of a suction channel at a position having a specific height, the amount of the radioactive solid particles being extracted is under control. There is a radiation-protection device outside of the storing container to minimize radiation dose. The separator and the storing container can be rapidly detached by remote operation, so that operators are avoided from receiving over-dose radiation. Hence, the present invention improves the level of technology and automation for handling radioactive waste. |
|
055552803 | description | DETAILED DESCRIPTION The structure and the operation of a semitransferred arc plasma torch will now be described with reference to FIG. 1. FIG. 1 shows the end part of the nozzle of the plasma torch 5 shown in FIG. 2 and employed for performing the coating by a process in accordance with the invention of the connection region comprising the weld 3, of a nozzle 1 and of a pipe 2 of a pressurized water nuclear reactor. The nozzle of the plasma torch 5 comprises an outer enclosure 5a and a tubular anode 5b arranged in the central part of the enclosure 5a. The enclosure 5a of the torch and the tubular anode 5b define an annular chamber 5'a connected to a delivery conduit for cooling water and arranged at the periphery of the anode. The enclosure 5a also defines a second chamber 5"a connected to both the annular chamber 5'a and an exit conduit for the cooling water. Cooling of the torch 5 by water circulation in chambers 5'a and 5"a can thus be ensured. The central part of the enclosure 5a of the torch 5 is made of an insulating material and defines a central chamber 5d around the cathode 5c. The central chamber 5d is connected by means of a conduit 5'd to a source of argon under pressure. A conduit 5e passing through the annular cooling chamber 5'a opens into the interior of the tubular anode 5b. The torch 5 is connected to a source of electrical current so that an arc A is struck between the cathode 5c and the anode 5b. The components 1, 2 and 3 to be coated are taken to an anode potential. Argon under pressure is introduced through the conduit 5'd and passes through the arc A inside the tubular anode 5b. A plasma is thus formed by ionization of argon in the electrical arc A. The gas under pressure, which is decompressed in the chamber 5d and heated in contact with the arc A, produces a plasma jet 17 of very high velocity. A metal powder, for example a nickel alloy powder suspended by a carrier gas, which may be argon, is injected through the conduit 5e, inside the anode 5b, into the arc A. The powder is melted by the arc and suspended in the plasma jet 17. In contact with the components 1 and 2 in their region of connection 3, the plasma jet 17 forms a nickel alloy coating layer 18. The process of melting with the semitransferred arc plasma makes it possible to spray a molten powder onto the components, so as to cover them with a coating layer. In the process according to the invention, the jet of the plasma torch, the gap between the nozzle of the plasma torch and the surface to be coated, and the scanning conditions are controlled so as to ensure bonding of the coating layer without remelting of the components. In particular, the gap between the nozzle and the surface of the components to be coated must be between 20 and 80 mm in the process of the invention, whereas the gap is generally under 8 mm in prior art coating processes. The components need not be heat-treated after coating. In addition, the coating is produced under an inert gas, such as argon. Confinement of the gases can be assured by flexible partitioning. The molten metal originating from the powder injected into the arc through the channel of the anode 5b is sprayed by the plasma-forming gas introduced under pressure through the conduit 5'd, onto the surface of the components to be covered, and is thereby brought into pressurized contact with and spread against the surface of the components to produce a homogeneous and perfectly leakproof layer. In the process in accordance with the invention, which uses the semitransferred arc technique, a gas under pressure ensures the spraying of a metal remelted in the arc onto the components to be covered. This process must be distinguished from the transferred arc plasma process, in which no gas under pressure is employed for spraying the molten covering metal, and in which a true weld is produced with remelting of the components and melting of the added metal. In the case of the semitransferred arc process according to the invention, the distance between the torch and the component to be coated is generally much greater than the torch-component distance in the case of the transferred arc process according to the prior art. This distance is between 20 and 80 mm, and may be of the order of 30 mm in the case of the coating of the outer surface of components such as nozzles or primary coolant pipes 2, 3. The torch 5 may have small dimensions, for example an outer diameter of the order of 15 mm and a length of the order of 50 mm. FIGS. 2 and 3 show a portion of a nozzle 1 of the vessel of a nuclear reactor, to which is connected the end of a large-diameter pipe 2 of the reactor's primary system. The nozzle 1, which is of the same material as the nozzle support ring of the vessel, is made of low-alloy steel and coated internally with a layer of stainless steel 1a. The pipe 2 of the primary system is welded end-to-end to the end of the nozzle 1 by means of a weld bead 3. The weld 3 ensures the connection between the regions of the components 1 and 2 which are of different compositions and structures. The weld 3 is therefore a region of heterogeneity for the components 1 and 2 of the reactor's primary system. While the nuclear reactor is in operation, water at 310.degree. C. circulates inside the pipe 2 and the nozzle 1, with the result that the thick wall of the components of the nuclear reactor consisting of the nozzle 1 of the vessel and of the pipe 2 is brought to an elevated temperature which can vary between the ambient temperature and the temperature of 310.degree. C. between the cold and hot states of the nuclear reactor. The outer surface of this wall is furthermore in contact with atmospheric air. It has been possible to observe cracking initiations on the outer surface of the heterogeneous region connecting a nozzle and a primary coolant pipe. The process for leakproof coating in accordance with the invention makes it possible to avoid any contact between the outer surface of the heterogeneous region 3 and atmospheric air or other external agents while the reactor is in operation. The coating process in accordance with the invention makes it therefore possible to apply a preventive treatment against cracking at the welds of the primary coolant pipes to the nozzles of a pressurized water nuclear reactor vessel. As can be seen in FIG. 2, to make use of the process in accordance with the invention, a device 4 is employed, comprising a semitransferred arc plasma torch 5 with a feed of the plasma jet with a metal powder based on nickel and chromium. The plasma torch 5 is of the general type shown in FIG. 1 and enables use of the process for producing a semitransferred arc plasma. The device 4 comprises a rail 6 permanently fastened, for example by welding, around the end part of the primary system pipe 2, in the vicinity of the region connecting this pipe to a nozzle 1 of the pressurized water nuclear reactor vessel. The rail 6 has a profiled shape and a rectangular meridian section comprising an opening 6a on the outer side of the section. A trolley 7 comprising wheels 8 is mounted for movement inside the profiled rail 6 of annular shape so that the wheels 8 move with a small clearance inside its two C-shaped end parts. The trolley 7 carries a column 9 on which a platform 10 is mounted so that it slides by means of a sleeve 11 slidingly mounted on the column. A motor 12 allows the platform 10 to be moved in translation in either direction, longitudinally of the column 9. A second driving motor 13 allows the trolley 7 to be driven so that it moves inside the annular rail 6 coaxial with the primary coolant pipe 2 and the nozzle 1. A support 14 to which the plasma torch 5 is fastened is mounted on the platform 10, so that it slides in a direction perpendicular to the longitudinal direction of the column 9. A motor 15 allows the support 14 to be driven in translation in either direction in the direction perpendicular to the column 9. The motors 12, 13 and 15 and the torch 5 are connected by cables to suitable sources of electrical energy allowing the motors and the torch to be powered. In addition, the torch 5 is connected by pipes to a source of inert gas and to a receptacle dispensing powder of an alloy containing nickel and chromium, which is incorporated into the plasma Jet. When powered, the torch 5 produces a plasma jet 17 pointed towards the outer surface of the weld region 3 of the pipe 2. Circumferential scanning of the entire outer surface of the weld region 3 is effected by moving the trolley 7 inside the rail 6 around the pipe 2. The distance between the exit nozzle of the torch 5 and the outer surface of the pipe 2 can be adjusted by the motor 12 for moving the platform 10 along the column 9, to a value of about 30 mm. In addition, scanning of the weld region in the lengthwise direction can be carried out by moving the support 14 with the aid of the motor 15. The moving device 4 equipped with the welding torch 5 makes it possible to produce a layer of coating 18 made of nickel-chromium alloy on the outer surface of the weld region 3 and on the outer surface of the parts connecting the nozzle 1 and the pipe 2. The technique of coating with a jet of semi-transferred arc plasma with injection of a powder of a nickel-chromium alloy into the plasma jet makes it possible to produce a perfectly adherent and perfectly leakproof proof layer 18 above the region of connection of the two components of the reactor, consisting of the vessel nozzle 1 and the primary coolant pipe 2. The coating of the outer surface of the region of connection between the nozzle 1 and the pipe 2 of the nuclear reactor is preferably produced in a preventive manner, before the nuclear reactor is brought into operation, at the end of the operations for connecting the vessel and the primary system pipework. When the nuclear reactor is in operation, the completely leakproof layer 18 makes it possible to insulate the outer surface of the pipe 2 and of the nozzle 1 in their connection region, and this makes it possible to limit and practically prevent any cracking of the connection region in service. FIGS. 4 and 5 show the device 4 for moving the torch 5, already described with reference to FIGS. 2 and 3, during an inspection operation in the course of which the moving device 4 is employed to move an ultrasonic testing head 20 around the region of connection of the pipe 2 and of the nozzle 1, after production of the protective leakproof coating layer 18 using the process of the invention. The testing head 20 comprises a probe support 19 into which is fitted the ultrasonic probe 21 consisting of a transmitter-receiver transducer connected by a cable to a source of supply of electrical current. The probe support 19 of the testing head is extended in the form of a wall 22 of a container whose end edge is placed in leakproof contact with the outer surface of the pipe 2 and of the nozzle 1, on either side of the weld 3 and of the side edges of the coating layer 18, by means of seals 24 consisting of brushes or flanges made of elastomeric material which come into rubbing contact with the outer surface of the pipe 2 and of the nozzle 1 during the rotational movement of the testing head 20 driven by the handling device 4. Water is introduced through a pipe 23 into the space defined by the wall 22 and the outer surface of the pipe 2 and of the nozzle 1, around the coating layer 18. The handling device 4 makes it possible to produce the orbital movement of the testing head 20 around the weld region 3, so as to check the whole of the coating layer 18. Furthermore, the means for moving the device 4 in translation make is possible to adjust the position of the test probe and to carry out a scan of the layer 18 by the beam of ultrasound produced by the transducer of the probe 19. An inspection is thus carried out of the homogeneity of the layer 18. It has been found that the layer 18 deposited by the process in accordance with the invention exhibits very good homogeneity and perfect leakproofing. FIG. 6 shows the region connecting the nozzle 1 and the pipe 2 during the operation of the nuclear reactor. In order to insulate the pipe and the nozzle thermally from the external environment, a lagging 25 of great thickness is arranged around the outer surface of the nozzle and of the pipe. The lagging 25 comprises a hollow part 25a making it possible to accommodate the guiding rail 6 employed for moving the plasma probe and the testing head, by virtue of the handling of the coating layer for leakproof protection 18 of the outer surface of the region connecting the nozzle 1 and the pipe 2. During shutdowns of the nuclear reactor, after dismantling the lagging 25, it is therefore possible to inspect and possibly coat connection region. These operations can be carried out very rapidly and very easily as a result of the permanent presence of the guiding rail 6 on the pipe 2. FIGS. 7 and 8 show the vessel closure head 30 of a pressurized water nuclear reactor in position for inspection and repair on a stand 26 comprising vertical supports 27 on which the closure head 30 can be deposited and fastened after dismantling and separation from the nuclear reactor vessel, during a shutdown for repair and refuelling of the reactor. In addition to the supports 27 for the closure head 30, the inspection stand 26 comprises a biological protection wall 28 pierced with an opening 29 making it possible to introduce into the inside of an adapter 32 passing through the closure head the end part of a servicing device 31 consisting of a semitransferred arc plasma torch. A leakproof coating layer can thus be produced using a process in accordance with the invention inside an adapter 32 made of nickel alloy, in its region of connection to the closure head. As can be seen in FIG. 8, the inner lower surface of the closure head 30 of hemispherical shape is coated with a covering layer 34 and comprises, around the passage opening of the adapter 32, a cavity 35 which is also covered with a coating layer. The wall of the closure head 30 is made of a low-alloy steel of the same grade as the shells of the nuclear reactor vessel. The internal coating layer 34 of the vessel is made of stainless steel; the cavity 35 is covered with a buttering layer made of nickel alloy of a grade similar to that of the adapter 32. A connecting weld 33 can thus be produced between the adapter 32 consisting of a tubular component made of nickel alloy and the low-alloy steel closure head 30. After the nuclear reactor has been operating for some time, cracks have been detected on the inner surface of the adapter, in particular in the region close to the weld 33, and also some cracking outside the adapter 2 in the region of weld 33. The coating process in accordance with the invention, by employing a device as shown in FIGS. 7 and 8, makes it possible to produce a perfectly leakproof coating layer on the inner surface of the adapter 32, and in particular in the region of this inner surface close to the weld 33. This avoids subsequent cracking of the wall of the adapter when the reactor is in service. The process in accordance with the invention, by employing the device shown in FIGS. 9 and 10 makes it possible to produce a perfectly leakproof coating layer outside the adapter, in the region connecting the adapter 32 and the closure head 30 comprising the heterogeneous weld 33. The servicing device 31 placed inside the stand 26 comprises a vertical guiding column 36 attached to a support 37 resting on the floor of the stand 26 and a motor-driven platform 38 capable of moving vertically along the column 36. A vertical support 39 is mounted for rotation about its axis on the platform 38. A semitransferred arc plasma torch 40 which has a nozzle of elongate shape which has a diameter appreciably smaller than the internal diameter of the adapter 32 is fastened to the upper end of the vertical support 39 by means of an articulation 41 which can be seen in FIG. 8. The plasma torch 40 can be introduced inside the adapter 32 with an adjusted inclination so that the nozzle for ejecting the plasma jet 43 is at the desired distance from the inner wall of the adapter 32. The movement in translation in the vertical direction of the platform 38 and in rotation of the support 39 carried by the platform 38 makes it possible to scan the inner surface of the adapter 32 with the plasma jet, throughout the desired region. A nickel-chromium alloy powder is introduced into the plasma jet so that a protective layer 42 is deposited inside the adapter 22 in the desired region, and in particular at the weld 33. In the case of an adapter made of a nickel alloy whose stress corrosion resistance in contact with the reactor coolant fluid has been found insufficient, it has thus been possible to improve considerably the cracking behavior of the adapters by depositing on their inner surface, in particular in the region of welding to the closure head, a layer of a nickel alloy which has a higher chromium content than the alloy forming the wall of the adapter. In addition, the coating layer 42 produced on the inner surface of the adapter is perfectly leakproof and makes it possible to completely the inner surface of the adapter from the reactor coolant fluid consisting of pressurized water. The process in accordance with the invention can be employed equally well for carrying out a preventive treatment of the inner surface of the adapters, before any appearance of cracking, and for carrying out a curative treatment after the appearance of cracks. In this case, the cracks are blocked and covered with a perfectly leakproof and homogeneous layer of nickel-chromium alloy. Checks performed on the layer 42 produced by the process in accordance with the invention show that the alloy layer deposited inside the nickel alloy adapter is perfectly adherent and perfectly homogeneous. FIGS. 9 and 10 show a device which makes it possible to produce a protective layer 44 inside the adapter 32 so as to cover the region of connection between the adapter 32 and the closure head 30 comprising the weld 33. The upper end part of vertical support 39 of the servicing device 31 carries the plasma torch 45 which comprises a vertical support placed in an offset position in relation to the axis of rotation of the support 39. The nozzle 47 of the plasma torch 45 is fastened in an articulated manner to the upper part of the torch 45 by means of a motor-driven articulation 46. In this way the nozzle 47 produces a plasma jet 48 into which a nickel-chromium alloy powder is injected, and which can be pointed towards any point of the outer surface of the region connecting the adapter 32 and the closure head 30 comprising the weld 33. It is thus possible to scan the outer surface of the connection region to carry out the deposition of a protective coating layer 44. By simultaneously moving the support 39 in rotation and the platform 38 in translation, it is possible to scan the whole connection region around the end part of the adapter 32 projecting below the closure head 30. The layer produced by the process of the invention has been found to be perfectly homogeneous and adherent. This layer, which is completely leakproof, ensures effective protection against the cracking corrosion of the region of connection of the adapter, when the nuclear reactor is in service. The process in accordance with the invention, employing the semitransferred arc technique, makes it possible to produce coatings whose thickness is between 0.5 and 1 mm, in the case of applications to components for a nuclear reactor as described above. The nickel-chromium alloy employed, in particular in the case of the covering of the adapters and of their connection region, may be an alloy with a composition close to the alloy known under the trade name "Alloy 690". The semitransferred arc technique allows the coating metal to be sprayed with force against the surface of the components to be coated, because of the use of a plasma-forming gas under pressure, with the result that the coating layer can be bonded to the surface of the components without surface remelting of these components. In this respect, this technique is completely different from prior art welding or coating techniques. When employed for producing local protection of the parts of the primary system of a nuclear reactor, the process in accordance with the invention ensures that the lifetime of the reactor is extended, by limiting or eliminating the risks of cracking of the wall of the component in contact with a fluid which may be the primary coolant fluid of the reactor. The process in accordance with the invention is particularly effective for limiting the risks of cracking corrosion in the parts of the reactor which are in contact with the primary coolant fluid, i.e., welds or coatings containing high proportions of nickel. The invention can be applied generally to the protection of metal surfaces of components of a nuclear reactor made of a steel or alloy containing nickel. The deposition of the coating may be carried out by employing a device other than those which have been described and, in particular, comprising means for moving the plasma torch which differ from those which have been described and are adapted to the shape and the position of the parts of the nuclear reactor components on which the deposition is carried out. The parameters for adjusting the semitransferred arc plasma torch may vary and be adapted to the characteristics of the coating to be produced. These parameters are, in particular, the difference in potentials between the anode of the plasma torch and the components to be coated, the electrical power transmitted between the electrodes of the torch and between the anode and the components to be coated, and the flow rate and the pressure of the plasma-forming gas ensuring the entrainment of the coating metal in the molten state. However, in all cases the pressure and the flow rate of the gas for forming the plasma must be sufficient to ensure entrainment of the molten coating metal in conditions corresponding to the semitransferred arc technique. In general, the invention applies to the coating of many parts of the components of a nuclear reactor which are exposed to a cracking corrosion in service. |
summary | ||
048308153 | claims | 1. In a decay heat removal system for a nuclear boiling water reactor, a cooling apparatus comprising: an isolation condenser shell; and a heat exchange loop transpiercing said shell and sized for shutdown cooling duty, said cooling apparatus connected to said nuclear boiling water reactor and to additional heat exchange loops to provide both isolation cooling and shutdown cooling for said nuclear boiling water reactor. a nuclear boiling water reactor having a controlled reactor core for heating feedwater to generate steam; a turbine-generator for receiving steam and generating electric power; a condenser feedwater system for receiving spent steam, producing condensate, and injecting feedwater back into said reactor; an isolation cooling system for condensing steam from said reactor independent of said turbine-generator and for dissipating the energy of said steam during controlled shutdown of said reactor; and a shutdown cooling system for maintaining the water in said boiling water reactor at a temperature permitting refueling of said reactor; a combined isolation and shutdown cooling system comprising in combination: an isolation condenser shell, said shell containing nonradioactive coolant; a heat exchange loop transpiercing said shell, said loop including means for admitting steam shunted from said reactor independent of said turbine-generator to exchange heat by condensing said reactor steam while boiling said nonradioactive coolant in said condenser shell; a steam vent in said condenser shell for venting boiling nonradioactive coolant to atmosphere; and means for connecting said shutdown cooling system to said heat exchange loop during shutdown of said reactor. providing a condenser cooling shell; filling said condenser cooling shell with nonradioactive coolant; providing a heat exchange loop transpiercing said shell for effecting heat exchange with said nonradioactive coolant; connecting said loop in a shunt independent of said turbine-generator during emergency shutdown of said reactor to dissipate heat from said steam and said reactor coolant; and venting said nonradioactive coolant to atmosphere when said nonradioactive coolant is heated to boiling. a nuclear boiling water reactor having a reactor core for heating feedwater to generate a two-phase steam/water mixture and a steam separator for classifying said steam from said water; a turbine-generator for receiving said steam to generate electric power; a power grid for receiving electric power from said turbine-generator; and means for isolating said reactor from said turbine-generator during shutdown of said reactor core; an improved cooling system for dissipating heat generated by said shutdown reactor core comprising; a cooling apparatus, said apparatus including a housing containing water coolant and a plurality of heat exchange surfaces immersed in said water coolant; means for circulating steam from said reactor through said surfaces to remove heat from said steam when said reactor core is shutdown; means for circulating condensed steam from said surfaces back into said reactor when said reactor core is shutdown; means for circulating said reactor coolant from said reactor through said surfaces to remove heat from said reactor coolant when said reactor core is shutdown; means for circulating cooled reactor coolant from said surfaces back into said reactor when said reactor core is shutdown; means for venting said nonradioactive water coolant from said housing into the atmosphere when said nonradioactive water coolant is boiled to steam; and means for circulating said nonradioactive water coolant from said apparatus through a secondary heat exchange loop to dissipate sufficient heat from said reactor coolant so that said reactor can be refueled. 2. In a boiling water nuclear power generating system including: 3. A process for cooling a nuclear boiling water reactor, said reactor having a reactor core for heating reactor coolant to generate steam, a turbine-generator for receiving said steam and generating electric power, and a feedwater system for receiving spent steam and providing feedwater back into said reactor, said process comprising: 4. In a nuclear power generating system of the type including: 5. The power generating system of claim 4 and wherein said nonradioactive water coolant is circulated through said secondary heat exchange loop to dissipate additional heat from said steam. |
description | Method for Treating Waste Liquid (Boron-containing Waste Liquid) A boron-containing waste liquid to be treated by a method for treating a waste liquid according to the invention is not restricted. Typically, it is a waste liquid containing boric acid which occurs in radioactive substance handling facilities. (Alkali Metal Element Compound) The method for treating a waste liquid according to the invention is characterized by adding an alkali metal element compound. The amount of the alkali metal element compound after addition is preferably 0.2 or more, more preferably 0.2 to 0.5 when expressed as molar ratio to boron. The boron-containing waste liquid to be treated may contain an alkali metal element compound if added before waste liquid treatment. In this case, if the molar ratio of the alkali metal element compound is preferably 0.2 or more relative to boron, there may be no need to add the alkali metal element compound anew. The invention includes such a case. Examples of the alkali metal compound are hydroxides, sulfuric acid compounds and nitric acid compounds of sodium, and hydroxides, sulfuric acid compounds and nitric acid compounds of potassium. In adding an alkali earth metal element compound, such as that of calcium, to the boron-containing waste liquid, the addition of a compound of an alkali metal, such as sodium, inhibits sedimentation of a precipitate, and diminishes the possibility for clogging of piping. The addition of the alkali metal compound can be expected not only to prevent sedimentation, but also to decrease the amount of the resulting waste and improve the physical properties of the solidification product. The reasons may be that the addition of the alkali metal compound increases the viscosity and density of the waste liquid, and because of the presence of alkali metal compound ions, the surface potential of precipitate particles increases, thereby causing the particles to repel each other. (Alkali Earth Metal Element Compound) The method for treating a waste liquid according to the invention is characterized by adding an alkali earth metal element compound. The amount of the alkali earth metal element compound after addition is preferably 0.2 to 0.8, more preferably 0.2 to 0.7 when expressed as molar ratio to boron. After an alkali metal element compound is added and dissolved in the waste liquid, the alkali earth metal compound may be added and dissolved in the waste liquid. This is preferred from the aspect of the effect of suppressing the sedimentation of a precipitate. Examples of the alkali earth metal compound are hydroxides, sulfuric acid compounds and nitric acid compounds of calcium, and hydroxides, sulfuric acid compounds and nitric acid compounds of magnesium. (Step of Drying and Powdering) The method for treating a waste liquid according to the invention includes the drying and powdering step. Preferably, this drying step is performed using a dryer, more preferably, a vertical type thin film dryer. (Temperature of Boron-containing Waste Liquid) In the invention, during a period from addition of either the alkali metal element compound or the alkali earth metal element compound until the drying and powdering, the temperature of the boron-containing waste liquid is maintained at not lower than the precipitation temperature (aging temperature) of a compound of boron with an alkali metal, and at not lower than the precipitation temperature (aging temperature) of a compound of boron with an alkali earth metal. Preferably, the temperature of the boron-containing waste liquid is maintained at 60xc2x0 C. or higher, more preferably at 80xc2x0 C. or higher. By so maintaining the temperature at a high level, it becomes possible to prevent sedimentation in a low flow velocity portion present in piping, an increase in resistance due to adhesion to the piping, and clogging of the piping, which are phenomena occurring because calcium borate precipitate dispersed in the waste liquid is aged and grown to gigantic particles. (Flow Chart of a Preferred Embodiment) FIG. 1 is a flowchart for explaining a preferred embodiment of the method for treating a boron-containing waste liquid according to the invention. In this embodiment, a radioactive boric acid-containing waste liquid 1 consisting essentially of boric acid, which occurs in a nuclear power plant or the like, is heated to a temperature of 80xc2x0 C. or higher (step 2). Then, an alkali metal element compound 3, such as that of Na or K, is added to neutralize the waste liquid 1. Further, an alkali earth metal compound 4, such as that of Ca or Mg, is added to insolubilize boric acid, the essential component. The waste liquid containing the resulting insoluble boric acid compound (insoluble borate waste liquid) is supplied to a dryer, with the above-mentioned temperature being maintained, for drying (step 5). As a result, the volume of waste liquid is reduced as a dry powder 6. The dry powder 6 can be solidified together with a cementitious solidifying material. Method for Treating Boron-containing Waste (Powder) (Treatment of Boron-containing Waste) A powder of boron-containing waste according to the invention contains an alkali metal and an alkali earth metal. For example, it is a powder comprising calcium borate and sodium borate, preferably, an alkaline powder. The invention is characterized by combining this powder, cement, and a chemical admixture for improving the fluidity of cement. Because of this combination, the invention enables the effect of the chemical admixture to be fully exhibited to an unexpected degree, as contrasted with conventional boron-containing waste which has been unable to fully obtain the viscosity lowering effect of a chemical admixture. This combination increases the fluidity of the boron-containing waste and the cementitious solidifying material when kneaded, permits kneading under very mild conditions, and eventually enables in-drum mixing. The combination also has the effect of raising the strength of cement after solidification. (Boron-containing Powder) In a preferred embodiment of the invention, a powder to be treated is a boron-containing powder which has been obtained by the aforementioned method for treating the boron-containing waste liquid. According to this method, the effect of increasing fluidity during kneading, and the effect of improving physical properties after solidification are enhanced, preferably, by supplying the waste liquid, heated at 80xc2x0 C. or higher, to a dryer. Even if the temperature of the waste liquid lowers in a situation in which operation is interrupted midway, e.g., end of a daily shift or during lunch break, or because of a trouble, the above effects are noticed when the waste liquid is heated again to 80xc2x0 C. or higher. The cementitious solidifying material may be accommodated beforehand in a waste storage container, and admitted into solidifying facilities. In this case, there is no need to perform tasks in a radiation control area. Nor is there need to install instruments, such as hopper or batcher, in the control area. Thus, the volume of the building can be reduced, and the cost for radioactive waste disposal can be decreased markedly. To add the dry powder to the waste storage container, a dedicated metering tank and a dedicated metering machine may be used. However, it is also possible to perform control by measuring the weight of the waste storage container. This produces the effect of omitting the metering tank and metering machine. (Cementitious Solidifying Material) A cementitious solidifying material to be used in solidifying the boron-containing waste in the invention is not restricted. Its examples are portland cement alone, a mixture of portland cement and blast furnace slag, and a mixture of portland cement and fly ash. In supplying the cementitious solidifying material, there may be employed, for example, a method of supplying the cementitious solidifying material into a waste storage container from a cementitious solidifying material silo installed in radioactive waste disposal equipment, and a method of supplying the cementitious solidifying material, in an amount necessary for solidification, beforehand into a waste storage container. Preferably, in this case, the cementitious solidifying material in the waste storage container is weighed and added in facilities other than the radioactive waste disposal equipment, and then brought into the radioactive waste disposal facilities. When the cementitious solidifying material is accommodated in the waste storage container beforehand, and taken into the solidifying facilities, there is no need to perform tasks in a radiation control area. Nor is there need to install instruments, such as hopper or batcher, in the control area. Thus, the volume of the building can be reduced, and the cost for radioactive waste disposal can be decreased markedly. (Chemical Admixture for Improving Fluidity of Cement) The chemical admixture for improving the fluidity of cement may be one used generally. Preferred examples are sodium phosphate, potassium carbonate, phosphate glass, lignin sulfonic acid, carboxylic acid, and naphthalenesulfonic acid formaldehyde, which have a fluid improving effect even for the powder converted to alkaline particles by pretreating boric acid with the alkali metal element compound and the alkali earth metal element compound. This chemical admixture may be used in an amount of 0.5 part by weight or more for 100 parts by weight of the cementitious solidifying agent, whereby in-drum mixing can be performed preferably. (Kneading) In the invention, kneading of the cementitious solidifying material and the boron-containing waste is typically performed in a storage container for waste, but their kneading in a container or device other than the waste storage container is not ruled out. There is no restriction on the waste storage container, which may be a metal drum, for example. (Procedure) The procedure for kneading of the cementitious solidifying material and the boron-containing waste in the invention is not restricted. Preferably, the cementitious solidifying material, the chemical admixture for improving the fluidity of cement, and water are kneaded to prepare a cement slurry. The boron-containing waste (powder) is charged into the cement slurry, and then cement is solidified. More preferably, the chemical admixture and the cementitious solidifying material are mixed in advance, and then kneading is carried out. By this procedure, viscosity of the slurry is made very low, and the chemical admixture is dissolved in the slurry homogeneously and easily. Apparatus for Treating Waste Liquid (Apparatus for Treating Boron-containing Waste Liquid) An apparatus for treating a boron-containing waste liquid according to the invention comprises, at least, means for storing the boron-containing waste liquid, means for supplying an alkali metal element compound to the boron-containing waste liquid, means for supplying an alkali earth metal element compound to the boron-containing waste liquid, means for drying and powdering the boron-containing waste liquid, and means for adjusting the temperature of the boron-containing waste liquid. (Means for Storing Boron-containing Waste Liquid) The means for storing a boron-containing waste liquid according to the invention may be any container capable of storing a boron-containing waste liquid. An example is a waste liquid tank for storing a boric acid waste liquid generated in radioactive substance handling facilities. Preferred examples are those on which various means to be described below are mounted. (Means for Supplying Alkali Metal Compound) In the invention, the means for supplying an alkali metal compound is not restricted, and may be a mere container for storing an alkali metal compound. Preferably, it is an alkali metal element compound tank connected to the waste liquid tank. (Means for Supplying Alkali Earth Metal Compound) In the invention, the means for supplying an alkali earth metal compound is not restricted, and may be a mere container for storing an alkali earth metal compound. Preferably, it is an alkali earth metal element compound tank connected to the waste liquid tank. (Means for Drying and Powdering Boron-containing Waste Liquid) In the invention, the means for drying and powdering a boron-containing waste liquid is not restricted, and may be a batch type dryer. Preferably, it is a dryer connected to a waste liquid line, more preferably, a vertical thin film dryer. (Means for Adjusting Temperature of Boron-containing Waste Liquid) In the invention, the means for adjusting the temperature of a boron-containing waste liquid is not restricted. It may be a method of performing temperature adjustment from outside the storage means or the waste liquid line, a method of heating the entire atmosphere, or a method of inserting temperature adjusting means into the waste liquid. Preferably, it is a temperature controller which inserts a heater into the boron-containing waste liquid to control the temperature of the waste liquid. (Waste Liquid Line) In the invention, a waste liquid line is not absolutely necessary. Preferably, however, the boron-containing waste liquid tank and the dryer are connected together by a waste liquid line. This waste liquid line is preferably connected parallel to, or with a downward slope relative to, a horizontal surface until it is connected to the dryer. The waste liquid line is also formed to have the same bore, or an increasing bore toward the dryer, until it enters the dryer. By so doing, stagnation of the waste liquid can be eliminated to prevent sedimentation and clogging of the piping with high efficiency. In the waste liquid line that can be provided in the invention, it is further preferred to provide at least one of a flowmeter, a temperature controller (heater), a liquid feed pump, and a vibrator. Of these devices, the flowmeter is preferably an instrument for noncontactly measuring the flow rate of waste liquid flowing out of the waste liquid tank, and more preferably, an electromagnetic flowmeter. The liquid feed pump is preferably a screw pump, and the vibrator is preferably an ultrasonic oscillator. Preferably, the temperature controller (heater) is of a type which forms no unevennesses in the waste liquid line. These instruments are effective in dispersing the precipitate stably in the waste liquid to suppress sedimentation and prevent clogging in the piping. The provision of the vibrator for giving vibrations to the waste fluid, in particular, is effective in increasing dispersibility of the precipitate to prevent gigantism of particles, or to redisperse the precipitated particles in the fluid. In this manner, an electromagnetic flowmeter and a screw pump are adopted so that the structures of the flowmeter and pump will not create resistance in the fluid passageway. This measure can prevent gigantism of the precipitate due to aging, disperse particles in the fluid to avoid sedimentation, and further redisperse the precipitated particles in the fluid, thereby making it possible to provide an apparatus for treating a boron-containing waste liquid, the apparatus being free from clogging of piping and having very high reliability. Apparatus for Treating Boron-containing Waste (Apparatus for Treating Boron-containing Waste) An apparatus for treating boron-containing waste (solid, preferably, powdery) according to the invention comprises, at least, means for supplying a powder containing boron, an alkali metal, and an alkali earth metal to a kneading container, means for supplying a cementitious solidifying material to the kneading container, means for supplying a chemical admixture for improving fluidity of cement to the kneading container, means for supplying water to the kneading container, and means for kneading the boron-containing powder, the cementitious solidifying material, the chemical admixture, and the water in the kneading container. These means will be described below. (Means for Supplying Boron-containing Powder) In the invention, the means for supplying a powder containing boron, an alkali metal, and an alkali earth metal (a boron-containing powder) is not restricted. Preferably, however, it is a storage tank for storing the boron-containing powder, more preferably, that having a discharge port in a lower portion. (Means for Supplying Cementitious Solidifying Material) In the invention, the means for supplying a cementitious solidifying material is not restricted. Preferably, however, it is a storage tank for storing the cementitious solidifying material, more preferably, that having a discharge port in a lower portion. (Means for Supplying Chemical Admixture) In the invention, the means for supplying a chemical admixture is not restricted. Preferably, however, it is a storage tank for storing the chemical admixture, more preferably, that having a discharge port in a lower portion. (Means for Supplying Water) In the invention, the means for supplying water is not restricted, so long as it is one in common use. (Kneading Container) In the invention, the kneading container is not restricted, and may be a dedicated kneading container. Preferably, it is a waste storage container itself, e.g., a metal drum. (Kneading Means) In the invention, the kneading means is not restricted, and the use of a dedicated kneader is not ruled out. Preferably, it is a kneading vane which is capable of in-drum mixing and which is used to knead a substance in a waste storage container. (Means for Forming a Boron-containing Powder) The means for forming a boron-containing powder in the treatment of boron-containing waste according to the invention may, for example, comprise means for storing a boron-containing waste liquid, means for supplying an alkali metal element compound to the boron-containing waste liquid, means for supplying an alkali earth metal element compound to the boron-containing waste liquid, means for drying and powdering the boron-containing waste liquid, and means for adjusting the temperature of the boron-containing waste liquid, as stated earlier. If the production of cement solidification product is included, the means for forming the boron-containing powder may, for example, comprise, at least, a waste liquid tank for storing a boric acid waste liquid generated in radioactive substance handling facilities, an alkali metal element compound tank connected to the waste liquid tank, an alkali earth metal compound tank connected to the waste liquid tank, a temperature controller for heating the boric acid waste liquid and controlling its temperature, a waste liquid line connected to the waste liquid tank, a vertical thin film dryer for receiving a solution from the tank, a flowmeter, a heater and a liquid feed pump provided on the waste liquid line connecting this dryer to the waste liquid tank, a storage tank for storing a dry powder generated in the dryer, a radioactive waste storage container for receiving the dry powder from the storage tank, a storage tank for addition of a chemical admixture, and a kneading vane for kneading a substance in the waste storage container. Embodiments of the invention will be described using the attached drawings. Treatment of Boron-containing Waste Liquid FIG. 1 is a flow chart for explaining an embodiment of the method for treating a boron-containing waste liquid according to the invention. In this embodiment, a radioactive boric acid-containing waste liquid 1 consisting essentially of boric acid, which occurs in a nuclear power plant or the like, is heated to a temperature of 80xc2x0 C. or higher (step 2). Then, an alkali metal element compound 3, such as that of Na or K, is added to neutralize the waste liquid 1. Further, an alkali earth metal compound 4, such as that of Ca or Mg, is added to insolubilize boric acid, the essential component. The waste liquid containing the resulting insoluble boric acid compound (insoluble borate waste liquid) is supplied to a dryer, with the above-mentioned temperature being maintained, for drying (step 5). As a result, the waste liquid is volume reduced as a dry powder 6. The dry powder 6 is formed into a cement solidification product together with a cementitious solidifying material. Next, test examples of the invention will be described. (Test Example 1 of Waste Liquid Treatmentxe2x80x94Test on a Laboratory Level) less than Test Example 1-1 greater than Boric acid was dissolved in water to a boron (B) concentration of 21,000 ppm, forming a simulated waste liquid. The simulated waste liquid was heated to 80xc2x0 C., and then sodium hydroxide as an alkali metal element compound was added. Then, calcium hydroxide as an alkali earth metal compound was added to obtain an insoluble borate waste liquid. The insoluble borate waste liquid was charged into a 100 ml graduated cylinder, and allowed to stand. The sedimentation ratio for the insoluble borate waste liquid sedimentation during this period was determined, with the Na/B molar ratio and the Ca/B molar ratio as parameters. The results are shown in Table 1. The period of time during which the insoluble borate waste liquid was allowed to stand (hereinafter referred to as xe2x80x9cthe standing timexe2x80x9d) was set at 60minutes. During this standing time, the height of the upper surface of sediment was measured periodically. During the standing time, the waste liquid was held at a temperature of 80xc2x0 C. Table 1 shows the results at the standing time versus varied proportions of the additives in Test Examples 1 to 5 subdivided into 1 to 5 runs. In the actual apparatus, the waste liquid is moved at a flow rate of 40 liters/h in 1.5 m piping with an internal diameter of 16.1 mm. The duration of this movement is about 30 seconds. During this period, it is desirable to feed the waste liquid while minimizing precipitate formation. Thus, the standard sedimentation ratio was set at 95% or more over 30 seconds. When no sodium hydroxide was added, i.e., at an Na/B molar ratio of 0, the sedimentation ratio was plotted in a graph, with the Ca/B molar ratio as a parameter. This graph is shown in FIG. 2. A precipitate of the insoluble borate sedimented to a height of about 80% or less of the initial liquid level in a standing time of 30 seconds. Sedimentation was completed in about 1 to 3 minutes. The sedimentation ratio plotted at an Na/B molar ratio of 0.1, with the Ca/B molar ratio as a parameter, is shown in FIG. 3. The results are comparable to those at an Na/B molar ratio of 0. A precipitate sedimented to a height of about 80% or less of the initial liquid level in a standing time of 30 seconds. Sedimentation was completed in about 1 to 3 minutes. The sedimentation ratio plotted at an Na/B molar ratio of 0.2, with the Ca/B molar ratio as a parameter, is shown in FIG. 4. The results are slightly different from those mentioned above. When the Ca/B molar ratio was 0.2 or higher, the sedimentation ratio was 95% or higher even in a standing time of 30 seconds. The sedimentation velocity at a later time was slower than at an Na/B molar ratio of 0 or 0.1. A period of about 10 minutes was required until a precipitate completely sedimented and the sedimentation ratio became stable. The sedimentation ratio plotted at an Na/B molar ratio of 0.35, with the Ca/B molar ratio as a parameter, is shown in FIG. 5. When the Ca/B molar ratio was 0.2 or higher, the sedimentation velocity was similarly slow, and the sedimentation ratio remained 95% or higher even in a standing time of 30 seconds. A period of about 20 to 30 minutes was required until a precipitate completely sedimented and the sedimentation ratio became stable. The sedimentation ratio plotted at an Na/B molar ratio of 0.5, with the Ca/B molar ratio as a parameter, is shown in FIG. 6. When the Ca/B molar ratio was 0.2 or higher, the sedimentation velocity was similarly slow, and the sedimentation ratio remained 95% or higher even in a standing time of 30 seconds. This outcome was comparable to that at an Na/B molar ratio of 0.35. A period of about 20 to 30 minutes was required until a precipitate completely sedimented and the sedimentation ratio became stable. From the foregoing results, it was confirmed that boric acid in a boric acid-containing waste liquid could be converted to an insoluble borate with a low precipitation velocity by adjusting the Na/B molar ratio of the waste liquid at 0.2 or higher, and the Ca/B molar ratio of the waste liquid at 0.2 to 0.8. Since the results obtained were comparable for a Ca/B molar ratio of from 0.6 to 0.8, the upper limit for the Ca/B molar ratio was set at 0.8. less than Test Example 1-2 greater than The sedimentation properties of precipitates from insoluble borate waste liquids, which were obtained by adding alkali metal element compounds and alkali earth metal compounds to a simulated boric acid waste liquid, were compared by performing the same procedure as in Test Example 1-1. In this test example, potassium hydroxide, sodium sulfate, potassium sulfate, sodium nitrate and potassium nitrate were used as the alkali metal element compounds, and magnesium hydroxide, calcium sulfate, magnesium sulfate, calcium nitrate, and magnesium nitrate were used as the alkali earth metal compounds. The sedimentation ratios of the resulting insoluble borate waste liquids when allowed to stand are summarized in Table 2. Table 2 shows the results obtained for the relation among the additive proportion, the material added, and the standing time in Test Examples 6 and 7 subdivided into 1 to 6 runs, in comparison with a comparative example. FIG. 7 shows the results of comparisons using potassium hydroxide, sodium sulfate, potassium sulfate, sodium nitrate, potassium nitrate, and a mixture of sodium hydroxide and potassium hydroxide, as alkali metal element compounds. The molar ratio of the alkali metal element to B in the simulated waste liquid was adjusted to 0.35. Calcium hydroxide was further added to adjust the Ca/B molar ratio to 0.6. As shown in FIG. 7, all of the alkali metal element compounds gave results similar to those obtained with the use of sodium hydroxide, and showed decreases in the sedimentation velocity of the borate precipitate in comparison with the Comparative Example. The sedimentation ratio remained 95% or higher even in a standing time of 30 seconds. A period of about 20 to 30 minutes was required until the sedimentation ratio became stable. FIG. 8 shows the results of comparisons using magnesium hydroxide, calcium sulfate, magnesium sulfate, calcium nitrate, magnesium nitrate, and a mixture of calcium hydroxide and magnesium hydroxide, as alkali earth metal compounds. In the simulated waste liquid, the Na/B molar ratio was adjusted to 0.35 with the addition of sodium hydroxide. Then, the alkali earth metal compound was added to adjust the alkali earth metal element/B molar ratio to 0.6. As shown in FIG. 8, all of the alkali earth metal compounds gave results similar to those obtained with the use of calcium hydroxide, and showed decreases in the sedimentation velocity of the borate precipitate in comparison with the comparative Example. The sedimentation ratio remained 95% or higher even in a standing time of 30 seconds. A period of about 20 to 30 minutes was required until the sedimentation ratio became stable. (Test 2 of Waste Liquid Treatmentxe2x80x94Test Using Actual Apparatus) less than Test Example 2-1 greater than In an example, a simulated waste liquid prepared under the conditions shown in Test Example 1-1 for the method of the invention was checked for feedability to a vertical thin film dryer and drying properties. With reference to FIG. 9, this example will be described as Test Example 2-1 of an apparatus for treating radioactive waste according to the invention. In FIG. 9, the reference numeral 7 denotes a waste liquid tank for storing a boric acid waste liquid 8 generated in radioactive substance handling facilities. To an upper end portion of the waste liquid tank 7, an alkali metal element compound tank 9, and an alkali earth metal compound tank 10 are connected via valves. Inside the waste liquid tank 7, a stirrer 11 and a heater 12 are provided. To a bottom of the waste liquid tank 7, a waste liquid line 14 is connected. The other end of the waste liquid line 14 is connected to a dryer 13. To the waste liquid line 14 connecting the waste liquid tank 7 to the dryer 13, a flowmeter 17, a heater 15 and a liquid feed pump 18 are connected. The heater 15 is connected to a temperature controller 16. The temperature controller 16 is also connected to the heater 12. To an outlet of the dryer 13, a dryer receptacle 19 is connected. In a treating apparatus of the above constitution, the boric acid waste liquid (simulated waste liquid) 8 having a boron (B) concentration of 21,000 ppm was stored in the waste liquid tank 7. Sodium hydroxide was charged into the tank 7 from the alkali metal element compound tank 9 to adjust the Na/B molar ratio to 0.35. Then, calcium hydroxide was charged into the tank 7 from the alkali earth metal compound tank 10 to adjust the Ca/B molar ratio to 0.6, thereby obtaining an insoluble borate waste liquid. The stirrer 11 is to prevent sedimentation of a precipitate in the insoluble borate waste liquid. The heater 12 is to heat the boric acid waste liquid 8. The waste liquid 8 was heated to a temperature of 80xc2x0 C. with stirring, and supplied to the dryer 13 at a flow rate of 40 liters/h through the waste liquid line 14. In this test example, a vertical thin film dryer with a heat transfer area of 0.5 m2 was used as the dryer 13. The heating temperature of the dryer 13 was 175xc2x0 C. On the waste liquid line 14 for supplying the boric acid waste liquid 8 to the dryer 13, the heater 15 was provided to maintain the entire waste liquid line 14 at a temperature of 80xc2x0 C. The temperature was controlled by the temperature controller 16. The flowmeter 17 was a noncontact type electromagnetic flowmeter from which a sensor did not protrude into the waste liquid line 14. The waste liquid was supplied, with its flow rate being adjusted by a screw-type self-contained liquid feed pump 18. The waste liquid line 14 leading to the dryer 13 was a 15A stainless steel piping of the same bore. In accordance with the above-described specifications, drying of the boric acid waste liquid 8 was performed for 8 hours. During this period, a dry powder was sampled into a dry powder receptacle 19 periodically, and measured for properties (moisture content, appearance). The results are shown in Table 3. If the moisture content of the powder exceeds 10 wt. %, appearance of the powder degrades. Thus, the standard value was set at 10 wt. % or less. As shown in the table, the dry powder had a moisture content of 10 wt. % or less, and a powdery appearance, even after 8 hours of operation. The flow rate of the waste liquid fed during operation was confirmed to be stable constantly. less than Test Example 2-2 greater than In an example, in connection with the method of supplying a simulated waste liquid to a dryer 13, feedability of the waste liquid was improved by providing a vibrator 20 on a waste liquid line 14 for passing the waste liquid to the dryer. This example will be described with reference to FIG. 10. FIG. 10 explains the layout of an apparatus for treating radioactive waste according to the invention. Under the same conditions as shown in Test Example 2-1, a boric acid waste liquid (simulated waste liquid) 8 having a boron (B) concentration of 21,000 ppm was stored in a waste liquid tank 7. Sodium hydroxide was charged into the tank 7 from an alkali metal element compound tank 9 to adjust the Na/B molar ratio to 0.35. Then, calcium hydroxide was charged into the tank 7 from an alkali earth metal compound tank 10 to adjust the Ca/B molar ratio to 0.6, thereby obtaining an insoluble borate waste liquid. The waste liquid was stirred by a stirrer 11 for preventing sedimentation of a precipitate. At the same time, the waste liquid was heated by a heater 12. to a temperature of 80xc2x0 C. In this state, the waste liquid was supplied to a dryer 13 at a flow rate of 40 liters/h. In this test example, a vertical thin film dryer with a heat transfer area of 0.5 m2 was used as the dryer 13. The heating temperature of the dryer 13 was 175xc2x0 C. On the waste liquid line 14 for supplying the boric acid waste liquid 8 to the dryer 13, no heater was provided. Instead, a vibrator 20 was installed for vibration, whereby sedimentation in the waste liquid line 14 could be prevented. In the present test example, vibration was performed by an air knocker with a frequency of 5 seconds/vibration. A flowmeter 17 was a noncontact type electromagnetic flowmeter from which a sensor did not protrude into the waste liquid line 14. The waste liquid was supplied, with its flow rate being adjusted by a screw-type self-contained liquid feed pump 18. The waste liquid line 14 leading to the dryer 13 was a stainless steel piping of the same bore (internal diameter 16.1 mm). In accordance with the above-described specifications, drying of the boric acid waste liquid 8 was performed for 8 hours. During this period, a dry powder was sampled into a dry powder receptacle 19 periodically, and measured for properties (moisture content, appearance). The results are shown in Table 4. As shown in Table 4, the dry powder was a satisfactory powder having a stable moisture content of 10 wt. % or less, and a powdery appearance, even after 8 hours of operation. The flow rate of the waste liquid fed during operation was always stable. The present test example confirmed an effect resulting from the mounting of the vibrator 20 on the waste liquid line 14 to perform vibration. A separate test was conducted using an ultrasonic oscillator as the vibrator 20, and confirmed tat the waste liquid could be fed to the dryer and dried without any problem. less than Test Example 2-3 greater than In accordance with the same specifications and procedure as in Test Example 2-1, the boric acid waste liquid 8 was dried by the dryer 13. At this time, the boric acid waste liquid 8 was supplied to the dryer 13, without actuating the heater 15 on the waste liquid line 14, and without keeping the temperature of the waste liquid line 14. As a result, the waste liquid line 14 was clogged in about 1 hour after initiation of liquid supply. The flow rate of the liquid decreased, and drying was terminated. Subsequent inspection of the interior of the waste liquid line 14 showed that sediment of borate deposited, starting in an intermediate portion between the liquid feed pump 18 and the dryer 13, to clog the waste liquid line 14. This may be because the temperature of the boric acid waste liquid 8 fell in the waste liquid line 14, further precipitating the insoluble salt. These results ascertained the effect produced by heating and keeping the entire waste liquid line 14 at a waste liquid temperature of 80xc2x0 C. or higher with the heater 15. less than Test Example 2-4 greater than In accordance with the same specifications and procedure as in Test Example 2-1, the boric acid waste liquid 8 was dried by the dryer 13. At this time, the waste liquid line 14 was constituted to have a structure upwardly inclining toward the dryer 13 at an angle of about 15xc2x0 with a horizontal surface. As a result, the waste liquid line 14 was clogged in about 1 hour after initiation of liquid supply. The flow rate of the liquid decreased, and drying came to a halt. Subsequent inspection of the interior of the waste liquid line 14 showed that sediment of borate deposited, starting in an initial portion of the upward inclination, to clog the waste liquid line 14. This outcome ascertained the effect produced by a constitution in which the waste liquid line 14 is parallel to a horizontal surface until its entry into the dryer 13. It was also confirmed that there was no problem when the waste liquid line 14 inclined downward relative to a horizontal surface until its entry into the dryer 13. less than Test Example 2-5 greater than In accordance with the same specifications and procedure as in Test Example 2-1, the boric acid waste liquid 8 was dried by the dryer 13. At this time, the waste liquid line 14 was constituted such that the bore of piping forward of the liquid feed pump 18 installed on the waste liquid line 14 was decreased to an internal diameter of 12.7 mm. As a result, the waste liquid line 14 was clogged in about 45 minutes after initiation of liquid supply. The flow rate of the liquid decreased, and drying came to a halt. Subsequent inspection of the interior of the waste liquid line 14 showed that sediment of borate deposited, starting at the site where the bore of the piping line was decreased to an internal diameter of 12.7 mm, thus clogging the waste liquid line 14. This outcome ascertained the effect produced by a constitution in which the waste liquid line 14 has the same bore until its entry into the dryer 13. It was also confirmed that the waste liquid could be fed without problem when the waste liquid line 14 had an increasing bore toward the dryer 13 until its entry into the dryer 13. less than Test Example 2-6 greater than In accordance with the same specifications and procedure as in Test Example 2-1, the boric acid waste liquid 8 was dried by the dryer 13. At this time, the flowmeter 17 installed on the waste liquid line 14 was constituted such that its sensor protruded into the line. As a result, the waste liquid line 14 was clogged in about 1 hour after initiation of liquid supply. The flow rate of the liquid decreased, and drying came to a halt. Subsequent inspection of the interior of the waste liquid line 14 showed that sediment of borate deposited, starting at the site of the flowmeter 17, thus clogging the waste liquid line 14. This outcome ascertained the effect produced when a noncontact type electromagnetic flowmeter having a sensor not protruding into the line is used as the flowmeter 17 for control of the flow rate of the waste liquid. less than Test Example 2-7 greater than In accordance with the same specifications and procedure as in Test Example 2-1, the boric acid waste liquid 8 was dried by the dryer 13. At this time, a tube type roller pump was used as the liquid feed pump 18 installed on the waste liquid line 14. As a result, the waste liquid line 14 was clogged in about 1.5 hours after initiation of liquid supply. The flow rate of the liquid decreased, and drying came to a halt. Subsequent inspection of the interior of the waste liquid line 14 showed that sediment of borate deposited, starting at the site where the roller pump pushed out the tube, thus clogging the waste liquid line 14. This outcome ascertained the effect produced when a screw pump is used as the liquid feed pump 18 for the waste liquid. Treatment of Boron-containing Waste (Solidification) (Test Example 3 of Waste Solidificationxe2x80x94Test on a Laboratory Level) less than Test Example 3-1 greater than A waste liquid having a boron concentration of 21,000 ppm as a simulated waste liquid of boric acid was prepared at 80xc2x0 C., and adjusted to contain 0 to 0.35 mol of sodium with respect to boron and 0 to 0.7 mol of calcium with respect to boron. This waste liquid was sent to a dryer, with the temperature of the waste liquid being maintained, to dry and powder the waste liquid by the dryer with a heat transfer area of 0.5 m2. The resulting powder (the borate weight: 80 kg based on a 200-liter metal drum), portland cement, sodium phosphate as a chemical admixture were mixed in an in-drum mixer. The amount of the chemical admixture was 1% by weight based on the cement. The viscosity of the resulting kneaded product was measured with a rotational viscometer. Then, a separately sampled kneaded product was aliquoted into a formwork having a height of 10 cm and a diameter of 5 cm, and after 28 days of curing, the uniaxial compressive strength of the cured product was measured. The waste liquid, adjusted to an Na/B molar ratio of 0.2 and a Ca/B molar ratio of 0.2 with the use of sodium hydroxide and calcium hydroxide, was cooled to 60xc2x0 C. or lower. Then, the cooled waste liquid was dried at this temperature, or was dried after reheating to 80xc2x0 C. For each of these cases, the viscosity of the kneaded product and the uniaxial compressive strength of the cured product were measured similarly. The test results obtained with the temperature of the waste liquid being maintained at 80xc2x0 C. are shown in FIGS. 11 to 12. When Na/B=0.2 or higher and Ca/B=0.2 or higher, it was confirmed that the kneaded product had a viscosity permitting in-drum mixing, and the strength of the kneaded product after solidification surpassed the evaluation standard value. At the Na/B ratio and Ca/B ratio lower than those mentioned above, the viscosity of the kneaded product was 50 dPaxc2x7s or higher. Lumps of the dry powder were noted here and there in the kneaded product. Thus, kneading was judged to be poor. The test results obtained with the temperature of the waste liquid being changed or set at 60xc2x0 C. or lower are shown in FIGS. 13 to 14. When the waste liquid was dried at a temperature of 60xc2x0 C. or lower, its supply to a vertical thin film dryer was difficult. The resulting dry powder showed scattered dry lumps, and the viscosity of the kneaded product and the compressive strength of the cured product were confirmed to be low. (Test Example 4 of Waste Solidificationxe2x80x94Test Using Actual Apparatus) less than Solidification Test Example 4-1 greater than FIG. 15 shows a concrete apparatus layout according to the invention. The apparatus of the invention has a radioactive waste liquid storage tank 32 having a heater 31, an alkali metal compound solution tank 33 for supplying a solution of an alkali metal element compound into the radioactive waste liquid storage tank 32, and an alkali earth metal compound storage tank 34 for accommodating an alkali earth metal element compound. In the radioactive waste liquid storage tank 32, a radioactive boric acid waste liquid, a solution of an alkali metal element, and an alkali earth metal element compound are mixed. The mixing ratio of these chemicals is adjusted to have the element/boron molar ratios described in Test Example 3-1. The temperature of the waste liquid is similarly adjusted to the temperature disclosed in Test Example 3-1. A mixed solution prepared is fed to a vertical thin film dryer 35, where it is converted to a dry powder, and then stored in a dry powder storage tank 36. The dry powder from the dry powder storage tank 36 is supplied to a radioactive waste storage container 38 via a dry powder measuring tank 37, where necessary. The radioactive waste storage container 38 accommodates, beforehand, a cementitious solidifying material, a chemical admixture for improving fluidity of the cementitious solidifying material, and water in a kneaded state. A kneading vane 39 is placed in the system to knead the dry powder, and then the system is solidified. As shown in FIG. 16, a cementitious solidifying material may be supplied from a cement silo 40 into the radioactive waste storage container 38 via a cement measuring tank 41. As shown in FIG. 17, it is also permissible to remove the dry powder measuring tank 37, and measure the weight of the radioactive waste storage container 38 with a storage container measuring machine 42 to control the weight of the dry powder. As the layout of the presently claimed apparatus, those having the above-mentioned instruments are all included in the present invention, regardless of the size of the instruments, the order of arrangement of the instruments, and the order of addition of the chemicals. less than Test Example 4-2 greater than The effect of the invention was investigated with the types of the elements added being changed. A boric acid waste liquid having a boron concentration of 21,000 ppm was heated to 80xc2x0 C., and adjusted to have an alkali metal element/boron molar ratio of 0.2 and an alkali earth metal element/boron molar ratio of 0.6 with the addition of a potassium hydroxide solution as an alkali metal element compound, and with the addition of calcium sulfate, calcium nitrate, or magnesium hydroxide as an alkali earth metal element compound. This waste liquid was dried and powdered in a vertical thin film dryer with a heat transfer area of 0.5 m2. To the resulting powder, portland cement as a cementitious solidifying material, and sodium phosphate as a chemical admixture were added for in-drum mixing and solidification. The amount of the mixture solidified was 80 kg as borate weight based on a 200-liter metal drum, as in Test Example 3-1. The viscosity of the kneaded product of the cementitious material and the dry powder, and the mechanical properties of the solidification product were measured. The results are shown in Table 5. The viscosity of the kneaded product was 50 dPaxc2x7s or lower, as in the case of using sodium hydroxide and calcium hydroxide as chemicals. This confirmed the viscosity to be sufficiently low to permit in-drum mixing. The compressive strength of the resulting solidification product was confirmed to surpass the evaluation standard value fully. less than Test Example 4-3 greater than This is an example for investigating the effect of the invention, with the type of the cementitious solidifying material being changed. A boric acid waste liquid having a boron concentration of 21,000 ppm was heated to 80xc2x0 C., and adjusted to Na/B=0.2 and Ca/B=0.6 with the addition of sodium hydroxide and calcium hydroxide. This waste liquid was dried and powdered in a vertical thin film dryer with a heat transfer area of 0.5 m2. To the resulting powder, a cementitious solidifying material was added for in-drum mixing and solidification. The cementitious solidifying material was blast furnace cement or fly ash cement. Sodium phosphate was used as a chemical admixture. The amount of the mixture solidified was 80 kg as borate weight based on a 200-liter metal drum, as in Test Example 3-1. The viscosity of the kneaded product of the cementitious material and the dry powder was measured during kneading, and the mechanical properties of the solidification product were measured after solidification. The results are shown in Table 6. The viscosity of the kneaded product was 50 dPaxc2x7s or lower, when either cementitious solidifying material was used. This confirmed the viscosity to be sufficient for in-drum mixing. The compressive strength of the resulting solidification product was confirmed to surpass the evaluation standard value fully. less than Test Example 4-4 greater than This is an example for investigating the effect of the invention in connection with the type of a chemical admixture and its amount added. A boric acid waste liquid having a boron concentration of 21,000 ppm was heated to 80xc2x0 C., and adjusted to Na/B=0.2 and Ca/B=0.6 with the addition of sodium hydroxide and calcium hydroxide. This waste liquid was dried and powdered in a vertical thin film dryer with a heat transfer area of 0.5 m2. To the resulting powder, a cementitious solidifying material was added for in-drum mixing and solidification. The cementitious solidifying material was portland cement. To the portland cement, sodium phosphate was added beforehand as a chemical admixture in a proportion of 0.5% based on the cement. A sample containing potassium carbonate, phosphate glass, lignin sulfonic acid, carboxylic acid, or naphthalenesulfonic acid formaldehyde added thereto as the chemical admixture was prepared separately. The amount of the mixture solidified was 80 kg as borate weight based on a 200-liter metal drum, as in Test Example 3-1. The viscosity of the kneaded product of the cementitious material and the dry powder was measured during kneading, and the mechanical properties of the solidification product were measured after solidification. The results are shown in Table 7. Changes in the viscosity of the kneaded product according to changes in the amount of naphthalenesulfonic acid formaldehyde or sodium phosphate added to cement are shown in FIG. 18. The viscosity of the kneaded product was 50 dPaxc2x7s or lower, when any of the chemical admixtures was added. This confirmed the viscosity to be sufficient for in-drum mixing. The compressive strength of the resulting solidification product was confirmed to surpass the evaluation standard value fully. When naphthalenesulfonic acid formaldehyde or phosphate glass was added in a proportion of 0.5% or more to cement, the solidifying material was confirmed to gain a viscosity which enabled in-drum mixing. The invention can provide a method and an apparatus for treating a boron-containing powder which improve feedability of a boron-containing waste liquid to a dryer, realize in-drummixing of a dry powder and a cementitious solidifying material when kneaded, increase the strength of a cement solidification product, and achieve a marked reduction in the volume of the solidification product. As an example, the invention can provide an apparatus for treating radioactive waste in a process in which a concentrated waste liquid consisting essentially of boric acid occurring in a nuclear power plant or the like is insolubilized by formation of borate and dried. The apparatus is capable of lowering the sedimentation velocity of an insoluble borate in the waste liquid, and is highly reliable in preventing clogging of a waste liquid line. The invention can also convert such a boric acid-containing waste liquid into a stable, firm, solidification product of radioactive waste. Besides, the invention can simplify the instruments of radioactive waste disposal equipment and downscale the facilities, thus reducing costs involved in radioactive waste treatment. |
|
063295636 | description | DETAILED DESCRIPTION OF SPECIFIC EMBODIMENTS The present invention involves the formation of a vitreous solid that immobilizes volume-reduced radioactive and hazardous waste from ion exchange resins without the need for pretreatment of the resin. The resin is combined with borosilicate glass forming compounds, such as hydrous borax (forming B.sub.2 O.sub.3 and Na.sub.2 O), calcium carbonate or calcium hydroxide (forming CaO), sodium nitrate (forming Na.sub.2 O), and silica (SiO.sub.2), and with a ferric oxide producer (either Fe.sub.2 O.sub.3 or Fe(NO.sub.3).sub.3), heating this mixture to vitrification temperature, which is typically a temperature between about 1050.degree. C. and 1150.degree. C., then cooling to form a vitreous solid The temperature is limited to 1150.degree. because radioactive waste vitrification studies have shown that Cs volatility increases above 1150.degree. C. Cooling rate in a melter is equivalent to the cool down as the glass exits the melter and deposits in a canister. During the melter studies, the cooling rate was not measured or controlled. The glass was air-cooled to the surrounding environment. In lab studies, the cooling schedule from the Defense Waste Processing Facility (DWPF) high-level waste glass canisters was used as a conservative slow cooling test and no crystallization problems were found in the glass. In a specific embodiment of the invention, the ferric oxide producer is typically added in an amount between about 16 and about 22 wt %, based on the desired amount of vitreous glass oxides. In this specific embodiment, the borosilicate glass formers contain about 8 to about 16 wt % B.sub.2 O.sub.3 (typically added as hydrous borax), about 10 to about 15 wt % CaO (typically added as CaCO.sub.3 or Ca(OH).sub.2), about 8 to about 14 wt % Na.sub.2 O (typically added as NaNO.sub.3 or NaCO.sub.3), and about 41 to about 49 wt % SiO.sub.2. The process is carried out by directly vitrifying the glass forming mixture without pretreatment of the ion exchange resin, and achieves waste loadings of about 27.5% to about 41.2% and volume reductions of about 28% to about 76%. Resin amounts are based on the mass of resin waste that is introduced per mass of vitreous solid oxides. Waste loadings are calculated by dividing the weight of resin introduced by the sum of the weight of the glass produced and the introduced waste. For example, a waste loading of 27.5% would be a glass containing 38 grams of resin waste per 100 grams of glass produced. In other words, the waste is added to the borosilicate glass formers in an amount ranging from about 38 grams resin to about 70 grams resin, based on 100 grams vitreous solid. The redox ratio (Fe.sup.2+ /.SIGMA.Fe) typically is less than 0.33, which is considered an oxidized glass, more particularly between about 0.10 and about 0.33. Using direct vitrification methods, early studies at SRTC indicated that the maximum amount of resorcinol resin that could be incorporated in the glass matrix was 5 grams of resin per 100 grams of glass produced, while the maximum amount of AMBERLITE (styrene-divinylbenzene resin) that could be incorporated in the glass matrix was 20 grams of resin per 100 grams of glass produced. The waste loadings were believed to be mainly limited by the redox (Fe.sup.2+ /.SIGMA.Fe) of the glass. These findings have been verified with bench-scale vitrification studies and lab-scale melter studies. Vitrification of the resorcinol resin in DWPF type feed was found to be plausible, and melter operating conditions were not greatly affected by the resin components. Glasses made with the resin were found to have slightly poorer durability because of the higher redox ratio of the glass. However, the durability was still significantly better than the Environmental Assessment (EA) glass durability results, which is the benchmark for high-level waste glasses when subjected to the Product Consistency Test (PCT). ASTM C1285-94, "Standard Test Methods for Determining Chemical Durability of Nuclear Waste Glasses: The Product Consistency Test (PCT)", February 1995. It has been found that the presence of nitrates helped lower the redox ratio, permitting greater amounts of organics to be treated per gram of glass produced. Waste loadings have been achieved using the present invention that are considerably higher than those previously achieved. Studies with Argentine and commercial nuclear industry ion exchange materials using this information successfully stabilized high quantities of organic ion exchange resins in an iron-enriched borosilicate glass formulation. In laboratory studies using the process of the invention, six resins typical of the Argentine nuclear industry and six resins typical of the United States' commercial nuclear industry were tested. The Argentine resins were all polystyrene-divinylbenzene based resins, but had different ionic forms. The commercial nuclear industry resins, on the other hand, were mostly styrene based resins, but had different active groups and ionic forms. Overall, the resins behaved the same during melting, but some of the ionic forms (e.g., Cl) and active groups (e.g., sulphonic acid) had limited waste loading because of their solubility in glass. Table 1 shows the resins tested, along with their matrix, active groups, ionic forms, and manufacturers. TABLE 1 Resin Matrix Active Group Ionic Form Manufacturer S100 Polystyrene Sulphonic Acid Na.sup.2+ Lewatit M500 Polystyrene Quaternary Amine Cl.sup.- Lewatit IRN-77 Polystyrene/DVB Sulphonic Acid H.sup.+ Rohm & Haas IRN-78 Polystyrene/DVB Quaternary Ammonium OH.sup.- Rohm & Type II Alkyl Haas IRN-150 Polystyrene/DVB Mix of IRN 77 & 78 H/OH Rohm & Haas IRN-154 Polystyrene/DVB Mix of IRN 77 & 78 Li/OH Rohm & Haas A-550 Polystyrene/DVB Quaternary Ammonium CL.sup.- Ionic Type II Alkyl IRC-50 DVB Carboxylic Acid H.sup.+ Rohm & Haas 21H Polystyrene/DVB Sulphonic Acid/ H/OH unknown with cellulose Trimethylamine fiber 900-OH Polystyrene/DVB Quaternary Ammonium OH.sup.- Rohm & Type I Alkyl Haas 200-H Polystyrene/DVB Sulphonic Acid H.sup.+ Rohm & Haas 650-C Polystyrene/DVB Sulphonic Acid H.sup.+ Dow Chemical The amount of the resin material that will remain undecomposed at typical vitrification temperatures is an important parameter influencing the proper amount of glass additives to be used. Some wastes that are vitrified will contribute a large portion of the glass structure components, whereas other wastes, like the resins vitrified in the present invention, are thermally decomposed and only contribute a small portion. For wastes like resins, a glass formulation can be determined that will only be slightly affected by the presence of the waste. Several techniques were used to measure the weight loss behavior with temperature for the different resins. This data was used to estimate material loss for the resins when vitrified at typical glass melting temperatures. Typically, vitrifiable wastes are dried at a temperature of around 100.degree. C. to determine the water loss or dried solids content. Subsequent drying at temperatures of around 600.degree. C. and 1150.degree. C. are also performed to determine the loss of other species and the cation content. Solids remaining on drying at 1150.degree. C. should also remain in the glass structure when the waste is treated. A large mass loss was not seen for most of the resins at 100.degree. C. All the resins lost at least 80% of their mass at 600.degree. C. For all of the resins, the structure was completely broken down and almost no remnants of the resins remained after the 1150.degree. C. heat treatment, (i.e. 99-100% mass loss). This indicates that high waste loadings with these materials are achievable in a glass matrix and that the resin components should not greatly affect the glass composition. Therefore, waste loadings would only be limited by processing constraints or by limits on the resin contaminants (e.g. Cs solubility or radiation field). "Radiation field" refers to the radioactive dose associated with the Cs and other radioactive materials in the glass. It is necessary to limit radioactive content because of potential radioactive dose to the workers and the surrounding environment. In addition, the radioactive content of the final product will dictate the type of disposal necessary, so this is a consideration when determining the maximum waste loading. A standard method for determining the feasibility of vitrification treatment for a particular waste stream is to perform bench-scale (crucible-scale) vitrification studies on surrogate wastes. These studies are used to determine optimum vitrification processing parameters (e.g. melt temperature and residence times) and the necessary glass additives. These results can then be used to study laboratory scale treatment of the actual wastes or to perform melter demonstrations with either surrogate or actual wastes. The nominal glass composition tested was 9 wt % B.sub.2 O.sub.3, 15 wt % CaO, 22 wt % Fe.sub.2 O.sub.3, 8 wt % Na.sub.2 O, and 46 wt % SiO2. In this study, base compositions were fabricated from reagent grade chemicals with Fe(NO.sub.3).sub.3 used as the ferric oxide former to help oxidize the organics. This glass easily melted at 1150.degree. C., which was beneficial in trying to avoid volatilizing the Cs that is normally contained on the spent resins. The commercial nuclear industry resins were mixed with the necessary glass additives, slowly heated (.about.10.degree. C./min) to melt temperature (about 1150.degree. C.), melted for four hours, removed from the furnace, and then allowed to cool to room temperature in the crucibles. In all tests, covered high purity alumina crucibles were used to melt the glasses. After cooling to room temperature, the glasses produced were examined for completeness of reaction, visual homogeneity, and presence of metal sulfides. Successive testing with the resins involved slowly increasing or decreasing the amount of resin until problems with processing, salt layer formation, visual homogeneity, or metal sulfide formation were not a concern. In some cases, the batch materials were allowed to react in the crucible before melting to allow complete oxidation of the resin to occur (reflected as "R" in the Glass ID in Table 2, below). Most of the resins produced black-brown or black homogeneous glasses. However, in a few instances, small pellets were found in the glass matrix that easily separated from the glass matrix and disintegrated when crushed. These pellets were examined using Scanning Electron Microscopy (SEM) coupled with Energy Dispersive Spectroscopy (EDS). They were determined to be iron sulfide pellets, which have been shown to form when glasses become too reduced. Therefore, glasses which produced these pellets were not considered acceptable, and either the waste loading was lowered or the reaction time for the Fe(NO.sub.3).sub.3 and the resin was increased. The strong cation sulfonated styrene resins seemed to have the most problems with formation of metal sulfides and incorporation of high waste loadings. No problems with salt formation on the glass surface were seen for any of the resins. The resin waste loading varied from 38-70 g/100 g vitreous product or 27.5-41.2 wt % for the maximum loaded homogeneous glasses. Waste loadings and qualitative descriptions of various glass foundations tested are given below in Table 2. For the Glass ID, the number associated with each resin type was used, and the number following the hyphen represents the grams of resin added per 100 grams of glass produced. TABLE 2 RESIN LOADINGS TESTED Waste Glass ID Loading Description 550-42 29.6% Dark brown glass 550-46 31.5% Black glass 550-50 33.3% Black-brown glass 550-54 35.1% Black-brown glass 50-42 29.6% Dark brown glass 50-46 31.5% Black glass 50-50 33.3% Black-brown glass 50-54 35.1% Black-brown glass 21-42 29.6% Dark brown glass 21-46 31.5% Black glass 21-50 33.3% Black-brown glass 21-54 35.1% Black-brown glass 21-58 36.7% Black-brown glass 21-62 38.3% Black-brown glass 21-66 39.8% Black glass 900-42 29.6% Dark brown glass 900-46 31.5% Black glass 900-50 33.3% Black-brown glass 900-54 35.1% Black-brown glass 900-58 36.7% Black-brown glass with some shiny particles on the surface 900-62 38.3% Black-brown glass, some unreacted material on one side of crucible line 900-66 39.8% Black-brown glass 900-70 41.2% Black glass 900-74 42.5% Rough black glass on surface, black glass underneath, metallic on sides 200-34 25.4% Black glass 200-38 27.5% Black glass 200-38-R 27.5% Reacted .about.16 hrs, black-brown glass 200-40 28.6% Unreacted surface with black glass underneath, small pellet in glass 200-42 29.6% Black glass under matted surface, small metal pellet in glass 650-32 24.2% Unreacted at surface with orange and blue streaks, black glass underneath 650-34 25.4% Brown glass with orange streaks at surface, metals in glass 650-38 27.5% Black glass, small metal pellet 650-38-R 27.5% Reacted .about.16 hrs, unreacted surface, black glass underneath 650-38-RR 27.5% Reacted .about.16 hrs and melted 6 hrs, black glass 650-42 29.6% Dark brown glass with small metal pellet in glass After visual examination, several of the resin glasses were analyzed to determine the glass redox ratio. This is an important property for these glasses because of the high organic content of the wastes. Since it is likely that the production-scale processing of the resins would occur in a Joule-heated vitrification unit, an acceptable redox ratio was considered to be between 0.10 and 0.33 for Fe.sup.2+ /.SIGMA.Fe, which is consistent with the operational limits established for the DWPF melter. D. F. Bickford, A. A. Ramsey, C. M. Jantzen, and K. G. Brown, "Control of Radioactive Waste Glass Melters: 1, Preliminary General Limits at Savannah River", J. Am. Ceram. Soc., 73 [10], 2896-2902 (1990). The Fe.sup.2+ /.SIGMA.Fe ratio was determined by the SRTC Mobile Laboratory for several of the glasses using the calorimetric method. As mentioned above, high ratios are not desirable in glass melters due to the potential to reduce elemental or metal oxides in the wastes to pure metals or sulfides, which can settle to the bottom of the melter or interact with melter components, such as electrodes. Theoretically, the measured ratio should be higher for glasses with higher resin loading because of the higher organic content. The Fe.sup.2+ /.SIGMA.Fe ratios determined for several of the resin glasses are given in Table 3. The base glass (without resin added) redox ratio was previously determined to be 0.054. TABLE 3 MEASURED REDOX RATIOS Glass Fe.sup.2+ /.SIGMA.Fe Glass Fe.sup.2+ /.SIGMA.Fe ID Ratio ID Ratio 550-42 0.054 900-42 0.055 550-50 0.259 900-54 0.039 550-54 0.715 900-66 0.074 50-42 0.047 900-70 0.124 50-50 0.119 200-34 0.502 50-54 0.540 200-38 0.532 21-42 0.040 200-38-R 0.269 21-54 0.033 650-42 0.673 21-62 0.054 650-38 0.519 21-66 0.398 650-38-RR 0.330 All of these glasses were considered oxidized with measured redox ratios (Fe.sup.2+ /.SIGMA.Fe) of less than 0.33. This limit is consistent with the operational limits established for the DWPF melter. The redox results indicated that the resins with sulphonic acid as the active group were limited the most in waste loading. When the waste loading was too high for these resin glasses, metal sulfides formed in the matrix and the glasses did not appear homogeneous. For the 21H and 900-OH resin glasses (described in Table 1), the measured redox ratio was not strongly affected by the amount of resin present in the glass. Only a slight increase in the ratio occurred as the amount of resin increased, so very large quantities of the resins could be incorporated in the glass structure. The redox ratios of the 200-H and 650-C resin glasses, on the other hand, were very dependent on the amount of resin present in the glass. A large affect on the redox ratio is shown, even at the low amounts, compared to the base glass redox ratio of 0.054. The glass redox ratio strongly affected the ability of the glass to incorporate the resin and make homogeneous glass. The benefits of longer resin and Fe(NO.sub.3).sub.3 reaction times are seen with the redox ratios of the 200-H and 650-C resin glasses. Glasses with the same resin loadings and longer reaction times had substantially lower measured redox ratios. For the A-550 and IRC-50 resin glasses, the redox ratio gradually increased and became a limiting factor at the 54 gram loadings. Based strictly on the redox ratio results, the optimum waste loadings for the resins would be 50 grams of resin/100 grams of glass for the A-550 and IRC-50 resins, 62 grams of resin/100 grams of glass for the 21 H resin, 70 grams of resin/00 grams of glass for the 900-OH resin, and 38 grams of resin/100 grams of glass for the 200-H and 650-C resins. If the redox ratio was found to be acceptable, the glass was further characterized for chemical composition, crystalline content, and durability. The optimum waste loading glasses were analyzed for chemical composition and selected results provided below in Table 4. The analyses were performed after Na.sub.2 O.sub.2 --HCl dissolution and microwave digestion using Inductively Coupled Plasma-Emission Spectroscopy (ICPES). The glass compositions should be fairly consistent between glasses because the resin solids contribute only a minor amount of material to the glass composition. The analyzed compositions were compared to the base glass composition without resin so the effects of the resin addition could be better quantified. TABLE 4 GLASS CHEMICAL COMPOSITIONS RESULTS (Wt %) Glass ID Al.sub.2 O.sub.3 B.sub.2 O.sub.3 CaO Fe.sub.2 O.sub.3 Na.sub.2 O SiO.sub.2 Base 1.848 9.174 14.565 20.308 8.096 44.162 50-50 4.182 14.676 11.471 17.162 9.660 38.188 550-50 5.105 14.459 11.268 15.772 10.707 36.823 21-62 2.078 15.734 11.863 17.734 10.302 39.865 900-70 4.856 15.508 11.081 17.541 9.953 39.683 200-38-R 6.289 13.971 9.904 16.928 8.931 38.018 650-38-RR 8.305 14.558 9.931 15.936 9.668 37.315 The resin glasses were very similar in composition, but were slightly different from the base glass composition. The glasses contained some amount of Al.sub.2 O.sub.3 contamination from the Al.sub.2 O.sub.3 crucibles used in melting. Higher Al.sub.2 O.sub.3 contamination seemed to be present in the glasses that were allowed to react before melting. The reaction refers to the amount of time that the additives and the resin were allowed to sit in the crucible before heat-up in the furnace and melting occurred. Normally, the resin is added to the glass formers and then added immediately to the furnace for melting. Any significant reaction times prior to placing the compositions in the furnace are noted in Table 2. Since some of the organic break-down occurs as a result of the ferric nitrate additive and not just the melting, the longer the batch materials are co-mingled, the more the break-down will occur. In the melter, this can be controlled by the amount of time that the material sits in the feed vessel before being added to the melter. Melts with the iron-enriched borosilicate composition and resin in platinum crucibles have verified that the Al.sub.2 O.sub.3 was not important for glass formation. However, materials of construction for the melter will have to be selected with the realization that some Al.sub.2 O.sub.3 may be removed and incorporated into the glass matrix. Higher Al.sub.2 O.sub.3 contamination was also seen for the resin-containing glasses, which contributes to the slight difference in composition from the base glass, since higher concentrations of one species would dilute the other major species in the glass. It also appears that the resin glasses were slightly higher in B.sub.2 O.sub.3 and Na.sub.2 O than the base glass composition, which may indicate that the borax additive amount may have been higher than necessary, since borax contributes both of these oxides to the melt. This is possible because waters of hydration are associated with the borax and different forms of borax may have been used when the base glass and these glasses were melted at a different time. For the batch calculations, ten waters of hydration were assumed, so if a different form of borax was used, then higher amounts of B.sub.2 O.sub.3 and Na.sub.2 O in the glass would be possible. Overall, the type of resin did not seem to greatly impact the glass composition. All glasses appeared to be visually homogeneous upon cooling. In order to ensure that no crystalline phases were present, X-Ray Diffraction (XRD) analyses were performed on the optimum waste loading glasses. All glasses were found to be amorphous. To determine the final product durability, the PCT, ASTM C1285-94 was performed on the optimum waste loading glasses. The PCT is a crushed glass leach test that measures the releases of B, Si, Na, and other elements in 90.degree. C. ASTM Type I water over a period of seven days. ASTM C1285-94, "Standard Test Methods for Determining Chemical Durability of Nuclear Waste Glasses: The Product Consistency Test (PCT)", February 1995. Glass samples were run in triplicate for the PCT and the results were averaged and normalized. The normalized PCT results are given in Table 5. The measured leachate pH is also listed, since this provides a secondary indication of durability. The PCT for the base glass composition is given for comparison. Since no acceptance criteria have been established for waste glasses other than HLW glasses, the durabilities of the glasses produced were compared against the HLW criteria which states that the glass produced must be more durable than the EA glass. The accepted values are given in Table 5. TABLE 5 NORMALIZED PCT RESULTS (g/L) Glass ID B Si Na pH Base 0.16 0.07 0.27 9.66 550-50 0.22 0.07 0.50 10.16 50-50 0.19 0.07 0.41 10.05 21-62 0.17 0.07 0.31 9.87 900-70 0.19 0.06 0.48 10.11 200-38-R 0.25 0.07 0.99 10.59 650-38-RR 0.23 0.08 0.90 10.64 EA 16.695 3.922 13.346 11.85 The PCT results were fairly consistent between the different glasses with the different resin types. Most of the glasses had similar elemental releases to the base glass formulation, with Na releases that were slightly higher. The results also indicated that the presence of the resin had little affect on the glass durability performance. The pH results from the PCT also indicated that the glasses had similar durabilities. No apparent trend was observed between the amount of resin in the glass and the PCT release; however a slight increase in B and Na release was seen for the glasses with the higher redox ratios. All glasses had excellent durability compared to the EA glass based both on the normalized releases and on the measured pH. The normalized releases for all glasses were orders of magnitudes less than the EA glass. Based on all of the analytical results, the glasses that were selected as having the optimal waste loading were homogeneous and very durable glasses. The iron enriched borosilicate glass formulation seemed well suited for incorporating these wastes when ferric nitrate is used as the source of iron in the glass formulation. The optimum compositions, as shown in Table 6, were also used to melt doped resin glasses. Dopants used were based on the radioactive contaminants typically found in the Argentine and United States commercial nuclear industry. Analyses have shown that the principal radioactive isotopes of concern were C.sup.60, Cs.sup.137, Cs.sup.134, and Sr.sup.90 of the Argentine nuclear industry. The reference for Argentine contaminants is from a presentation given by the Argentine Nuclear Energy Commission at the second Joint Coordinating Committee for Radioactive and Mixed Waste Management Meeting which occurred in Argentina in November 1996. The principle radioactive isotopes of concern were Mn.sup.54, Co.sup.60, Cs.sup.134, and Cs.sup.137 for United States commercial nuclear industry resins. B. A. Weber, "Direct Vitrification of Fermi 2 Bead Resin", Presented at the Electric Power Research Institute-Vitrification of Low-Level Waste Meeting, San Antonio, Dec. 5-6, 1995. Higher levels of dopants had to be used because of the small amounts of resins that were used in the tests. The actual amounts of the isotopes only equated to very small gram quantities of the elements, which would have been difficult to detect in the glasses when they were analyzed. TABLE 6 RADIOACTIVE CONTAMINANT CONCENTRATIONS (mCi/g) Isotope Actual Amount Dopant Amount Mn.sup.54 5.4 .times. 10.sup.-4 5.4 .times. 10.sup.4 Co.sup.60 5.8 .times. 10.sup.-4 5.8 .times. 10.sup.8 Cs.sup.134 6.2 .times. 10.sup.-4 6.2 .times. 10.sup.5 Cs.sup.137 7.9 .times. 10.sup.-4 7.9 .times. 10.sup.2 Non-radioactive compounds were used as the dopants. These compounds were dissolved in 10 mL of water and mixed with an amount of resin equal to the optimum waste loading for each resin glass. The resins were then mixed with the glass additives and melted at 1150.degree. C. for 4 hours. Once again, the glasses were allowed to cool to room temperature and were then visually examined. The glasses were analyzed for the radioactive element content so the radioactive retention could be determined. The retention numbers are important for determining the amount of radioactive isotopes that will volatilize and have to be captured by the offgas system, and are given in Table 7, below. TABLE 7 CALCULATED RETENTIONS FOR GLASSES Glass ID Cs Mn Co 550-50 73.1% 89.1% 83.3% 50-50 73.5% 81.1% 76.9% 21-62 76.9% 83.5% 81.1% 900-70 100% 84.8% 84.2% 200-38 70.3% 83.3% 86.4% 650-38 72.2% 87.1% 79.0% Information found on other contaminants indicated that iron and sodium may also be present on spent resins. However, since both of these are components of the glass matrix, their presence should not affect the glass forming ability of this waste stream using this glass composition. Thus, no additional amounts of these materials were added to the resins to determine the incorporation ability. The dopant amounts represented levels six to twelve orders of magnitude higher than what has actually been found in industrial resins. All glasses melted with doped resins appeared to be visually homogeneous with no apparent effect on melt behavior or glass formation. The calculated radioactive element retentions were 72-100% for Cs, 81-89% for Mn, and 76-86% for Co. Calculated retentions were fairly consistent from glass to glass. The calculated retentions were consistent with other vitrification processes. One possible explanation for the seemingly low retention values may be the uncertainty about the amount of glass produced. In order to calculate the radioactive material retained in the glass, the total amount of glass produced is used in conjunction with the weight percent of the element found in the glass. During these experiments, the actual weight of glass produced was not measured, but was calculated based on the oxide content of the feed. If the oxide estimate is biased low, then the calculated retentions would also be biased low. Another factor which may have decreased the calculated retentions was the fact that the radioactive surrogates were not processed through a resin column as would be done in practice. Surrogate compounds were dissolved in water and then mixed with the resin, so it is highly likely that the materials were not adsorbed as well as they would be in a resin column and the amount of radioactive material adsorbed on the resin was actually lower than targeted. During the studies, it appeared that most of the Mn and some Co did not adsorb on the resin. With the radioactive materials strongly bonded to the resin, the retentions would be expected to be even higher. Because most of the calculated retentions were less than 90%, an offgas system should be utilized in order to capture these materials. Given that the dopant amounts were orders of magnitudes higher than what has actually been found in industry resins, the amount of material that would be released to the offgas system would be very small. Based on the studies performed with the six resins representative of commercial industry resins, it appears that using a base glass composition consisting of 9.1 wt % B.sub.2 O.sub.3, 14.8 wt % CaO, 22.2 wt % Fe.sub.2 O.sub.3, 8.1 wt % Na.sub.2 O, and 45.8 wt % SiO.sub.2 will produce very durable and homogeneous glasses. When using this formulation, ferric nitrate should be used as the source of Fe.sub.2 O.sub.3 to help with the organic destruction. For the resins, different optimum waste loadings were determined. The optimum waste loading was strongly dependent on the type of resin being vitrified. The waste loadings ranged from 38 to 70 grams of resin/100 grams of glass produced. The associated volume reductions were 28.0-68.3%. These waste loadings and associated volume reductions are higher than what has been seen in previous studies with resin treatment when the iron-enriched borosilicate glass formulation was not used. The resins that were the most difficult to vitrify were the strong cation sulfonated styrene resins. Calculated radioactive material retention varied from 70.3-100% for Cs, 81.1-89.1% for Mn, and 76.9-86.4% for Co. Although some of the radioactive surrogates were not retained in the glass matrix, the vitrification process can be coupled with an offgas system capable of capturing radioactive species in order to increase the effective retention of the process. In order to determine the potential volume reduction of using vitrification treatment on these resins, volume reduction calculations were performed for each resin type given the optimum waste loadings. To do this, the bulk density of each resin was measured and the measured glass density were used. The calculated volume reductions varied from 28 to 68%, and are given below in Table 8. TABLE 8 CALCULATED VOLUME REDUCTIONS Resin Waste Loading Volume Reduction A-550 50 g/100 g 55.9% IRC-50 50 g/100 g 55.9% 21-H 62 g/100 g 63.5% 900-OH 70 g/100 g 68.3% 200-H 38 g/100 g 34.0% 650-C 38 g/100 g 28.0% When the resins are disposed of, they usually have an associated volume of water that requires disposal, which may be absorbed in the resin matrix. Volume reductions for resins that have associated water will be higher because of this extra water, which is evaporated during the vitrification process. Past studies with resins used in similar applications have shown as much as two times the volume of water being associated with a given volume of resin when it is ready for disposal. In another embodiment of the present invention, Ca(OH).sub.2 is used as a glass-forming additive, instead of CaCO.sub.3, in order to minimize foaming in the melter. Using Ca(OH).sub.2 also allows for either higher resin loadings or reduced nitrate emissions, since ferric nitrate reacts with CaCO.sub.3. When Ca(OH).sub.2 is used, substitution of some ferric oxide for the ferric nitrate results in nitrate emissions that are reduced proportionally with the substitution. The resin loading with Ca(OH).sub.2 would vary with the particular resin, and melter demonstrations showed that the glass was very highly oxidized when the hydroxide was used instead of carbonate. This implies that higher amounts of resin could be added since the redox state of the glass was usually the limiting factor for waste loading. It is anticipated that an additional 2 wt % would easily be accommodated. For a given waste loading, 2/3 of the ferric nitrate could be substituted by ferric oxide and still produce acceptable glass with the calcium hydroxide additive. To be conservative, the limit should probably be about half of the Fe.sub.2 O.sub.3 content. This should reduce NO.sub.x emissions in half. During our studies, successive reductions were performed to determine optimum ferric nitrate levels. To ensure the viability of the glass composition for waste treatment, two melter demonstrations were performed. Both used the iron-enriched borosilicate glass composition; however, one used a divinyl benzene polystyrene based resin, while the other used a methacrylic acid based styrene resin. These demonstrations were performed in a small-scale Inconel Stir-Melter.RTM.. The melting temperature was limited to approximately 1050.degree. C. A little over a melter volume of glass was produced in each demonstration. For the demonstrations, the upper limit of the Na.sub.2 O range was used to help lower the glass viscosity (all other additives are proportionally reduced especially SiO.sub.2). Since the Inconel melter is limited in temperature, glass viscosity must be lower to allow for easier processing. In the demonstrations, homogeneous and durable glasses were produced. The glass produced was much more oxidized than what was seen in the crucible studies. This was likely due to the oxygen that was being sparged into the melter. Some problems with foaming were seen in the demonstrations. In the first demonstration, the foaming was seen in the feed tank, which was attributed to reactions between the carbonates and the nitrates in the glass additives. A small amount of the foaming can also be attributed to reaction between the organics in the resin and the nitrates. For the second demonstration, the carbonate from the calcium additive was replaced by hydroxide and the foaming in the feed tank was eliminated. However, foaming was seen in the melter because the conditions were too oxidizing. This indicated that the amount of nitrates added was too high for the amount of resin added. Therefore, some ferric oxide could be substituted for the ferric nitrate or higher amount of resin could possibly be added. In lab studies, 2/3 of the ferric nitrate was substituted without hindering the redox state of the glass. The waste loadings demonstrated were 42 g and 50 g of resin per 100 g of vitreous product or 30 wt % and 33 wt %, respectively. These represented waste volume reductions of 60% and 64%. Surrogate radionuclide retentions were much higher in the melter demonstrations. In both demonstrations, almost 100% retention of Cs was seen. Sr retention in the first demonstration, and Mn retention in the second demonstration, were also almost 100%. Co retention, on the other hand, was approximately 43% for the first demonstration and approximately 93% for the second. The demonstrations showed that vitrification using the iron-enriched borosilicate composition was feasible and little wear on the melter or offgas system was seen. The invention having been described above, various modifications and equivalents thereof will become apparent to those of skill in the art and are intended to be encompassed by the appended claims. |
abstract | The invention is a scanning electron microscope including a switching control unit for controlling to switch at least scanning unit to switch a digital image signal of a low magnification based on a wide image taking field of view to and from a digital image signal of a high magnification based on a narrow image taking field of view from an A/D conversion unit and a beam spot diameter control unit for controlling to switch a spot diameter of electron beam on a surface of an object substrate in controlling to switch the signals by the switching control unit and a defect portion analyzing method using the scanning electron microscope. |
|
claims | 1. An in-process charge monitor and control system ( 32 ) for an ion implanter, comprising: i. a wafer support ( 22 ) upon which a plurality of wafers (W) may be positioned for implantation by an ion beam ( 18 ), said support having portions thereof disposed intermediate adjacent wafers that are more or less electrically conductive than surfaces of said wafers, said wafer support ( 22 ) further having a center ( 31 ) from which each of the plurality of wafers is substantially equidistant, said wafer support further provided with first and second apertures ( 64 , 66 ) disposed substantially equidistant from the said center ( 31 ), first and second electrical charge monitors ( 40 , 38 ) for receiving first and second portions of the ion beam ( 18 a, 18 b ) passing through said first and second apertures ( 64 , 66 ), respectively, and for outputting first and second output signals ( 44 , 42 ), respectively, indicative of an amount of ion beam current received; and ii. a comparator ( 46 ) for comparing said first and second output signals ( 44 , 42 ) and for outputting a third output signal ( 48 ) indicative of a difference in ion beam current received by first and second electrical charge monitors ( 40 , 48 ), wherein said wafer support ( 22 ) is a rotating disk that rotates about an axis that passes through a center ( 31 ) perpendicularly to a plane in which the disk resides, and further wherein said third output signal ( 48 ) is used as an input to a charge neutralization system ( 33 ) of the ion implanter. 2. The in-process charge monitor and control system ( 32 ) of claim 1 , wherein said first aperture ( 64 ) is located closer in proximity to a wafer than said second apparature ( 66 ). claim 1 3. The in-process charge monitor and control system ( 32 ) of claim 1 , wherein said first apparature ( 64 ) and said second aperture ( 66 ) are each located substantially equidistant from a wafer (W). claim 1 4. The in-process charge monitor and control system ( 32 ) of claim 1 , wherein said disk ( 22 ) is constructed of aluminum and wherein the wafers (W) to be implanted have an insulated layer thereon. claim 1 5. The in-process charge monitor and control system ( 32 ) of claim 1 , wherein said third output signal ( 48 ) is used as input to a dose control system ( 35 ) of the ion implanter. claim 1 6. The in-process charge monitor and control system ( 32 ) of claim 1 , wherein said disk ( 22 ) is silicon coated. claim 1 7. An ion implantation system ( 10 ), comprising: (i) an source ( 12 ) for outputting a generally positively charged ion beam ( 18 ); (ii) a charge neutralization system ( 33 ) for producing a supply of low energy electrons for neutralizing the net positive charge of the ion beam; and (iii) an in-process charge monitor and control system ( 32 ), comprising: (a) a wafer support ( 22 ) upon which a plurality of wafers (W) may be positioned for implantation by the ion beam ( 18 ), said support having portions thereof disposed intermediate adjacent wafers that are more or less electrically conductive than surfaces of said wafers, said wafer support ( 22 ) further having a center ( 31 ) from which each of the plurality of wafers is substantially equidistant, said wafer support further provided with first and second apertures ( 64 , 66 ) disposed substantially equidistant from said center ( 31 ); (b) first and second electrical charge monitors ( 40 , 38 ) for receiving first and second portions of the ion beam ( 18 a, 18 b ) passing through said first and second apertures ( 64 , 66 ), respectively, and for outputting first and second output signals ( 44 , 42 ), respectively, indicative of an amount of ion beam current received; and (c) a comparator ( 46 ) for comparing said first and second output signals ( 44 , 42 ), and for outputting a third output signal ( 48 ) indicative of a difference in ion beam current received by first and second electrical charge monitors ( 40 , 38 ), said third output signal ( 48 ) used as an input to the charge neutralization system ( 33 ) to control the supply of low energy electrons produced thereby. 8. The ion implantation system ( 10 ) of claim 7 , wherein said first aperture ( 64 ) is located closer in proximity to a wafer than said second aperture ( 66 ). claim 7 9. The ion implantation system ( 10 ) of claim 7 , wherein said first aperture ( 64 ) and said second aperture ( 66 ) are each located substantially equidistant from a wafer (W). claim 7 10. The ion implantation system ( 10 ) of claim 7 , wherein said wafer support ( 22 ) is a rotating disk that rotates about an axis that passes through center ( 31 ) perpendicularly to a plane in which the disk resides. claim 7 11. The ion implantation system ( 10 ) of claim 10 , wherein said disk ( 22 ) is constructed of aluminum, and wherein the wafers (W) to be implanted have an insulative layer thereon. claim 10 12. The ion implantation system ( 10 ) of claim 10 , wherein said disk ( 22 ) is silicon-coated. claim 10 13. A method of neutralizing charge accumulation on a wafer being implanted, comprising the steps of: (i) providing a generally positively charged ion beam ( 18 ) using an ion source ( 12 ); (ii) providing a charge neutralization system ( 33 ) for neutralizing the generally positively charged ion beam as it is being transported to the surface of a wafer being implanted, by providing a supply of low energy electrons for neutralizing the net positive charge of the ion beam; (iii) positioning a plurality of wafers about the periphery of and equidistant from the center ( 31 ) of a rotating support ( 22 ), said support ( 22 ) having portions thereof disposed intermediate adjacent wafers that are more or less electrically conductive than surfaces of said wafers, said wafer support further provided with first and second apertures ( 64 , 66 ) disposed substantially equidistant from said center ( 31 ); (iv) monitoring first and second portions of the ion beam ( 18 a, 18 b ) passing through said first and second apertures ( 64 , 66 ); (v) outputting first and second output signals ( 44 , 42 ), respectively, indicative of an amount of ion beam current detected in said first and second portions of the ion beam; (vi) comparing said first and second output signals ( 44 , 42 ) and outputting a third output signal ( 48 ) indicative of a difference therebetween; and (vii) inputting said third output signal ( 48 ) to the charge neutralization system ( 33 ) to control the supply of low energy electrons produced thereby. 14. The method of claim 13 , wherein said rotating disk ( 22 ) is constructed of aluminum, and wherein the wafers (W) to be implanted have an insulative layer thereon. claim 13 15. The method of claim 13 , wherein said rotating disk ( 22 ) is silicon-coated. claim 13 16. The method of claim 13 , wherein said first aperture ( 64 ) is located closer in proximity to a wafer than said second aperture ( 66 ). claim 13 17. The method of claim 13 , wherein said first aperture ( 64 ) and said second aperture ( 66 ) are each located substantially equidistant from a wafer (W). claim 13 |
|
050948059 | abstract | A fuel assembly for a pressurized water reactor includes fuel assembly edges and a fuel assembly interior. A bundle of mutually parallel fuel rods with cladding tubes each contain nuclear fuel. A given number of guide tubes are provided for control rods. A support structure includes an upper end with a fuel assembly head, a lower end with a fuel assembly foot, and a plurality of spacers disposed perpendicular to the fuel rods. The spacers have a uniform grid structure with edge meshes formed therein at the fuel assembly edges and inner meshes formed therein in the fuel assembly interior. Each of the inner meshes are surrounded by four respective immediately adjacent meshes each having the same area and each containing a respective one of the guide or cladding tubes or an instrumentation tube. At least one of the four meshes immediately adjacent each given inner mesh with a guide tube, contains a fuel rod cladding tube with a periphery being closer to the guide tube than the fuel rod cladding tubes in the remainder of the four meshes. The cladding tube of the fuel rod in the at least one mesh immediately adjacent the given inner mesh with a guide tube, may have a larger outside diameter than a fuel rod in an edge mesh and/or it may have a central axis being closer to the guide tube than the center of the at least one mesh. |
053848136 | claims | 1. A storage container for storing at least one nuclear fuel assembly comprising: a tubular housing having at least one substantially planar exterior surface; at least one damping slab, having at least one substantially planar surface and at least one peripheral edge bounding said at least one substantially planar surface, and retaining means for supporting said damping slab with said substantially planar surface of said damping slab adjacent to said exterior surface of said tubular housing, and for preloading said damping slab against said exterior surface, said retaining means having at least one fixed portion and at least one free end extending from said fixed portion, said fixed portion being securely least one exterior surface, and said free end overlying said damping slab adjacent said peripheral edge and preloading said damping slab against said exterior surface. said damping slab includes top and bottom opposing edges and said retaining means are located adjacent said top and bottom opposing edges. said damping means includes two opposing lateral side edges and said retaining means are located adjacent both of said lateral side edges. said damping slab includes top and bottom opposing edges and two opposing lateral side edges, and said retaining means are located adjacent said top and bottom opposing edges and said lateral side edges. said retaining means include a plurality of elongated clip elements, each elongated clip element having an elongated free end and an elongated fixed portion. said elongated clip element comprises a polygonal shaped plate having an S-shaped bend forming said free end and said fixed portion, said fixed portion extending from one side of said S-shaped bend along a first plane, and said free end extending from an opposite side of said S-shaped bend along a second plane offset from and substantially parallel to said first plane. said retaining means include a plurality of elongated clip elements, each elongated clip element having an elongated fixed portion, a first elongated free end extending from one side of said elongated fixed portion and a second elongated free end extending from an opposite side of said elongated fixed portion. said elongated clip element comprises a polygonal shaped plate having two S-shaped bends forming said first elongated free end, said second elongated free end and said fixed portion, said fixed portion extending from one side of a first S-shaped bend along a first plane to one side of a second S-shaped bend, and said first elongated free end extending from an opposite side of said first S-shaped bend along a second plane offset from and substantially parallel to said first plane, and said second elongated free end extending from an opposite side of said second S-shaped bend along said second plane offset from and substantially parallel to said first plane. said elongated clip element comprises a polygonal shaped plate having two S-shaped bends forming said first elongated free end, said second elongated free end and said fixed portion, said fixed portion including a substantially right angle bend, said fixed portion extending from one side of a first S-shaped bend along a first plane to said right angle bend along a second plane substantially perpendicular to said first plane and extending to one side of a second S-shaped bend, and said first elongated free end extending from an opposite side of said first S-shaped bend along a third plane offset from and substantially parallel to said first plane, and said second elongated free end extending from an opposite side of said second S-shaped bend along a forth plane offset from and substantially parallel to said second plane. an array of storage compartments, each of said storage compartments comprising: a tubular housing having at least one substantially planar exterior surface, at least one damping slab, having at least one substantially planar surface and at least one peripheral edge bounding said at least one substantially planar surface, and retaining means for supposing said damping slab with said substantially planar surface of said damping slab adjacent to said exterior surface of said tubular housing, and for preloading said damping slab against said exterior surface, said retaining means having at least one fixed portion and at least one free end extending from said fixed portion, said fixed portion being securely fastened to said at least one exterior surface, and said free end overlying said damping slab adjacent said peripheral edge and preloading said damping means against said exterior surface. said retaining means is located at discrete positions along the perimeter of said substantially planar surface. the storage rack further comprises upper and lower end portions; and support means for strengthening the upper and lower end portions of the storage rack. the support means include recess means for positioning the storage compartments in alignment. each storage compartment housing extends along a central axis; and said support means position each storage compartment to align the central axis thereof in parallel relation with each adjacent storage compartment. at least one storage compartment has lateral surfaces defined by exterior surfaces of a plurality of adjacent storage compartments. at least one storage compartment is defined by three or more adjacent storage compartments. A. an elongated tubular housing extending along a housing axis and having a polygonal cross-section transverse to said housing axis, said housing having at least three lateral sidewalls, at least one of said sidewalls having a substantially planar exterior surface extending substantially parallel to said housing axis, defining a volume interior to said housing for receiving a nuclear fuel rod, B. one or more elongated damping elements, each of said damping elements being associated with one of said sidewalls having said substantially planar surface, and each of said damping elements having at least one substantially planar lateral surface extending in the direction of elongation of said damping element, and C. one or more clamp assemblies including means for biasing each of said damping elements against its associated sidewall with said planar surface of said damping element being adjacent to said planar surface of said sidewall, each said biasing means having at least one fixed portion and at least one free end extending from said fixed portion, said fixed portion being securely fastened to said at least one exterior surface, and said free end overlying said damping slab adjacent said peripheral edge and preloading said damping slab against said exterior surface. A. a plurality of compartments, each of said compartments including: B. matrix means for establishing the relative position of said compartments whereby each said housing is fixedly positioned with respect to each other with said housing axes mutually parallel. 2. A storage container according to claim 1 wherein said damping slab comprises a neutron-absorbing material. 3. A storage container according to claim 1 wherein said damping includes means for effecting coulomb damping of vibration in said housing. 4. A storage container according to claim 1 wherein said damping slab further comprises stiffening means for reinforcing said tubular housing, 5. A storage container according to claim 1 wherein said damping slab extends substantially over the entirety said substantially planar surface. 6. A storage container according to claim 1 wherein: 7. A storage container according to claim 1 wherein: 8. A storage container according to claim 1 wherein: 9. A storage container according to claim 1 wherein: 10. A storage container according to claim 9 wherein: 11. A storage container according to claim 1 wherein: 12. A storage container according to claim 11 wherein: 13. A storage container according to claim 11 wherein: 14. A storage rack for storing nuclear fuel assemblies comprising: 15. A storage rack according to claim 10 wherein: 16. A storage rack according to claim 10 wherein: 17. A storage rack according to claim 13 wherein: 18. A storage rack according to claim 13, wherein: 19. A storage rack according to claim 10, wherein: 20. A storage rack according to claim 10, wherein: 21. A compartment for supporting therein an elongated nuclear fuel assembly, comprising: 22. A compartment according to claim 21 wherein said housing has four lateral sidewalls which define said interior volume, said interior volume having a substantially square cross-section transverse to said housing axis. 23. A compartment according to claim 21 wherein said housing has three lateral sidewalls which define said interior volume, said interior volume having a substantially triangular cross-section transverse to said housing axis. 24. A compartment according to claim 21 wherein said housing has six lateral sidewalls which define said interior volume, said interior volume having a substantially hexagonal cross-section transverse to said housing axis. 25. A compartment according to claim 18 wherein said clamp assemblies include a plurality of biasing means affixed to said sidewalls at discrete perimeter locations of said damping elements. 26. A compartment according to claim 21 wherein said damping elements are constructed from a neutron absorbing material. 27. A compartment according to claim 26 wherein said neutron absorbing material is selected from the group consisting of borated stainless steel, boral, and borated aluminium. 28. A rack matrix assembly for supporting therein a plurality of elongated nuclear fuel assemblies: 29. A rack matrix assembly according to claim 26 wherein said matrix means includes means for positioning each said housing in a close-packed array. 30. A rack matrix assembly according to claim 27 wherein said matrix means includes means for positioning each said housing whereby the lateral sidewalls of three or more of said housings define an elongated volume therebetween having substantially the same polygonal cross-section as said polygonal cross-section of said housing, said elongated volume for receiving a nuclear fuel rod. 31. A rack matrix assembly according to claim 33 wherein said housing has four lateral sidewalls which define said interior volume, said interior volume having a substantially square cross-section transverse to said housing axis. 32. A rack matrix according to claim 29 wherein the lateral sidewalls of four of said housings define said elongated volume. 33. A rack matrix assembly according to claim 30 wherein said housing has three lateral sidewalls which define said interior volume, said interior volume having a substantially triangular cross-section transverse to said housing axis. 34. A rack matrix according to claim 33 wherein the lateral surfaces of three of said housings define said elongated volume. 35. A rack matrix assembly according to claim 30 wherein said housing has six lateral sidewalls which define said interior volume, said interior volume having a substantially hexagonal cross-section transverse to said housing axis. 36. A rack matrix according to claim 35 wherein the lateral surfaces of six of said housings define said elongated volume. 37. A rack matrix assembly according to claim 28 wherein said housing has four lateral sidewalls which define said interior volume, said interior volume having a substantially square cross-section transverse to said housing axis. 38. A rack matrix assembly according to claim 28 wherein said housing has three lateral sidewalls which define said interior volume, said interior volume having a substantially triangular cross-section transverse to said housing axis. 39. A rack matrix assembly according to claim 28 wherein said housing has six lateral sidewalls which define said interior volume, said interior volume having a substantially hexagonal cross-section transverse to said housing axis. 40. A rack matrix according to claim 26 wherein said clamp assemblies include a plurality of biasing means affixed to said sidewalls at discrete perimeter locations of said clamping elements. 41. A rack matrix according to claim 28 wherein said damping elements are constructed from a neutron absorbing material. 42. A rack matrix according to claim 41 wherein said neutron absorbing material is selected from the group consisting of borated stainless steel, boral, and borated aluminium. |
055725635 | abstract | A mirror unit includes a mirror having a reflecting surface, a holding member for supporting the mirror and an airtight chamber incorporating the mirror, supported by the holding member, in an airtight state. Either the mirror or the holding member constitutes a portion of a side wall of the airtight chamber. The mirror unit can be suitably used in an exposure apparatus which uses a synchrotron radiation light source or the like as a light source. |
abstract | The present disclosure provides a cooling system of an emergency cooling tank, which enables long-term cooling without refilling cooling water, in case of the change in a quantity of heat transferred to the emergency cooling tank according to a lapse of time upon an occurrence of an accident of a nuclear reactor, and a nuclear power plant having the same. The emergency cooling tank cooling system includes an emergency cooling tank, a heat exchanging device installed to be exposed to an outside of the emergency cooling tank to operate in air, and configured to externally emit such that the operation of the emergency cooling tank is continued even without refilling the cooling water, and an opening and closing unit installed at an upper portion of the emergency cooling tank to be located higher than a water level of the cooling water. |
|
description | This application claims the benefit of U.S. Provisional Patent Application Ser. No. 61/456,001 filed Oct. 28, 2010, and is hereby incorporated by reference. This invention was made with government support under Contract Number DE-AC07-ID14517 awarded by the United States Department of Energy. The government has certain rights in this invention. A method and an apparatus using aqueous fixatives for fogging of ventilation ductwork, enclosures, or buildings containing dust, lint, and particulates that may be contaminated by radionuclides and other dangerous or unsafe particulate contaminants, which method and apparatus are capable of (1) obtaining full coverage within ductwork and buildings, (2) penetrating and fixing the lint, dust and large particles present in ductwork and buildings so that no airborne particles are released during or after the application of the fixative. The method and apparatus of the present invention is motivated by the need for safe and effective Deactivation and Decommissioning (D&D) of ventilation ductwork, enclosures, and buildings containing dust, lint, and particulates that prevents the release of radionuclides and other dangerous or unsafe particulate contaminants. Numerous examples exist of using fogging techniques to fix radionuclide contamination for a variety of situations. However, these techniques tend to work only on thin layers of contamination, and historically, they have not been effective on large particles such as lint and dust trapped in ducts of interest. The invention described herein is novel and has not been attempted before. Contamination control is crucial during D&D activities, as well as during modifications to existing systems. In several of the older processing facilities, it is well known that contamination, in some cases substantial contamination, is present throughout the exhaust ductwork due to the years of processing in glove boxes, etc. A critical area of concern during the decommissioning of radioactive facilities is the prevention of the spread of radiological contamination. This is more serious for areas where large accumulations of radioactive dust and lint are present that have the potential to “go airborne”, such as disposal site exhumation, laundry facilities, exhaust ventilation ducting and exhaust stacks. In a recent demolition of large ventilation ducting (about 10-ft cross section and 100-ft long) at the US Department of Energy's (DOE's) Brookhaven National Laboratory, a spray coating was applied to the duct from inside using a painter dressed in anti-contamination clothing and an airline respirator system. A different scenario at the U.S. DOE's Idaho National Laboratory (INL) required that a backhoe operator be placed in a contamination proof, positive pressure cab to prevent airborne contamination. In the case of the Idaho example, the required change of operators (required every two hours due to limitations using positive pressure system), and the anti-contamination clothing alone amounted to thousands of dollars per day. In another case at the Idaho Site, there were significant accumulations of lint at a radioactive laundry. Traditional methods of capturing the lint prior to decommissioning, like simple glycerin fogging methods, were not successful, because they did not penetrate and bind the lint (see FIG. 1). The duct 1 shown in FIG. 1 is contaminated with lint 2, 3, 4, 5 attached to the wall and needs to remain attached to the wall 1 of the duct 1 during decommissioning. Some of the lint is large 4, 5 and hangs from the walls. The estimated loss of productivity typically exceeds fifty percent on most of the projects when respiratory protection required. These conditions exist in DOE Facilities where airborne contamination mandates the use of costly contamination controls and significantly reduces worker productivity. There have been attempts of applying a coating in the past. However, these attempts have not been successful in penetrating and adhering contaminated lint and dust to the ductwork. As a result, that contamination was not fixed and ultimately became airborne when it was disturbed. Improved capture coating formulation will penetrate large amounts of lint and dust present in the ductwork and make the lint and dust, and any radioactive contaminants trapped in the lint and dust, adhere to the substrate material to allow ducting to be removed and compacted without spreading contamination. Since a “fog” acts as a gas, it can be introduced into the ductwork at a very low pressure and low velocity and will travel throughout the ducting with only an entry and exit point. The fogging has a number of operational advantages over spraying, which requires a spray hose and nozzle to be inserted and moved along the ducting. The spraying method has the potential of disturbing the contamination during the spraying itself, which depends on the pressure and velocity at which it is implemented. The current glycerin-based capture coatings are formulated to remain tacky for prolonged periods, allowing any re-suspended contaminant particles to become captured when they contact the surface. However, this coating is formulated only to remove airborne contaminants and will not soak into the lint and dust deposited on the ducting surface. By formulating a new capture coating (containing surfactants and other components) and ensuring the delivery method supplies adequate amounts of the coating, as well as providing adequate coverage of the entire surface area of the ductwork, this new capture coating will reduce or eliminate the requirement for glovebags and extensive contamination control during cutting and removal of ductwork. This control is achieved by fixing the contaminants to the surface of the duct so that the danger of creating airborne contaminants is reduced. There are a number of technologies that have been used for this application with limited success. They are: Spraying the fixative into the ductwork Although the fixative could be sprayed into the ductwork, rather than being applied in a fog through the ventilation system, there are several disadvantages with this approach. This method of application might require access to the ductwork in several different locations to obtain full coverage. This would require inserting the spray nozzle/wand and then applying the fixative to the local area. In some instances, the ductwork could be highly contaminated and even though physical access is available, it may not be allowed due to the radioactive field present. Therefore, in those instances of high contamination, the spray method may not be allowed. Once the nozzle/wand is inserted into the ductwork, the potential spread of contamination exists during the removal of the nozzle/wand. This would also require bagging the equipment during removal, and continuous monitoring during the application to assure workers are not contaminated. Vacuuming/cleaning the ductwork prior to removal. Although this method is used widely in both the residential and commercial sector, it is considered unacceptable for most radionuclide applications. The main reason is because the material that is removed during the vacuuming/cleaning is contaminated. Therefore, a new waste stream has been generated and now must be disposed of properly. Furthermore, a risk of contamination to workers due to radiation exposure and also the potential for the spread of that contamination outside the ductwork exists during the vacuuming/cleaning. In addition, depending on the amount of contamination and the type of contamination, criticality could be an issue due to the method of capture of the contamination and the configuration of that capture device. Wetting down the inside of the ductwork with water. Again, although this method is widely used in the D&D of facilities to help reduce the potential airborne constituents during massive demolition, this method could also result in an additional waste stream, and the potential for increased airborne contamination during the application of the water depending on the quantity and method of the water applied. Also, depending on what contaminants are present in the ductwork, introducing water to some of those contaminants could result in severe chemical reactions. Those reactions could include an over-pressurization of the system, explosion, or fire. Furthermore, for those instances where a modification is being made to the system, rather than demolition of the entire system, the addition of water into the ductwork is unacceptable due to the numerous adverse affects of that water into the ventilation system and components (e.g., HEPA filter wetting). A combination technique involving fogging and coating of contaminants. A combination technique was used successfully at the East Tennessee Industrial Park on the decommissioning project in Irwin, Tenn. Fogging was used to reduce contamination and spraying was used to coat and lock the contaminant in place. The invention proposed herein addresses the deficiencies of and greatly enhances the performance of fogging methods in terms of reducing or eliminating contamination and increasing worker safety, particularly where capture coatings are to be fogged into dusty areas and ductwork. While testing has been performed to prevent the generation of airborne radioactive contamination, none dealt directly with the problem of penetrating significant quantities of dust and lint, and then capturing them in one step. A fog behaves similar to a gas. As a consequence, it can be introduced into ductwork at low pressure and low velocity. The approach was to use a more sophisticated solution containing a sticky base and a surfactant to increase the penetration and capture of dust and lint. A wide range of laboratory tests were conducted with the following criteria to improve the fogging fixative: ability to produce a fog, ability to penetrate a consistent simulated lint coupon, ability to maintain a sticky surface over a period of hours, ability to wet the surface of the lint/dust, and ability to prevent dust generation after dry. The fogging technology has the potential to be applied across a variety of industries. DOE Decontamination. Several DOE site facilities throughout the United States that supported the Cold War and other research type missions are currently going through the decontamination process. As was the case for the commercial applications, the solution developed can be applied into the ventilation system, pipes, and rooms to fix contamination that has accumulated over years of operation at these facilities. The contamination can be both nuclear, as well as chemical. In either case, airborne release that occurs when the contamination is disturbed is a concern. Again, since the fogging method of this invention can be done remotely, the exposure of the worker to the contamination is eliminated. Also, since the key to the fixative solution described herein is the capability to penetrate and then fix the contamination at a very low pressure and velocity, this separates it from the other type fogging processes currently being used. Commercial nuclear industry. Some commercial nuclear plants have been shut down and de-commissioned. During the decommissioning phase, contamination control is crucial. Whether it be dismantling of contaminated ductwork, piping, or rooms, the control of airborne contamination is necessary to assure the spread of the contamination does not occur. In almost all instances today, workers manually apply some type of fixative in an attempt to control the spread of contamination. In some cases, this works very successfully; however, since the application is being applied manually, exposure risks are a concern from a safety standpoint. Also, in some instances, the fixative does not penetrate the contamination completely. As a result, once the contamination is disturbed some of that contamination still becomes airborne. Without having some type of means to penetrate the contamination and to ensure it is fixed to the material, the spread of that contamination is inevitable. With the new fogging method of this invention, having the capability to penetrate the contamination allows the dismantling of the facility in a quicker and safer manner. In addition, the new fogging solution described herein can be applied remotely without the need for manual application, eliminating the exposure safety concern. Chemical plant demolition. There are several chemical plants throughout the world generating chemicals either as a by-product during the operation of the facility, or as the main product of production. In either case, when the plants are shut down, for any reason, demolition of the facilities is necessary. As is the case in all these examples, fixing the chemical agents to the ductwork, pipe and walls becomes crucial in eliminating the potential airborne release of these chemical agents once they are disturbed. In some instances, the buildup during the years of operation of these facilities could be significant. As a result, the capability to penetrate into the agent to ensure it is fixed and to perform this remotely without jeopardizing exposure concerns is a must. The fixative solutions described herein have this capability and could prove to be a key contributor in eliminating airborne releases in many different applications. Asbestos abatement One of the major concerns with asbestos is the small fibers becoming airborne and entering the lungs. The new fogging described herein could be applied into a room where asbestos is located. The solution would penetrate into the surface of the asbestos and would not allow the fibers to become airborne. Thus, creating a shell type configuration preventing the release of the asbestos fibers and allowing the removal of the asbestos under safer conditions. In some instances, paint is applied to the asbestos to create a similar type of configuration. However, the current method of application of the paint still requires workers to be in the room during the application, whether it be sprayed on to the surface of the asbestos, or applied by hand. Either way, manned entry is necessary. With the fixative solutions described herein, a fog could be applied to the room, even focusing the application to the specific area remotely. Again, this limits the exposure concern. National Security Building Decontamination Support. In the event of a terrorist act, where an agent of some type (chemical or radiological) is introduced into a building through the ventilation system, the fogging solution described herein could be applied into the building ventilation system, to penetrate, capture and fix the agent to the surface. At that time, decontamination efforts can commence in a safer environment without the concern of further spread of the agent through the building or outside of the building. Thus, this eliminates the exposure risk to the workers performing the decontamination, and also, to the general public near the building. In addition, if the agent introduced did spread throughout the building and the building was a complete loss, the solution could be applied through the ventilation system into the building also penetrating, capturing and fixing the agent to the building surfaces. This would eliminate any airborne contamination from spreading during the building demolition. Naval Decommissioning. Again, this technology can be used throughout the Naval decommissioning process, in the event various types of contamination has occurred, to fix contamination to the surface to allow for a safer and faster type of dismantling. Since it can be applied remotely at low pressures and velocities, it will eliminate the exposure of the worker and also the spread of contamination during the application of the solution. The proposed invention described herein, mainly using latex paint as one of the constituents of the aqueous fixative solution, while very simple, has not been used before. It will result in a more efficient industrial process, eliminate the need to pre-sweep or vacuum exhaust ducts, thus reducing waste, minimize the potential spread of contamination, minimize the need costly anti-contamination protective equipment for workers, and increase worker productivity. It is the object of this invention to provide a method and an apparatus for fogging ventilation ductwork, enclosures, rooms, or buildings containing dust, lint, and particulates with aqueous fixatives that will prevent or reduce the amount of lint, dust, and large particles released as airborne particles during or after the application of the fixative. It is another object of this invention to provide aqueous fixative solutions that will fog, wet, penetrate, and bind lint, dust, and particulates within ductwork, enclosures, or buildings. The method and apparatus of the preferred embodiment of the present invention is to use an aqueous solution comprised of Ammonium Lauryl Sulfate (ALS), sodium lauryl sulfate (SLS), glycerin, and latex paint that can be used to fog ductwork, enclosures, rooms, or buildings with a coating that will wet, penetrate, and bind large amounts of lint, dust, or particulates residing in the structures to the substrate material and prevent or minimize the release of airborne particulates containing radionuclides or other dangerous or unsafe contaminant particulates during or after the application of the fixative. This solution is comprised of a surfactant to aid wetting and penetration of the lint and dust, a binder to stabilize loose or respirable particles, and an agent to aid in fogging and enhance adhesiveness. Since a fog behaves similar to a gas, it can be safely introduced into ductwork at low pressure and low velocity. The addition of latex paint greatly improves the performance of the fogging solutions used presently in these applications. The initial application of this invention is for the safe removal and compaction of ductwork containing radionuclides during D&D operations without spreading airborne contamination. The method and apparatus of the present invention is motivated by the immediate and ongoing need to decommission DOE facilities, which may have ductwork, enclosures like hot cells, and buildings that may be contaminated with radionuclides or other dangerous or harmful chemicals. The purpose of fogging is to penetrate and coat the dust and lint present in the duct to minimize the airborne contamination released during D&D activities. FIG. 2 illustrates commercially available industrial fogging equipment called a Passive Aerosol Generator (PAG). In FIG. 2, an overview 10 of the fogging approach is illustrated. The PAG 11 is shown set up to fog a potentially contaminated enclosure 12 using a fogging solution. The fogging solution is used by the PAG 14 to go as a fog 15 to the contaminated region of interest 16. The PAG shown uses kilowatt-sized ultrasonic transducers to generate a fog in the ductwork. This equipment was used to evaluate the new aqueous fixatives described herein. Laboratory Tests of Fogging Solutions. A series of laboratory tests were performed to develop new formulations for the capture coatings using sophisticated binders and surfactants that perform better than the representative baseline coatings for penetrating dust, lint, and other particulates. Different surfactants, binders, and other components were tested on laboratory samples of lint, dust, and simulated contamination independently and in combination. Initial scoping studies consisted of spraying many different types of these solutions onto pads of lint contained in plastic beakers from a height of 20 inches. The distance and amount of spray (via number of pumps) were held constant. FIG. 3 shows the results of one of these tests in which a lint pad 20 was coated with a binder called polymeric barrier system (a proprietary formula by Bartlett Services, Inc., with trade name PBS) 21. The purpose of these tests was to determine whether or not the solutions were able to capture and contain dusty lint-type contaminants. Metrics used to evaluate these tests included the ability of the solution to penetrate the top layer of lint, contain dust, and generally bind the material. Based on the results of these simple spray tests, the solutions with promising characteristics underwent further evaluation in the laboratory using a fogging test apparatus. Some solutions, like a polyvinylbutyral strippable coating (a proprietary formula called Stripcoat TLC™ by Bartlett Services, Inc.) (#101), were not stable in solution with surfactants and did not perform beyond initial tests. Table 1 shows the results of some single chemical (double digit) and combined chemical (triple digit) tests. Tables 1 and 2 show the results for some of the solutions used in the fogging tests. A pneumatic nebulizer, which is used in inhaler devices in the medical industry, was then used to simulate in the laboratory an industrial fogging method during the evaluation of the most promising fixative solutions. FIG. 4 shows a schematic of the pneumatic nebulizer fogging apparatus 30 used in the laboratory tests, and a layout of the apparatus 50. The aqueous fogging solution 39 is allowed to enter the nebulizer at a set rate through the plastic tubing 43 using the throttle valve 40. The air pump 42, 57 is attached to the nebulizer 41 and a fog 38, 55 is produced in the acrylic tube 37, 54 connected to the fogging tray 35, 52. The fog 55 entering the tray 35, 52 will coat the laboratory coupon pad 53. The water jet educator 31 takes the excess liquid from the tray 35, 52 and the off-gas line 34, 51 and deposits the excess liquid in the container 33. TABLE 1Presentation of Some of the Laboratory Test ResultsWetnessStickinessDustinessPenetrationSolutioninitialfinalinitialfinalinitialfinalinitialfinal #11(50% Mod Podge) (spray test)•⊙⊙⊙⊙⊙○○ #12(50% LP) (spray test)⊙○○○⊙⊙○○#101(10% ALS/50% TLC)*•○⊙○⊙⊙○○#10210% ALS/50% PBS)*•○•○⊙⊙○○#103(10% SLS/50% TLC)*•⊙•⊙⊙⊙○○#104(10% SLS/50% PBS)*•⊙•⊙⊙⊙○○#105(5% SLS/50% Mod Podge)*⊙○⊙○⊙⊙○○#106(10% ALS/50% LP)*⊙○⊙○⊙⊙○○#107(10% Silvex/50% PBS)*⊙○•○⊙⊙○○#109(0.5% SLS/2% PBS)*⊙○⊙○○○○○#110(5% ALS/6% Mod Podge)*⊙○○○○○○○#111(5% ALS/5% LP)*⊙○⊙○○○○○#112(50% Applebright)*○○○○⊙⊙○○#113(3% Glycerin)*○○○○⊙⊙○○#114(14 Glue/6% ALS)*⊙○••⊙⊙○○#115(9% ALS/15% Posifix)*⊙⊙⊙○⊙⊙○○#201(baseline glycerin) 8 hr⊙⊙○○○○⊙⊙#202(7% ALS, 20% PBS) 8 hr⊙○⊙○○○⊙⊙#203(7% ALS, 20% LP) 8 hr⊙○⊙⊙••••#204(5% SLS/10% Latex, 10% Gly) 8 hr⊙○⊙⊙⊙•••#206(7% ALS, 5% GLY, 5% LP) 8 hr⊙○⊙⊙⊙•••Acronyms are provided below.No change = ○,Improvement = ⊙,Best result = •,*fogging results for 4 hoursWetness = beading on surface compared to water (initial wetting best, final (dried) wetting not necessary),Stickiness = adhesion between thumb and forefinger,Dustiness = flick with finger under light,Penetration = solution penetrates well into lint (in best case through coupon) TABLE 2Results of the Nebulizer Laboratory TestsSampleSolutionWetnessStickinessDustinessPenetrationNotesDate2 hr#201 110000222000Oily Easy to “meter”Jan. 30, 20078 hr(Baseline)N/A10.5N/A00N/A0.50.5N/A222 hr#202000000222000After 2 has of spraying, 2 hrJan. 29, 20078 hrN/A10N/A10N/A11N/A11sample was dry to touch2 hr#20310002200Easy to “meter”Feb. 1, 20078 hrN/A1N/A0N/A0N/A12 hr#20410.50 0.500222000Dry but oily (2 hr sample at Jan. 31, 20078 hrN/A10N/A00.5N/A0.50N/A12T = 8 hrs) Easy to clean-up2 hr#2058 hrWetness => Compared to waterStickiness => small amount between thumb and forefingerDustiness => flick with finger under light (0 means no dust)Penetration => “0” means solution does not penetrate upper feltPenetration => “1” means solution penetrates upper feltPenetration => “2” means solution penetrates base of felt leaving colored spots on white card The results are presented and discussed below. For reference the Baseline/Conventional Fogging Solution was died red. The Fogging Solution #204 was died blue and the Fogging Solution #212 was dyed yellow. In FIG. 5, the results for fogging solutions, #206, demonstrated improved performance over the glycerin baseline fogging material (#201). (Note that Solutions #212 and #206 are similar.) This can be seen by comparing the coverage of the coupon 60 with fogging solution #206 and the coverage of the coupon coverage 61 with fogging solution #201. These new fogging solutions contain a surfactant to aid wetting and penetration of the lint and dust, a binder to stabilize respirable particles, and an agent to aid in fogging and enhance adhesiveness. Based on these tests, which compared the following properties, wetness, stickiness, dustiness, and penetration, it became clear that there are a number of improved fogging capture coatings that outperform the glycerin baseline fogging agents being used operationally today. These coatings contain a surfactant intended to improve wetting of the lint and dust, a binder to stabilize loose particles, and an agent to aid in fogging and enhance stickiness. More importantly, all of the chemical additives are composed of common materials found in paint and shampoo, and none are considered harmful or hazardous (beyond being irritants in higher concentrations). The solutions are aqueous and generally clean up with soap and water. They show superior penetration into lint coupons exposed in the fogging system and contain talc dust (added to the coupons prior to fogging) much better than the baseline solution. In fact, no talc dust can be seen on the lint coupons treated with the two candidate solutions. FIG. 6 shows four felt sample coupons 70, 71, 72, 73 after fogging for eight hours. Two of the coupons 70, 71, one covered initially with talc powder 71 and one not covered with talc 70, showed the results for fogging solution #204. The other two coupons 72, 73, one covered initially with talc powder 73 and one not covered with talc 72, showed the results for the glycerin baseline fogging solution #201. The test results show superior penetration into both blue coupons, where the blue-colored coupon was fogged with solution #204 containing blue dye, and the red-colored coupon was fogged with the baseline agent containing red dye. Additional coupons were covered with a powder 71, 73 prior to fogging to simulate dust. After fogging the coupons for 8 h, those covered with powder and fogged with these solutions were able to contain dust much better than the baseline solution. Additional penetration testing was performed to evaluate the absorption characteristics of the new formulations. FIG. 7 shows the results of fogging for 16 hours for solutions #204 (blue) 80, 81, 82 and #212 (yellow) 86, 87, 88 versus the baseline solution of #201 (red) 83, 84, 85. The test results showing a side view of the coupons 81, 82, 84, 85, 87, 88 reveal improved penetration characteristics of the new formulations. The penetration depth for solution #207 (blue) 82 can be seen on the side view 81 of the coupon. The penetration depth for solution #212 (yellow) 88 can be seen on the side view 87 of the coupon and it has better cover than either of the other two fogging solutions. The penetration depth for the glycerin baseline solution #201 (blue) 85, which can be seen on the side view 84 of the coupon, is very poor compared to solutions #212 and #207. The coverage can also be seen in the top views of the coupons 80, 83, and 86, where solution #212 (yellow) 86 exhibits the best coverage. Fogging solution #207 (blue) also has better coupon coverage 80 than the baseline solution #201 (red) 83. Additionally, unlike the baseline solution shown in red, which maintains an oily surface, a crusty layer forms on the top of the coupon fogged with the enhanced solutions. This crusty surface inhibits the release of any foreign particles into the air. These results are conservative, because the samples shown were made of a batting material used for pillows and lawn furniture that is water resistant. Fogging Test in a Waste Container. On 26 Feb. 2007, the Idaho National Laboratory (INL) performed a fogging test in a 6-ft by 6-ft by 4-ft waste container 90 as a precursor to fogging a small hot cell and agreed to use our Fogging Solution #206, which was named #212 for these tests, vice a traditional glycerin fogging solution. A 4- to 8-h test was planned, but had to be cut short to 1.5 h. This was the first test of the new fogging solution used with full-scale fogging equipment 91 in a large container. Because of our ad hoc participation, no attempt was made to optimize the fogging operations. The solutions were not optimized for use in the commercial fogging equipment, but the results of this pilot scale test showed (1) that the solution was able to fog and (2) that the fogging was able penetrate the lint coupons placed in the waster container. As will be discussed, further laboratory testing of this solution at 1.5 h, 4 h, 8 h, and 16 h with the nebulizer confirmed the shortened pilot scale test results and showed that the longer periods produced excellent penetration and capture. FIG. 8 shows the fogging apparatus and waste container (free of any contamination for these tests) used in the pilot scale testing during test setup. FIG. 9 shows the front 100 and the back 101 of the fogging apparatus with the flexible duct 102 that connects to the enclosure requiring fogging. FIG. 10 shows the fogging that occurred during the test, as viewed from a window, in the waste container 110, 103. This figure also shows the location of the fabric coupons 104 that were placed on a box on the floor and removed after the test was completed. One of the ultrasonic transducers used to develop the fog 101 is shown. The coverage can be seen from the yellow coating 102, 105 versus the white area 104 where the coupon box was removed. FIG. 11 shows the coverage of the coupons before (top) 120, 122, 124 and the coupons after (bottom) 121, 123, 125 that were placed on the floor of the waste container as illustrated in FIG. 10. Even after only 1.5 h, which was significantly shorter than the planned duration of the test, the fogging solution penetrated and covered the coupons. For comparison purposes, we conducted a special laboratory test with Fogging Solution #212 (top; yellow) and the baseline solution (bottom; red) to determine the penetration and coverage after only 1.5 h versus the 4-, 8- and 16-h tests coverage. The results of the laboratory tests shown in FIG. 12 indicate that the coupons would be penetrated by the solution, as indicated in the waste container test, but at longer duration than 1.5 h. The coupon results for the fogging solution #212 (yellow) at 1.5 h 130, 4 h 131, 8 h 132, and 16 h 133 are shown in the figure. The coupon results for the glycerin baseline fogging solution #201 (red) at 1.5 h 134, 4 h 135, 8 h 136, and 16 h 137 are also shown in the figure. It is clear from the coating intensity, as illustrated by the yellow and red colors, that the fogging solution #212 (yellow) outperformed the glycerin baseline solution #201. As illustrated in the pilot scale testing, the fogging solutions developed from the laboratory tests can be implemented operationally using commercially available fogging equipment. Two different types of fogging equipment were used in our laboratory and field tests. Current commercial passive aerosol generating (PAG) equipment utilize large ultrasonic transducers (see FIGS. 2 and 9). These are very effective with low viscosity, low solids composition chemistries but are not good at higher ranges. However, we found that higher solids compositions were better at capturing the contamination. Other types of fogging equipment, like a pneumatic nebulizer system, are more effective at those types of solutions. Other types of equipment are available, like fogging cannons. Over 50 fogging formulations were developed and tested in the laboratory and compared against the conventional glycerin baseline formulation called solution #201 in our tests. Of these, three are particularly noteworthy and were described herein as fogging solution #206, which is very similar to solution #212, and solution #203. Solution #204 was also found to be effective. Fogging solution #212 was used in a full-scale test to determine its performance in a waste container used to simulate a hot cell. Table 3 summarizes the % by volume of the chemical additives used in the fogging solutions that greatly outperformed the baseline solution #201. TABLE 3Summary of the % Contribution by Volume of the Aqueous Fixatives Achieving Significantly Better Performance than the Baseline Glycerin SolutionSolutionALSSLSGlycerinLatex Paint#201——Baseline—#2037%——20%#204—5%10%10%#2067%— 5% 5%#212—5% 7%10% While fogging solutions #206 and #212 performed the best, other fogging solutions performed satisfactorily as well. As stated above, these new coatings contain a surfactant to aid wetting and penetration of the lint and dust, a binder to stabilize loose or respirable particles, and an agent to aid in fogging and enhance adhesiveness. While we found these specific solutions to perform well, we would expect that these same solutions would perform acceptably if the chemical additives were added in different percentages. If the percentages are changed the resulting fogging solution must be able to produce a fog, penetrate a consistent simulated lint coupon, maintain a sticky surface over a period of hours, wet the surface of the lint/dust and prevent dust generation after dry. While certain representative embodiments and details have been shown for purposes of illustrating the invention, it will be apparent to those skilled in the art that various changes in the methods and apparatus disclosed herein may be made without departing from the scope of the invention which is defined in the appended claims. |
|
abstract | An electrostatic deflector that can be manufactured easily and very accurately without using a member for positioning is provided. After multiple slits 81d to 88d have been formed in the same direction as that of the bus bar of an approximately conical electrode material 100 whose large-diameter section is formed with flange portions 81a to 88a for installation on an insulator 90, each of the flange portions is coupled with the insulator 90, then the electrode material 100 is cut along extension lines of the slits 81d to 88d, and thus, multiple electrode members electrically isolated from one another are formed. |
|
claims | 1. A method of generating a phase contrast image comprising:providing an electron microscope having an objective lens defining a back focal plane and an object plane;imaging the back focal plane into a diffraction intermediate image plane, wherein said imaging also causes an intermediate image of the object plane to be formed;providing a phase shifting element in or near said diffraction intermediate image plane; and,imaging the intermediate image plane with a lens having no significant influence on a further imaging of the diffraction intermediate image plane. 2. The method of claim 1, wherein the imaging of the back focal plane into the diffraction intermediate image plane is enlarged. 3. The method of claim 1, further comprising adjusting a lateral position of an image of the back focal plane in the diffraction intermediate image plane relative to the phase shifting element. 4. The method of claim 1, wherein imaging the intermediate image plane further comprises imaging the intermediate image plane into an entry image plane of a projection system. 5. The method of claim 4, further comprising providing an electron beam and illuminating the object plane with the electron beam. 6. A phase contrast electron microscope comprising:an objective lens defining a back focal plane;a lens system forming an image of said back focal plane in a diffraction intermediate image plane;said lens system further comprising a lens having a principle plane disposed in the vicinity of said diffraction intermediate image plane; and,a phase shifting element arranged in or near said diffraction intermediate image plane. 7. The phase contrast electron microscope of claim 6, wherein a diffraction plane of the objective lens is imaged magnified into the diffraction intermediate image plane. 8. The phase contrast electron microscope of claim 7, wherein the diffraction plane of the objective lens is imaged into the diffraction intermediate image plane with a magnification factor between two times and ten times. 9. The phase contrast electron microscope of claim 6, wherein said objective lens defines an entrance image plane, further comprising a projection system downstream of said lens system, said projection system generating a final image of said entrance image plane. 10. The phase contrast electron microscope of claim 6, further comprising a beam deflection system for adjusting the lateral position of the image of the back focal plane relative to the phase shifting element. 11. The phase contrast electron microscope of claim 10, wherein the deflection system is a double deflection system which deflects an electron beam in two mutually perpendicular directions. 12. The phase contrast electron microscope of claim 6, further comprising:an electron source; and,a condenser system having electron lenses for forming an electron beam from electrons emanating from said electron source. 13. The phase contrast electron microscope of claim 12, wherein said condenser system illuminates an object plane of said objective lens by said electron beam. 14. The phase contrast electron microscope of claim 6, wherein said objective lens defines an entrance image plane, further comprising:a projection system downstream of said lens system generating a final image of said entrance image plane;an electron source;a condenser system having electron lenses for forming an electron beam from electrons emanating from said electron source; and,wherein said condenser system illuminates an object plane of said objective lens with said electron beam. 15. The phase contrast electron microscope of claim 14, wherein a diffraction plane of the objective lens is imaged magnified into the diffraction intermediate image plane. |
|
044407149 | summary | BACKGROUND OF THE INVENTION This invention relates generally to a system for the fusion of a plasma by energy beam illumination of a target pellet of plasma-forming material. More specifically, the invention relates to laser fusion reactors. Thermonuclear fusion reactors are a potential and prominent proposed solution to the long-term energy problem. One area of interest in fusion reactor research is the investigation of the potential for laser fusion. In this technique, fusion would be induced in a spherical pellet of deuterium and/or tritium containing material by illuminating it with an intense, coherent and, preferably, spherically symmetric pulse of light from a laser. The general theoretical process of inducing fusion by means of a laser is well-known and described in the prior art. Briefly stated, the energy of a sufficiently intense pulse of laser light focused onto the surface of the target pellet is absorbed by the surface of the pellet, causing the surface to vaporize and expand away from the center of the pellet at high velocity. The reaction force from this expansion compresses the remainder of the pellet to high density, and the combined effect of the illumination and compression heats the resultant plasma to a high temperature. If the combination of time of duration, temperature and density of the compressed plasma is sufficiently large, a so induced fusion reaction of the deuterium and/or tritium will generate more energy than was required to compress and heat the pellet, thereby accomplishing a net generation of energy. Since the confinement of the plasma for a short time during the fusion burn is due to the inertia of the compressed pellet material, the above-described process is called Inertial Confinement Fusion (ICF). The fusion reaction releases copious amounts of X-ray, ion, and neutron radiation. The radiation can be used to produce useful power by the heating of a coolant fluid and can also induce useful nuclear transformations in blanket and fuel assemblies located in the reactor. The neutrons can generate additional energy by inducing fission reactions in nearby fuel assemblies. An ICF reactor need not be designed to be capable of net energy generation in order to be a useful device due to the above-mentioned nuclear transformations, especially transformations of nuclear species from fertile to fissile species. A power reactor will utilize fuel pellets in rapid succession, with in excess of 10 pellets per second being a not unlikely rate of use. Despite this high use rate, the radiation flux is not continuous, but rather arrives at the surface of the reactor container (called the "first wall") and the fuel and blanket assembly surfaces in intense, brief bursts after each pellet implosion. The radiation flux can be destructive of the impacted surfaces. The implosion of the pellet by laser light has been thought to require that the pellet be illuminated uniformly over its surface such that the pellet compression is also uniform. In old art, the pellet is simultaneously illuminated by several laser beams from beam sources mounted at intervals around a spherical reactor vessel, the pellet being located at the center. The beam sources are usually optical systems comprising mirrors and prisms which split a laser beam from a single laser into a plurality of beams which are then directed to the pellet from different directions. The pellet becomes a point source of plasma which emits radiation uniformly in all directions. All known approaches to ICF devices have specified a single pellet implosion site. This has been required in part by the use of multiple beams of laser light from various directions to achieve uniform pellet surface illumination. Simultaneous beam arrival at a single site is most conveniently arranged when the pellet is located equidistant from all beam sources: that is, at the center of a spherical vessel. Recent developments in the art indicate that less uniform illumination, even two-sided illumination, will suffice. The fusion burn of a pellet at an implosion site at the center of the reactor vessel exposes the first wall, fuel assemblies and blanket assemblies to a point source flux of various types of radiation. This flux uniformly irradiates the first wall, fuel and blanket assemblies only if these members are shaped to present a spherical surface, centered at the implosion site, to the radiation flux. A uniform exposure of the first wall is desirable to most widely distribute the heat deposited therein, thereby reducing peak wall temperatures and reducing consequent wall erosion and other damage mechanisms. The uniform exposure of the blanket assemblies is desirable for these reasons as well, and also optimizes the production of nuclear isotopes. A uniform neutron flux in the fuel assemblies reduces peak temperatures and heat fluxes there, enhancing the usable life of the assemblies. Despite the advantages of uniform radiation exposure, it is inconvenient to design the first wall, and fuel and blanket assemblies to be spherical or quasispherical. Engineering considerations suggest that cylindrical geometry for these members is preferred. Consequently, it is an object of this invention to provide an ICF system which achieves an approximately uniform cumulative radiation exposure of the first wall, and fuel and blanket assembly members while avoiding the need to shape these as spheres. SUMMARY OF THE INVENTION The invention is an ICF device and process in which the site of the pellet implosion is varied along a straight line which is along the pellet injection path and which may be an axis of the reactor vessel. The variation of the site along a line does not create a true line source since each individual implosion remains a point source of radiation. However, the cumulative radiation flux exposure, in the fuel and blanket assemblies and in the first wall approximates that which would result from a radiation line source. Depending on the selection of the implosion site over time, the cumulative radiation damage in a cylindrical first wall and fuel and blanket assemblies and the nuclear transformations in cylindrical blanket and fuel assemblies may be distributed with a uniformity which is improved over that distribution which obtains from a single implosion site in combination with cylindrical members. The implosion site variation matches the radiation source geometry to the cylindrical geometry considered desirable in physical reactor components. |
050376071 | description | DESCRIPTION OF PREFERRED EMBODIMENTS A core of a fast breeder reactor is constituted by assembling a plurality of fuel assemblies and control rods together. FIGS. 1 to 3 show an embodiment of the present invention, where the present invention is applied to such fuel assemblies of the fast breeder reactor core. The fuel assembly of the fast breeder reactor comprises a bundle of cladding tubes 2 each made of titanium added cold worked 316SS material (cold worked 316SS-Ti) and in each of which a fuel pellet 5 comprising mixed oxide of uranium and plutonium is filled, and a duct 1 made of cold worked AISI316 material for enclosing the bundle of the cladding tubes. Incidentally, the reference numeral 3 designates coolant, and 4 designates a wire spacer. The effect of the illustrated embodiment was appreciated or appraised on the basis of an example that the above-mentioned fuel assembly was applied to a core of a fast breeder reactor shown in FIGS. 14 and 15. Specification and operating condition of the reactor core and the fuel material are shown in the following table 1. That is to say, thermal rating of the reactor is about 2,600 MW, electrical rating is about 1,000 MW, equivalent core diameter and core height are 330 cm and 100 cm, respectively. Thickness of an axial blanket is 35 cm and thickness of a radial blanket is 30 cm. The operating cycle length is twelve months, and batch factor is three in the core and four in the radial blanket. As to the composition of the fuel material, enrichment of plutonium is about 16% in an inner core 21 and about 20% in an outer core 22. TABLE 1 ______________________________________ Specification of Reactor Core and Fuel Items Specification ______________________________________ Thermal rating MW about 2,600 Electrical rating MW about 1,000 Operating cycle length Days 365 Batch factor 3/4 core/radial blanket Reactor inlet/outlet .degree.C. 510/355 temperature Average discharged burnup GWd/t 90 Core diameter/core height cm 330/100 Blanket thickness cm 30/35 radial/axial Number of fuel subassemblies 354/150 core fuel/radial blanket Volume fraction % (fuel/coolant/structure) core, axial blanket 41/36/23 radial blanket 51/30/19 Fuel material inner core fuel 16% PuO.sub.2 -depleted UO.sub.2 outer core fuel 20% PuO.sub.2 -depleted UO.sub.2 blanket depleted UO.sub.2 Fuel smear density % TD 87/90 core/blanket Cladding material cold worked 316SS-Ti Duct material cold worked AISI316 Coolant material Na Fuel pin inner/outer cm 0.67/0.75 diameter Number of pins per 271 subassembly Spacer wire diameter cm 0.13 Duct thickness cm 0.4 Distance between duct inner cm 14.8 surfaces Subassembly lattice pitch cm 16.2 ______________________________________ FIG. 4 shows the axial distribution of fast neutron fluence and the average used temperature of a fuel assembly having the maximum fast neutron fluence during staying in the reactor core, among the fuel assemblies according to a first embodiment of the present invention. In FIG. 4, a curve a shows the fast neutron fluence, curve b shows a cladding temperature, and curve c shows a duct temperature. In the core of the fast breeder reactor, since leakage of the neutrons is large in upper and lower ends of the reactor core, the fast neutron fluence becomes maximum in the vicinity of an axial center of the reactor core, as can be understood from the curve a. As seen from FIG. 4, the temperature of the material in the vicinity of the axial center of the reactor core is about 530.degree. C. or around, with respect to the cladding tube 2, as can be understood from the curve b, and is about 420.degree. C. or around, with respect to the duct 1, as can be understood from the curve c. On the other hand, the temperature dependence of the swelling of the material is as shown in FIG. 5 for a given fast neutron fluence That is to say, in FIG. 5, a curve d shows the swelling of cold worked AISI316 material, curve e shows the swelling of cold worked 316SS-Ti material, and curve f shows the swelling of OKh 18N9T material. In the cold worked AISI316 material constituting the duct 1, as seen from the curve d, the peak swelling corresponds to a temperature of about 580.degree. C., and the swelling rate is suddenly decreased below such temperature. In the cold worked 316SS-Ti material constituting the cladding tube 2, as seen from the curve e, the peak swelling corresponds to a temperature of about 450.degree. C., and the swelling rate is suddenly decreased above such temperature. In the illustrated embodiment, by using such temperature dependence of the swelling rate of the material, the cladding tubes 2 having the used temperature of about 530.degree. C. or around are made of the cold worked 316SS-Ti material, and the ducts 1 having the used temperature cf about 420.degree. C. or around are made of the cold worked AISI316 material. With this construction, in comparison with the case where both cladding tubes and ducts are made of the cold worked AISI316 material, the maximum value of the swelling of the cladding tubes can be reduced to about 1/2, and the BDI can also be reduced to about 1/2. Further, in comparison with the case where both cladding tubes and ducts are made of the cold worked 316SS-Ti material, the maximum value of the swelling of the ducts can be reduced to about 1/2, and the occurrence of the DDI can be prevented. Further, in comparison with the case where the cladding tubes are made of the 316SS-Ti material having relatively small swelling rate and the ducts are made of OKh 18N9T material having relatively large swelling rate as disclosed in the Japanese Patent Laid-open No. 57-166591, the swelling of the ducts can be reduced to about 1/3. Accordingly, since the permissible fast neutron fluence can be increased by about 20% or more if the upper limit of the swelling is registered with the upper limit of the swelling of the conventional reactor, the life of the fuel assembly can be prolonged accordingly. In this way, according to the present invention, the cladding tubes are made of material having the different temperature dependence of the swelling rate from that of the material constituting the ducts, in consideration of the difference in the used temperature between the cladding tubes and the ducts. More particularly, the cladding tubes having the relatively high used temperature are made of material which has a low temperature when the maximum swelling is reached (referred to as "low temperature peak swelling material" hereinafter), and the ducts having the relatively low used temperature are made of material which has a high temperature when the maximum swelling is reached (referred to as "high temperature peak swelling material" hereinafter). With the cladding material including titanium as stabilized element, creep strength of the cladding tube can be increased. With such fuel assembly according to the first embodiment, since the BDI and DDI can be reduced simultaneously, the life of the fuel assembly can be prolonged, and accordingly, the operating or running cost of the reactor can be reduced. FIGS. 6 and 7 show a second embodiment of the present invention, where the present invention is applied to control rods 9 of a fast breeder reactor. FIG. 6 shows a vertical section of the control rod 9, and FIG. 7 is a sectional view taken along the line VII--VII of FIG. 6. The control rod 9 is constituted by a bundle of cladding tubes 12 covered by a guard tube 11 of the cold worked AISI316 and each made of the cold worked 316SS-Ti and in each of which a neutron absorbing pellet 14 made of boron carbide (B.sub.4 C) is filled. The temperature distribution of the control rod is substantially the same as that of fuel assembly, since the neutron absorbing pellet 14 is heated by the neutron irradiation. Accordingly, with such arrangement, in comparison with the conventional case where the cladding tubes 12 and the guard tube 11 are made of the same material, mechanical interaction (bundle-tube interaction) between the cladding tubes 12 and the guard tube 11 can be further reduced, thus increasing the life of the control rod. Further, since a gap can be maintained between the guard tube 11 and a guide tube 13 arranged around the protection tube, the insertion ability of the control rod with respect to the reactor core can be improved or maintained for a long time, thus providing safety operation. Further, in a reactor where the guard tube 11 becomes relatively high temperature and the guide tube 13 is relatively low temperature, by using the low temperature peak swelling material such as the cold worked 316SS-Ti for the guard tube 11 and using the high temperature peak swelling material such as the cold worked AISI316 for the guide tube 13, the insertion ability of the control rod can be improved. FIGS. 8 to 11 show other embodiments of the present invention, where a material of each of spacers for maintaining a distance between the adjacent cladding tubes 2 is devised, as well as the present invention is applied to a fuel assembly of the fast breeder reactor core constituted by a plurality of fuel assemblies and control rods assembled together as in the first embodiment. FIGS. 8 and 9 show examples that wire spacers are used. In the case where the temperature of the wire spacer is relatively high near the temperature of the cladding tubes 2, as in a third embodiment shown in FIG. 8, wire spacers 41 made of the low temperature peak swelling material such as the cold worked 316SS-Ti are used. On the other hand, in the case where the temperature of the wire spacer is relatively low near the temperature of the duct 1, as in a fourth embodiment shown in FIG. 9, wire spacers 42 made of the high temperature peak swelling material such as the cold worked AISI316 are used. Also with these arrangements, the effect equivalent to that of the first embodiment can be attained, and the BDI can be further reduced in comparison with the first embodiment. FIGS. 10 and 11 show examples that grid spacers are used. In these cases, the construction and effect are substantially the same as the above case where the wire spacers are used. In the case where the temperature of the grid spacer is relatively high near the temperature of the cladding tubes 2, as in a fifth embodiment shown in FIG. 10, grid spacers 43 made of the low temperature peak swelling material such as the cold worked 316SS-Ti are used. On the other hand, in the case where the temperature of the grid spacer is relatively low near the temperature of the duct 1, as in a sixth embodiment shown in FIG. 11, grid spacers 44 made of the high temperature peak swelling material such as the cold worked AISI316 are used. FIGS. 12 and 13 show further embodiment of the present invention, where, although both of the cladding tubes 2 and duct 1 are made of the same low temperature peak swelling material, the temperature of the duct 1 is further increased in comparison with the conventional case, thus reducing the swelling of the duct. In a seventh embodiment shown in FIG. 12, the fuel elements adjacent the duct 1 are constituted by higher enriched fuel material 6 than other fuel elements. With such arrangement, since the temperature of the coolant material flowing coolant paths adjacent the duct 1 is increased, the temperature of the duct is also increased. In a eighth embodiment shown in FIG. 13, hollow or solid coolant refusing bars 7 made of the same material as that of the duct 1 are arranged in the coolant paths adjacent the duct. With such arrangement, a total area of the coolant paths adjacent the duct is decreased to reduce the flow rate of the coolant, thus increasing the temperature of the duct 1. In this way, the irradiation temperature of the duct and the cladding tubes can be adjusted, thereby reducing the swelling of the cladding tubes and the duct even if they are made of the same material. FIGS. 14 and 15 show a ninth embodiment of the present invention, where a core of the fast breeder reactor is constituted by the fuel assemblies 8 shown in either one of the above-mentioned embodiments and the control rods 9 shown in the second embodiment. Also with this arrangement, the lives of the fuel assemblies and control rods could be prolonged, and, it was found that the continuous operating cycle length of the reactor core could be more extended by about 20% and the availability factor could also be more increased by about 2%, in comparison with the conventional arrangement. Incidentally, in FIGS. 14 and 15, the reference numerals 23 and 24 designate the radial blankets and axial blankets as mentioned above, respectively. FIGS. 16 and 17 show a tenth embodiment of the present invention. The embodiment regarding a method for operating the reactor according to the present invention will now be explained with reference to these Figures. FIG. 16 is a graph showing distribution feature of the coolant temperature regarding the axial direction of the reactor core, where a curve g shows a coolant temperature. The coolant temperature at the inlet of the reactor is Ti. But, the coolant temperature is being increased while passing through the reactor by cooling the fuel assemblies and the control rods, and is reached to a maximum temperature To at the outlet of the reactor. In the vicinity of the axial center of the reactor core where the fast neutron fluence is high, the coolant temperature substantially equals to arithmetic mean Tm between the above values Ti and To. On the other hand, FIG. 17 shows radial temperature distribution of the fuel assemblies in the vicinity of the axial center of the reactor core. In FIG. 17, a curve b shows the cladding temperature and curve g shows the coolant temperature. That is to say, the average temperature Tc of the cladding tube is higher than the average temperature Tm of the coolant material, and the average temperature Tw of the duct is lower than the average temperature Tm of the coolant material. Accordingly, by selecting a temperature condition so that the average coolant temperature Tm is higher than a temperature at the peak swelling of the material of the cladding tube and is lower than a temperature at the peak swelling of the material of the duct, the swelling of the cladding tubes and ducts can be restrained, thus prolonging the fuel life. In the above embodiments, while the cold worked 316SS-Ti was used as the low temperature peak swelling material and the cold worked AISI316 was used as the high temperature peak swelling material, other combinations of the materials may be used to obtain the same effect. For example, the cold worked 316 SS including no titanium may be used as the high temperature peak swelling material as well as the cold worked 316SS-Ti is used as the low temperature peak swelling material, or the none cold worked 316SS may be used as the high temperature peak swelling material as well as the cold worked 316SS-Ti is used as the low temperature peak swelling material, or HT-9 ferrite steel may be used as the low temperature peak swelling material and at the same time D-9 austenite steel may be used as the high temperature peak swelling material. Further, in the above embodiments, while the pellet-shaped mixed oxide of uranium and plutonium was used as the fuel material, boron carbide was used as the material for absorbing the neutrons, sodium (Na) was used as the coolant material and the homogeneous reactor core was used as the core configuration, it should be noted that the present invention is applicable to other fuel materials, neutron absorbing materials, coolant materials and/or core configurations. According to the reactor operating method of the present invention, the continuous operating cycle length of the reactor core can be extended and availability factor of the reactor can also be increased. According to the present invention, since both of the mechanical interactions (BDI and DDI) can be reduced simultaneously, the life of the structural components for constituting the reactor core can be extended, thereby improving the availability factor of the reactor. Further, according to the present invention applied to the control rods, the insertion and withdrawal of the control rods with respect to the reactor core can always be performed smoothly. |
050158643 | description | DESCRIPTION OF THE ILLUSTRATED EMBODIMENT The drawings illustrate a radiation shield composed of a metal supporting frame 1 that supports an upper lead apron 2 and a lower lead apron 3, as well as a lead-glass head piece 4. Frame 1 is composed of a pair of lower vertical tubular members 5 and a pair of upper rods 6, which are mounted for sliding or telescopic movement with respect to tubular members 5. Rods 6 can be locked with respect to tubular members 5 by set screws 7 that carry hand knobs 8. Through adjustment of rods 6 relative to lower tubular members 5, the frame 1 can be adjusted in height to accommodate the height of the user or operator. As shown in FIGS. 1 and 2, a caster wheel 9 is mounted on the lower end of each tubular member 5. In addition, a generally L-shaped brace extends laterally from the lower end of each member 5 and carries a second caster wheel 11. Extending rearwardly from the lower end of each tubular member 5 is a rear brace 12 and the outer end of brace 12 is connected to the respective member 5 by diagonal brace 13. A third caster wheel 14 is mounted at the outer junction of braces 12 and 13. Caster wheels 9, 11 and 14 provide universal movement for frame 1 in all directions over the ground or terrain. Connected to the upper ends of rods 6 is a curved metal thrust bar 15. As shown in FIG. 3, thrust bar 15 extends forwardly from rods 6 and apron 2 rests against bar 15. A pair of generally curved thrust bar sections 16 are pivoted to the ends of bar 15. Each section 16 is provided with an outwardly extending flange 17 which is pivotally connected at pivot 18 to an upper frame member 19 that is secured to the respective ends of thrust bar 15, as seen in FIG. 3. Thrust bar sections 16 can be pivoted from a generally horizontal position, where they are coplanar with the forward thrust bar 15 to an upper generally vertical position, as shown by the dashed lines in FIG. 4. Suitable stops, not shown, are provided on to limit the downward pivotal movement of each section 16 and retain the section in the horizontal position. When sections 16 are in the horizontal position, sections 16 along with the forward thrust bar 15, provide a substantially circular enclosure, as shown in FIG. 3, which is adapted to encircle the waist of the user. When the sections 16 are pivoted upwardly to the vertical position, the user can enter or exit the enclosure. Bars 15 and 16 are cushioned or padded and in this regard a pad 21 formed of a soft or resilient material is secured to each of the bars 15 and 16, and a second pad 22 is secured to pad 21 via removable fasteners 23, such as a hook and loop (Velcro) fastening system. The use of the two pads 21 and 22 provides an adjustment to accommodate the waist size of the user. More specifically, if the user is relatively thin, both pads 21 and 22 can be employed, while if the user is relatively heavy the outer pad 22 can be removed. Each upper frame member 19 is provided with a curved upper end portion 25 which is adapted to accommodate the shoulders of the user. As best shown in FIG. 4, each frame member 24 is provided with an inwardly bent or offset portion 26 located beneath the curved upper end 25 and the offset portion 26 facilitates entry of the shoulders of the user into the frame. Apron 2 is of conventional construction and is composed of inner and outer layers of plastic or fabric material which enclose an inner liner of a radiation prevention material, such as lead. Apron 2 is provided with a front surface 27, and a pair of side surfaces 28 having arm holes 29, as seen in FIG. 5. The upper portions of the sides 28 are attached to the curved upper ends 25 of frame members 24 by removable hook and loop fasteners 30. In addition the rear vertical edges of sides 28 can be attached to frame members 19 through removable fasteners, such as hook and loop fasteners. As illustrated in FIG. 5, the upper edge of each side 28 is provided with a gusset-like slit 32 and a strap 33 is connected to the edges bordering the slit by a removable fastener system, such as hook and loop fasteners. By adjusting the width of slit 32, the size of the armhole 29 can be varied. It is also contemplated that a pair of shoulder flaps 34 can be attached to the frame to protect the shoulders of the user. As best seen in FIG. 2, shoulder flaps 34 are connected by a strip 35 and the upper edge of each shoulder flap 34 is connected to the portion of apron 2 which is mounted on the curved upper end 25 of frame members 19 by removable fasteners such as hook and loop fasteners 36. Shoulder flaps 34 and strip 35 are constructed similar to apron 2 and are composed of an inner liner of lead, or radiation prevention material, bordered by inner and outer layers of fabric or plastic material. Head piece 4 includes a rigid lead-glass window 37 and a lead flexible bib 38 is connected to the lower end of window 37 and extends laterally. The outer ends of bib portion 38 are connected to the upper surfaces of shoulder flaps 34 by removable fasteners preferably a Velcro fastening system 39, as seen in FIG. 6. With this construction, the head shield is removably attached to frame 1 and can be located at various angles reltive to the frame to accommodate the particular task being performed by the user. In general, the window 37 in head piece 4 will be located at a slight downward angle to the vertical. Frame 1 also includes a lower curved bar 40, which is attached to the tubular members 5 and extends forwardly of the tubular members. Apron 3, which is composed of material similar to apron 2, is suspended from bar 40 by removable fasteners, preferably a hook and loop system. If the user of the radiation shield is of substantial height the lower lead apron 3 can be utilized. However, if the user is of relatively short height, the lower lead apron 3 may not be employed. With the radiation shield of the invention, the entire weight of the aprons 2 and 3 and head piece 4 is carried by the frame 1 rather than the user. This substantially reduces fatique to the user and eliminates the possibility of vertebral damage or pain. The radiation shield is fully maneuverable by the user or operator. The operator merely walks over the floor, and in doing so, applies a force to the thrust bars 15 and 16, causing the shield to follow the movements of the user. As the wheels or caster do not extend forwardly of the apron 2, the shield can be moved directly up against a table or other object without interference from the casters. To prevent forward tilting of the shield the rear braces 12 and diagonal braces 13, which are tubular metal members, can be filled with a weight, such as metal shot, and this added weight will aid in preventing forward tilting of the shield during use. The radiation shield is adjustable for the height of the user, as well as the waist size and due to the removable hook and loop connections between the head piece 4 and the frame, the head piece can be readily adjusted in position relative to the frame and apron 2. The radiation shield provides complete protection for the body, head and shoulders of the user. Various modes of carrying out the invention are contemplated as being within the scope of the following claims particularly pointing out and distinctly claiming the subject matter which is regarded as the invention. |
description | This application is based upon and claims the benefit of priority from prior Japanese Patent Application No. 2008-071472 filed on Mar. 19, 2008 in Japan, the entire contents of which are incorporated herein by reference. 1. Field of the Invention The present invention relates to a pattern writing system and a pattern monitoring method for a pattern writing apparatus, for example, a pattern writing system that writes a pattern on a target object by use of an electron beam and a method of monitoring parameters set in the system. 2. Related Art A lithography technique that leads development of micropatterning of a semiconductor device is a very important process that generates a pattern in semiconductor manufacturing processes. In recent years, with an increase in LSI integration density, the circuit line width required for a semiconductor device is further miniaturized every year. A high-precision original pattern (also called a reticule or a mask) is necessary in order to form the desired circuit pattern on such a semiconductor device. In such cases, an electron beam pattern writing technique essentially has an excellent resolution and is used in production of high-precision original patterns. FIG. 5 is a conceptual diagram that explains the operation of a conventional variable-shaped electron beam photolithography apparatus. The operation of the variable-shaped electron beam (EB) photolithography apparatus will be described below. In the first aperture plate 410, an oblong (e.g. rectangular) opening 411 is formed to shape an electron beam 330. In the second aperture plate 420, a variable-shaped opening 421 is formed to shape the electron beam 330 passing through the opening 411 of the first aperture plate 410 into the desired oblong shape. The electron beam 330 irradiated from the charged particle source 430 and passing through the opening 411 of the first aperture plate 410 is deflected by a deflector, passes through a part of the variable-shaped opening 421 of the second aperture plate 420, and is irradiated on a target object 340 placed on a stage continuously moving in one predetermined direction (e.g. direction X). More specifically, an oblong shape that can pass through both the opening 411 of the first aperture plate 410 and the variable-shaped opening 421 of the second aperture plate 420 is written in a pattern writing region on the target object 340 that is placed on the stage and continuously moving in the direction X. The scheme that causes a beam to pass through the opening 411 of the first aperture plate 410 and the variable-shaped opening 421 of the second aperture plate 420 to form an arbitrary shape is called a variable-shaping scheme (for an example, see Japanese Unexamined Patent Publication No. 2007-294562). In this case, in a pattern writing apparatus typified by an electron beam photolithography apparatus, a very large number of pieces of apparatus application information (parameters) are prepared in order to variably apply the apparatus. Quality management of products produced by the pattern writing requires change management of these parameters. However, it is technically difficult for the owner (manager) himself/herself to manage the parameters of the pattern writing apparatus that have been developed into various forms on an apparatus. This is because when the pattern writing apparatus goes online, the apparatus parameters can be remotely changed to make it possible to designate changes at any time. Furthermore, as described below, parameter management is difficult. FIG. 6 is a conceptual diagram showing a sample configuration of a pattern writing system. In this case, after parameters designated by personal computers (PC) 552 and 554 of users A and B or other such parameters to be changed are stored in database 540 or other such databases first, the settings of devices 522 and 524, which control a pattern writing unit 510 through interface (I/F) circuits 534 and 536, are changed. The devices 522 and 524 the parameters, of which those that are set frequently have different communication specifications. For this reason, in the configuration, the devices 522 and 524 the parameters set cannot be easily directly accessed from a management PC 560. In a conventional technique, in order to check whether the parameters differ from those of the reference 564, the apparatus owner checks the parameters stored in the database 540 by using a management PC 560. However, even though the parameters are stored in the database 540 or other similar locations, a slight time lag occurs until the parameters are set in the devices 522 and 524 in the actual pattern writing apparatus. As a result, the contents in the database 540 may differ from those set in the devices 522 and 524 of the actual device. For this reason, even though the parameters of the reference are matched with the parameters in the database, the contents of the reference 564 may differ from those set in the devices 522 and 524. In this manner, even though the parameters of the reference are compared with the parameters in the database, pattern writing that is not based on the assumption that settings in the real device are different may be at a disadvantage when performed. As described above, when it cannot be predicted at what time settings will be changed nor who will change them, the content in the database may differ from that set in the actual device, and parameter management by the apparatus owner is very difficult. As described above, even though the parameters stored in the database are checked via a management PC, the contents of the database and the contents set in the actual device may be different an unexpected pattern writing may be at a disadvantage when performed. In particular, with micropatterning of a pattern and the complexity of the pattern writing apparatus, this problem is very serious in regard to the management of enormous numbers of parameters. The object of the present invention is to provide a pattern writing system and method that can perform parameter management. In accordance with one aspect of this invention, a pattern writing system includes a plurality of control units configured to use different communication standards; a pattern writing unit configured to be controlled by the plurality of control units and write a pattern on a target object by using a charged particle beam; a storage unit configured to receive parameter information from an external slave computer and stores the parameter information; a first interface information circuit group configured to output a received parameter information to at least one of the plurality of control units in conformity with a communication standard on the at least one of plurality of control units; a main computer; and a second interface circuit group configured to receive a request from the main computer, input parameter information been setting in the plurality of control units without passing through the storage unit, convert communication standards of the parameter information input into a communication standard used by the main computer, and output the parameter information whose each communication standard is converted to the main computer. In accordance with another aspect of the invention, a parameter monitoring method for a pattern writing apparatus, comprising receiving each of reference parameter information for setting in a plurality of control units which control the pattern writing apparatus and use different communication standards; receiving each of setting parameter information been setting in the plurality of control units by an external computer through a storage device that can be accessed by said external computer, without passing through the storage device; and comparing a received each of reference parameter information with corresponding setting parameter information of a received each of setting parameter information to output a result compared. In an embodiment a configuration using an electron beam will be described below as an example of a charged particle beam. The charged particle beam is not limited to an electron beam. Abeam such as an ion beam using other charged particles may be used as the charged particle beam. FIG. 1 is a conceptual diagram showing o configuration of a pattern writing system according to Embodiment 1. In FIG. 1, a pattern writing system 100 is an example of a charged particle beam pattern writing system. The pattern writing system 100 includes a pattern writing apparatus 110, a control unit 120, a control calculation unit 130, a main computer 160, a monitor 162, a storage device 164, and an I/F circuit group 170. In the control unit 120, a number of control units 122, 124, and 126 are arranged, each with differing communication standards. A digital circuit substrate or the like can be used as the control unit 122. A sequencer device or the like can be used as the control unit 124. A personal computer (PC) or the like can be used as the control unit 126. In the control calculation unit 130, a control computer 132, I/F circuits 134, 136, 138, and 142, a database 140, and a magnetic disk device 146 are arranged and connected to each other through a bus 144. In this case, the I/F circuits 134, 136, and 138 constitute an I/F circuit group 139. In the database 140, parameters set by the plurality of control units 122, 124, and 126 are stored. The monitor 162 and the storage device 164 are connected to the main computer 160. In the storage device 164, a reference serving as standards for the parameters set in the majority of the control units 122, 124, and 126 is stored. In FIG. 1, descriptions of those parts that are not necessary constituent elements required for explaining Embodiment 1 are omitted. The pattern writing system 100 may include another necessary configuration as a matter of course. FIG. 2 is a conceptual diagram showing a configuration of the pattern writing apparatus according to Embodiment 1. In FIG. 2, the pattern writing apparatus 110 is an example of the charged particle beam pattern writing apparatus. The pattern writing apparatus 110 includes an electron lens barrel 102 arranged in and above a pattern writing chamber 103. The pattern writing chamber 103 and the electron lens barrel 102 configure a pattern writing unit. In the electron lens barrel 102, an electron gun assembly 201, an illumination lens 202, a first aperture plate 203, a projection lens 204, a deflector 205, a second aperture plate 206, an objective lens 207, and a deflector 208 are arranged. In the pattern writing chamber 103, an X-Y stage 105 is arranged. On the X-Y stage 105, the target object 101 serving as the object to be written is arranged. The wafer on which a semiconductor device is formed and an exposure mask which transfers a pattern to the wafer are included as the target object 101. Mask blanks on which any pattern is not formed are included in this mask. The pattern writing apparatus 110 is controlled by the control unit 120 shown in FIG. 1. An electron beam 200 emitted from the electron gun assembly 201 illuminates an entire area of the first aperture plate 203 having an oblong, for example, rectangular hole by the illumination lens 202. In this case, the electron beam 200 is shaped into an oblong or a rectangle first. The electron beam 200 of a first aperture image passing through the first aperture plate 203 is projected on the second aperture plate 206 by the projection lens 204. A position of the first aperture image on the second aperture plate 206 is deflected and controlled by the deflector 205 to make it possible to change a beam shape and a beam size. The electron beam 200 of the second aperture image passing through the second aperture plate 206 is focused by an objective lens 207, deflected by a deflector 208, and irradiated on the desired position of the target object 101 on the X-Y stage 105. In this manner, the pattern writing apparatus 110 is controlled by a plurality of control units and writes a pattern on the target object 101 using the electron beam 200. Pieces of parameter information for the plurality of control units 122, 124, and 126 are transmitted, or “output” from external PCs 502 and 504 according to a communication standard “a” regardless of time. The pieces of parameter information are changed, or “converted” by the I/F circuit 142 into pieces of information of the standard of the control calculation unit 130. The resultant pieces of information are stored in the database 140 (storage unit). Furthermore, pieces of file information used in pattern writing in the pattern writing apparatus 110 are transmitted from the external PCs 502 and 504 and stored in the magnetic disk device 146. An apparatus owner can read the stored information from the database 140 or the magnetic disk device 146 by using the communication standard “a” from the main computer 160. In this manner, the parameters transmitted from the external PCs 502 and 504 can be compared to the reference. The parameter information stored in the database 140 is read by the control computer 132, are matched in communication standard by the group (first I/F circuit group) of I/F circuits 134, 136, and 138, and set to at least one of the plurality of the control units 122, 124, and 126. For example, when the parameters are for control unit 122, the standard of the parameter information is changed from the standard in the control calculation unit 130 into a communication standard “b” by the I/F circuit 134, transmitted (output) to the control unit 122, and set thereto. Similarly, for example, when the parameters are for the control unit 124, the standard of the parameter information is changed from that of the control calculation unit 130 into a communication standard “c” by the I/F circuit 136, transmitted (output) to the control unit 124, and set thereto. Similarly, for example, when the parameters are for the control unit 126, the standard of the parameter information is changed from the standard in the control calculation unit 130 into a communication standard “d” by the I/F circuit 138, transmitted (output) to the control unit 126, and set thereto. In this case, time lag occurs until the parameter information is stored in the database 140 and set in the control units 122, 124, and 126. Meanwhile, the parameters set in the control units 122, 124, and 126 are not matched with the parameters stored in the database 140. For this reason, as described above, when the apparatus owner uses the main computer 160 to read the stored information from the database 140 or the magnetic disk device 146 to compare the information with the reference, the information may differ from the contents of the actual device. In other words, the parameters may change depending on the timing of confirmation. In Embodiment 1, the parameters set in the control units 122, 124, and 126 are read without passing through the database 140 and the magnetic disk device 146. Since the communication standards of the control units 122, 124, and 126 differ, the main computer 160 cannot easily communicate with the control units 122, 124, and 126 without any change. Therefore, in Embodiment 1, the communication standard “a” used by the main computer 160 and the communication standards “b”, “c”, and “d” used by the control units 122, 124, and 126 are converted by the I/F circuit group 170 (second I/F circuit group). For example, an I/F circuit 172 matches the communication standard “a” and the communication standard “b” used by the control unit 122 with each other. An I/F circuit 174 matches the communication standard “a” and the communication standard “c” used by the control unit 124 with each other. An I/F circuit 176 matches the communication standard “a” and the communication standard “d” used by the control unit 126 with each other. In this manner, the main computer 160 can communicate with the control units 122, 124, and 126 without passing through the database 140 and the magnetic disk device 146. The I/F circuit group 170 is arranged independently of the control calculation unit 130 so that the main computer 160 can communicate with the control units 122, 124, and 126 without hindering operations performed by the control calculation unit 130. This configuration is not necessarily used. If the I/F circuits 134, 136, and 138 in the control calculation unit 130 have idle ports, the main computer 160 may communicate with the control units 122, 124, and 126 by using the idle ports. FIG. 3 is a block diagram showing an internal configuration of a main computer inconformity with main steps flows in a parameter monitoring method for the pattern writing apparatus according to Embodiment 1. In FIG. 3, in the main computer 160, an input unit 10, a comparison unit 12, a list forming unit 14, an output unit 16, and memory 18 are arranged. Processes of the functions such as the input unit 10, the comparison unit 12, the list forming unit 14, and the output unit 16 are executed by software programs. Alternatively, the functions may be configured by hardware such as an electric circuit, or may be executed by a combination of hardware and software. The functions may be executed by a combination of hardware and firmware. When the functions are configured by programs, said programs are recorded on a recording medium such as the storage device 164 or memory 18. In one input step, the input unit 10 receives pieces of setting parameter information set in the plurality of control units 122, 124, and 126 set by the external PCs 502 and 504 through the database 140 which can be accessed from external PCs 502 and 504 without passing through the database 140. The main computer 160 transmits information that requests the pieces of setting parameter information been setting in the plurality of control units 122, 124, and 126 to the control units 122, 124, and 126 through the I/F circuit group 170. The control units 122, 124, and 126 output the pieces of setting parameter information set in the communication standards to the I/F circuit group 170. The I/F circuit group 170 receives a request from the main computer 160, reads, or “input” the pieces of parameter information been setting in the plurality of control units 122, 124, and 126 and changes, or “converts” the communication standards of the pieces of parameter information input, into the communication standard “a” used by the main computer 160 without passing through the database 140. The pieces of parameter information set in the plurality of control units 122, 124, and 126 are transmitted, or “output” to the main computer 160 after the converting. With this configuration, the main computer 160 can obtain the pieces of parameter information (setting parameters) been setting in the plurality of actual control units 122, 124, and 126 (plurality of devices) without obtaining the parameter information stored in the database 140. That is, the plurality of real-time parameter information been setting in the plurality of devices through the I/F circuit group 170. In another input step, the input unit 10 receives pieces of reference (reference parameter) information to be set in the plurality of control units 122, 124, and 126 which control the pattern writing apparatus 110 from the storage device 164 and use different communication standards. In the comparison step, the comparison unit 12 compares each of the received reference information with corresponding setting parameter information of the received pieces of setting parameter information. In the list forming step, when the pieces of reference information and the compared pieces of setting parameter information received from the I/F circuit group 170 include at least one set of different pieces of parameter information as a result of comparison, the list forming unit 14 forms a parameter information list with respect to the different pieces of parameter information. In other word, the list forming unit 14 forms the list of at least one set of different parameter information between the each of reference parameter information and the corresponding parameter information compared each other. FIG. 4 is a diagram showing an example of a parameter information list in Embodiment 1. In FIG. 4, in a parameter information list 20 is defined such that the contents of a reference correspond to the contents of actually set parameters. In this case, all parameters are not listed, only unmatched parameters are preferably extracted and defined. Even though enormous numbers of parameters are sequentially listed, an effort is required to detect an unmatched portion. Only an unmatched parameter is extracted and defined to make it possible to cause the apparatus owner to quickly visually check the contents of the parameter. However, the present invention is not limited to the case in which only the unmatched parameter is defined. All the parameters may be listed. In the output step, the output unit 16 outputs a comparison result. In this case, the output unit 16 outputs a parameter information list 20. Other information may be output together with the parameter information list 20, such as the information displayed on the monitor 162. As described above, the main computer 160 inputs reference parameter information that serves as a reference, compares the reference parameter information with the parameter information received from the I/F circuit group 170, and outputs a result. Furthermore, the main computer 160 forms a list of different pieces of parameter information between the reference parameter information and the parameter information received from the I/F circuit group 170 as a comparison result. The parameters actually set in the control units 122, 124, and 126 can be monitored with the above configuration. As a result, when an incorrect parameter is set, the pattern writing operation can be interrupted or stopped. Alternatively, the pattern writing operation may be continuously performed to make it possible to stop the next pattern writing operation. As a result, the performance of an unexpected pattern writing operation can be avoided, enabling manufacturing of a high-precision mask. The embodiment is described with reference to the concrete examples. However, the present invention is not limited thereto. Parts of the apparatus configuration, the control method, and the like which are not directly required for the explanation of the present invention are not described. However, the necessary apparatus configuration and control method are appropriately selected and used. For example, the concrete control unit configuration that controls the pattern writing apparatus 110 is not described. However, the necessary control unit configuration is appropriately selected and used as a matter of course. In addition, all pattern writing systems and all parameter monitoring methods for pattern writing apparatuses that include the elements of the present invention and can be appropriately changed in design by a person skilled in the art are included in the spirit and scope of the invention. Additional advantages and modification will readily occur to those skilled in the art. Therefore, the invention in its broader aspects is not limited to the specific details and representative embodiments shown and described herein. Accordingly, various modifications may be made without departing from the spirit or scope of the general inventive concept as defined by the appended claims and their equivalents. |
|
description | The embodiments of the present invention will be described hereinafter with reference to the accompanying drawings. Regarding the reference numerals used to describe the following embodiments, the same reference numerals denote the same portions as those of FIGS. 1 to 5. (First Embodiment) The first embodiment of the present invention will be described with reference to FIGS. 6 and 7. In a fuel assembly according the first embodiment of the present invention, the structure of a connecting portion for connecting its guide thimbles 2 and bottom nozzle 4 is as shown in FIG. 2B and 4 or 5, and a so-called improved guide thimble is employed. An inner diameter D of the lower large-diameter portion of the guide thimble 2 and a diameter d of a drain hole 15 satisfy the following equation (1): 0.04 D less than d less than 0.08 Dxe2x80x83xe2x80x83(1) The function of the fuel assembly according to this embodiment with the above arrangement will be described. FIG. 6 is a graph showing results obtained by measuring a terminal velocity V of a control rod inserted in the guide thimble 2 by free fall in a fuel assembly 1 formed as shown in FIGS. 4 and 5, by using (d/D), which is the ratio of the diameter d of the drain hole 15 of a thimble screw 14 to the inner diameter D of the lower large-diameter portion of the guide thimble 2, as a parameter. The axis of ordinate indicates V/V0 obtained by dividing the terminal velocity V of the control rod inserted in the guide thimble 2 by free fall by a limited terminal velocity V0 determined from the viewpoint of moderating the fall impact of the control rod. More specifically, the range of (V/V0) less than 1 is a range where the terminal velocity V of the control rod inserted in the guide thimble 2 by free fall can be suppressed to be lower than the limited terminal velocity V0. The range of (V/V0)xe2x89xa71 is a range where the terminal velocity V of the control rod inserted in the guide thimble 2 by free fall becomes equal to or more than the limited terminal velocity V0. As shown in FIG. 6, in the range of (d/D) less than 0.08, (V/V0) less than 1 is established, and the terminal velocity V of the control rod inserted in the guide thimble 2 by free fall does not exceed the limited terminal velocity V0 but satisfies the design standard. In the range of (d/D)xe2x89xa70.08, (V/V0)xe2x89xa71 is established, and the terminal velocity V of the control rod inserted in the guide thimble 2 by free fall exceeds the limited terminal velocity V0 and does not satisfy the design standard. Hence, from the viewpoint of the terminal velocity V of the control rod inserted in the guide thimble 2 by free fall, the diameter d of the drain hole 15 of the thimble screw 14 and the inner diameter D of the lower large-diameter portion of the guide thimble 2 must satisfy d less than 0.08D. As described earlier, the drain hole 15 of the thimble screw 14 serves to guide the coolant into the guide thimble 2 in order to cool the non fuel bearing components. From this viewpoint of assuring the cooling function, the larger the diameter d of the drain hole 15 of the thimble screw 14, the better. FIG. 7 is a graph showing results obtained by measuring the cooling ability of the non fuel bearing components in a fuel assembly 1 formed as shown in FIGS. 4 and 5, by using (d/D), which is the ratio of the diameter d of the drain hole 15 of a thimble screw 14 to the inner diameter D of the lower large-diameter portion of the guide thimble 2, as a parameter. The axis of ordinate indicates C/C0 obtained by dividing a coolant inflow amount C from the thimble screw 14 by a coolant inflow amount C0 necessary for cooling the non fuel bearing components when (d/D) is used as the parameter. More specifically, in the range of (C/C0)xe2x89xa61, the coolant inflow amount C does not exceed the necessary coolant inflow amount C0. In the range of (C/C0) greater than 1, the coolant inflow amount C exceeds the necessary coolant inflow amount C0. As shown in FIG. 7, in the range of (d/D) greater than 0.04, (C/C0) greater than 1 is established, and the coolant inflow amount C becomes larger than the necessary coolant inflow amount C0. In the range of (d/D)xe2x89xa60.04, (C/C0)xe2x89xa61 is established, and the coolant inflow amount C does not exceed the necessary coolant inflow amount C0. Hence, from the viewpoint of the cooling ability, the diameter d of the drain hole 15 of the thimble screw 14 and the inner diameter D of the lower large-diameter portion of the guide thimble 2 must satisfy d greater than 0.04 D. In the fuel assembly according to this embodiment, an improved guide thimble is employed, and the inner diameter D of the lower large-diameter portion of the guide thimble 2 and the diameter d of the drain hole 15 of the thimble screw 14 are adjusted to satisfy 0.04 D less than d less than 0.08 D. Hence, the coolant can be sufficiently supplied also from the viewpoint of assuring the cooling function of the non fuel bearing components. From the viewpoint of moderating the fall impact of the control rod as well, the terminal velocity V of the control rod can be suppressed to be equal to or less than the fall velocity with which the fall impact of the control rod can be moderated. Therefore, flexural deformation of a dashpot 20 can be prevented. (Second Embodiment) The second embodiment of the present invention will be described with reference to FIG. 8 and FIGS. 9A to 9C. FIG. 8 is a view showing a state wherein a rotation preventive pin 17 for a thimble screw 14 in a fuel assembly according to the present invention is built into the thimble screw 14. FIGS. 9A, 9B, and 9C are views each showing a rotation preventive pin for a thimble screw in the fuel assembly according to this embodiment. As shown in FIG. 8, a shaft 23 of the thimble screw 14 has a guide hole 24 as a hole extending from a spot facing hole 18 of a seat 16 to a drain hole 15 on the distal end side in the longitudinal direction of the thimble screw 14. With the rotation preventive pin 17 being mounted in the spot facing hole 18, during operation of the nuclear reactor, a coolant enters from the spot facing hole 18 of the seat 16 as shown in the direction indicated by an arrow A and is drained from a drain hole distal end 25. If the control rod is dropped in the scram mode, the coolant enters the drain hole 15 from the drain hole distal end 25 as shown in the direction of an arrow F and is drained from the spot facing hole 18 of the seat 16. In the thimble screw 14 of the fuel assembly according to this embodiment, the rotation preventive pin 17 has, at its upper side namely the guide hole 24 side, a water receiving machined portion 26 formed of a recess with an arcuate section, so it receives the flow of the coolant passing through the guide hole 24 in the direction indicated by the arrow F in FIG. 8. The water receiving machined portion 26 increases the pressure drop of the coolant flowing in the direction of the arrow F. FIGS. 8 and 9C show an arcuate machined portion 30 formed of a recess with an arcuate section as a typical example of the rotation preventive pin 17 with the water receiving machined portion 26. Alternatively, the water receiving machined portion 26 may be a V-shaped machined portion 28 with a V-shaped section, as shown in FIG. 9A, or a flat machined portion 29, as shown in FIG. 9B. The water receiving machined portion 26 can have any shape as far as it can increase the pressure drop in the direction of the arrow F against the flow of the coolant passing through the guide hole 24 in the direction of the arrow F, when compared to a conventional case wherein a rotation preventive pin without a water receiving machined portion 26 is used. With the structure of the conventional rotation preventive pin, the ratio of the pressure drop coefficient for the flow of the coolant entering from the spot facing hole 18 of the seat 16 and draining from the drain hole distal end 25 as shown in the direction of the arrow A, to the pressure drop coefficient of the flow of the coolant entering from the drain hole distal end 25 and draining from the spot facing hole 18 of the seat 16 as shown in the direction of the arrow F, in the opposite manner, is almost 1:1. The thimble screw 14 of the fuel assembly according to this embodiment has the rotation preventive pin 17 with the above arrangement. Thus, the ratio of the pressure drop coefficient of the flow of the coolant entering from the spot facing hole 18 of the seat 16 and draining from the drain hole distal end 25 as shown in the direction of the arrow A, to the pressure drop coefficient of the flow of the coolant entering from the drain hole distal end 25 and draining from the spot facing hole 18 of the seat 16 as shown in the direction of the arrow F, in the opposite manner, can be raised to the range of 1:2 to 1:3. With the thimble screw 14 of the fuel assembly according to this embodiment, when the rotation preventive pin 17 with the shape as described above is used, the thimble screw 14 can also serve as a diode. Thus, while the non fuel bearing components have the same cooling ability as that of the conventional case, which is caused by the flow of the coolant in the direction of the arrow A, the decelerating effect of the control rod can be improved by the increase in fluid resistance against the flow in the direction of the arrow F. (Third Embodiment) The third embodiment of the present invention will be described with reference to FIGS. 10 and 11. FIGS. 10 and 11 are views each showing a thimble screw in a fuel assembly according to this embodiment. In a thimble screw 14 for the fuel assembly according to this embodiment, a guide hole 24 is formed in the thimble screw 14 on a distal end side 31, and a drain hole 15 is arranged in the thimble screw 14 on a seat 16 side. Referring to FIG. 10, a shaft 23 of the thimble screw 14 has the drain hole 15 extending between the guide hole 24 and a spot facing hole 18 of the seat 16. The opening area of the drain hole 15 is set smaller than the opening area of the guide hole 24 or the opening area of the spot facing hole 18. With a rotation preventive pin 17 being mounted in the spot facing hole 18, during operation of the nuclear reactor, a coolant enters from the spot facing hole 18 of the seat 16 in the direction of an arrow A in FIG. 10 and is drained from a guide hole distal end 32. When a control rod is dropped in the scram mode, the coolant flows in the direction of an arrow F and enters from the guide hole distal end 32 to flow through the spot facing hole 18 of the seat 16. After passing through the drain hole 15, the coolant forms a jet as the flow path area is abruptly increased by the spot facing hole 18 of the seat 16, and jets out toward the rotation preventive pin 17. With this arrangement, when the control rod is dropped in the scram mode and the coolant enters from the distal end side 31 in the direction of the arrow F, the rotation preventive pin 17 functions strongly as the fluid resistance against the jet. Thus, the pressure drop against the flow of the coolant in the direction of the arrow F can be increased, and the decelerating effect of the control rod can be improved. In the thimble screw 14 of the fuel assembly shown in FIG. 11, the rotation preventive pin 17 with the water receiving machined portion 26 in the thimble screw 14 shown in FIG. 8 and FIG. 9A, 9B, or 9C is combined with the thimble screw 14 with the arrangement shown in FIG. 10. This rotation preventive pin 17 has a water receiving machined portion 26, in the same manner as the rotation preventive pin 17 of the second embodiment. Since the thimble screw of the fuel assembly according to this embodiment has the above arrangement, the coolant enters from the guide hole distal end 32 in the direction of the arrow F shown in FIG. 10 and is discharged in the form of a jet from the drain hole 15 toward the rotation preventive pin 17. As the rotation preventive pin 17 strongly functions as a fluid resistance against the jet, the pressure drop for the flow of the coolant in the direction of the arrow F can be increased, and the decelerating effect of the control rod can be improved. Meanwhile, the rotation preventive pin 17 does not influence the flow rate resistance of the coolant in the direction of the arrow A. Thus, the coolant flow rate is assured, and the cooling ability of the non fuel bearing components can maintain the same effect as that of the conventional case. When the rotation preventive pin 17 with the water receiving machined portion 26 is combined with a thimble screw in which the opening area of the drain hole 15 is set smaller than the opening area of the guide hole 24 or the opening area of the spot facing hole 18, as in the thimble screw 14 of the fuel assembly shown in FIG. 11, the difference in pressure drop of the flow in the direction of the arrow A or F further increases. Therefore, a thimble screw for a fuel assembly with a better diode performance can be provided. Additional advantages and modifications will readily occur to those skilled in the art. Therefore, the invention in its broader aspects is not limited to the specific details and representative embodiments shown and described herein. Accordingly, various modifications may be made without departing from the spirit or scope of the general inventive concept as defined by the appended claims and their equivalents. |
|
045227691 | abstract | An improved method for compression molding typically brittle, particulate ceramic materials comprising uranium dioxide in the manufacture of nuclear fuel products. |
062999508 | abstract | The invention is a product and a process for making a fireproof, impact limiter, homogeneous aggregate material for casting inside a hazardous material shipping container, or a double-contained Type-B nuclear shipping container. The homogeneous aggregate material is prepared by mixing inorganic compounds with water, pouring the mixture into the void spaces between an inner storage containment vessel and an outer shipping container, vibrating the mixture inside the shipping container, with subsequent curing, baking, and cooling of the mixture to form a solidified material which encapsulates an inner storage containment vessel inside an outer shipping container. The solidified material forms a protective enclosure around an inner storage containment vessel which may store hazardous, toxic, or radioactive material. The solidified material forms a homogeneous fire-resistant material that does not readily transfer heat, and provides general shock and specific point-impact protection, providing protection to the interior storage containment vessel. The material is low cost, may contain neutron absorbing compounds, and is easily formed into a variety of shapes to fill the interior void spaces of shipping containers. |
053902289 | claims | 1. A method of stabilizing a shape of a reflective surface of a reflection-type object, comprising the steps of: measuring a temperature distribution of the reflective surface irradiated with radiation energy; and causing the temperature of a part of the reflective surface to be changed on the basis of the measurement to control the change of shape of the object, wherein the temperature is changed by irradiating infrared rays onto the reflective surface and adjusting an intensity distribution Of the infrared rays. determining a temperature distribution of the reflective surface in a thermally stable state; and controlling the temperature distribution of the reflective surface being irradiated with radiation energy to be the same as the temperature distribution in the thermally stable state, wherein the temperature distribution is controlled by irradiating infrared rays onto the reflective surface and adjusting an intensity distribution of the infrared rays. a reflection-type optical element having a reflective surface; irradiating means for irradiating said optical element with radiation energy; measuring means for measuring the temperature distribution of the optical element; and temperature control means for changing the temperature of a part of said optical element on the basis of the measurement by said measuring means, wherein said temperature controlling means comprises an infrared heater which irradiates infrared rays onto the reflective surface and adjusting means for adjusting an intensity distribution of the infrared rays. transfer means for exposing and transferring an exposure pattern to an object to be exposed by irradiating exposure energy onto a reflection-type mask having a front side with the exposure pattern formed thereon and a rear side; measuring means for measuring the temperature distribution of the mask; and temperature control means for changing the temperature of part of the mask on both the front and rear sides on the basis of the measurement by said measuring means. transfer means for exposing and transferring an exposure pattern to an object to be exposed by irradiating exposure energy onto a reflection-type mask having an exposure pattern formed thereon; measuring means for measuring the temperature distribution of the mask; and temperature control means for changing the temperature of part of the mask on the basis of the measurement by said measuring means, wherein said temperature control means comprises an infrared heater which irradiates infrared rays onto the mask and adjusting means for adjusting an intensity distribution of the infrared rays. preparing a reflection type mask having a circuit pattern formed thereon; measuring the temperature distribution of the mask; changing the temperature of a part of the mask on the basis of the measurement to obtain a substantially uniform temperature distribution of the mask; and exposing and transferring a circuit pattern of the mask onto a wafer by irradiating the mask with exposure energy, wherein the temperature of part of the mask is changed by irradiating infrared rays onto the mask and adjusting an intensity distribution of the infrared rays. preparing a reflection type mask having a circuit pattern formed thereon; determining a temperature distribution of the mask in a thermally stable state when the mask is being irradiated before the mask is irradiated with exposure energy; and exposing and transferring a circuit pattern of the mask onto a wafer by irradiating the mask with exposure energy, wherein the temperature distribution is controlled by irradiating infrared rays onto the mask and adjusting an intensity distribution of the infrared rays. preparing a reflection type mask having a circuit pattern formed thereon; measuring the temperature distribution of the mask; changing the temperature of a part of the mask on the basis of the measurement to obtain a substantially uniform temperature distribution of the mask; and exposing and transferring a circuit pattern of the mask onto a wafer by irradiating the mask with exposure energy, wherein the temperature of part of the mask is changed by irradiating infrared rays onto the mask and adjusting an intensity distribution of the infrared rays. preparing a reflection type mask having a circuit pattern formed thereon; determining a temperature distribution of the mask in a thermally stable state when the mask is being irradiated before the mask is irradiated with exposure energy; and exposing and transferring a circuit pattern of the mask onto a wafer by irradiating the mask with exposure energy, wherein the temperature distribution is controlled by irradiating infrared rays onto the mask and adjusting an intensity distribution of the infrared rays. a reflection-type optical element having a front side with a reflective surface and a rear side; irradiating means for irradiating said optical element with radiation energy; measuring means for measuring temperature distribution of the optical element on both the front and rear sides; and temperature control means for changing the temperature of part of said optical element on the basis of the measurement by said measuring means. transfer means for exposing and transferring an exposure pattern to an object to be exposed by irradiating exposure energy onto a reflection-type mask having a front side with the exposure pattern formed thereon and a rear side; measuring means for measuring temperature distribution of the mask on both the front and rear sides; and temperature control means for changing the temperature of part of the mask on the basis of the measurement by said measuring means. a reflection-type optical element having a front side with a reflective surface and a rear side; irradiating means for irradiating said optical element with radiation energy; measuring means for measuring the temperature distribution of said optical element; and temperature control means for changing the temperature of part of said optical element on both the front and rear sides on the basis of the measurement by said measuring means. 2. A method of stabilizing a shape of a reflective surface of a reflection-type object, comprising the step of: 3. An optical apparatus, comprising: 4. An optical apparatus according to claim 3, wherein said adjusting means includes a member for absorbing the infrared rays. 5. An optical apparatus according to claim 3, wherein said temperature control means comprises a cooler. 6. An optical apparatus according to claim 3, wherein said heater is disposed on a rear side of said irradiated optical element. 7. An exposure apparatus, comprising: 8. An apparatus according to claim 7, wherein the reflection-type mask comprises a mirror with the exposure pattern formed on its surface. 9. An exposure apparatus, comprising: 10. An exposure apparatus according to claim 9, wherein the reflection-type mask comprises the exposure pattern formed on a surface of a mirror. 11. An exposure apparatus according to claim 9, wherein the exposure pattern includes a circuit pattern of a semiconductor device. 12. A method of manufacturing semiconductor devices, comprising the steps of: 13. A method of manufacturing semiconductor devices, comprising the steps of: 14. Semiconductor devices manufactured by a manufacturing method comprising the steps of: 15. Semiconductor devices manufactured by a manufacturing method comprising the steps of: 16. An optical apparatus, comprising: 17. An apparatus according to claim 16, wherein said temperature control means comprises at least one of a heater and a cooler. 18. An exposure apparatus, comprising: 19. An apparatus according to claim 18, wherein the reflection-type mask comprises a mirror with the exposure pattern formed on its surface. 20. An optical apparatus, comprising: 21. An apparatus according to claim 20, wherein said temperature control means comprises at least one of a heater and a cooler. |
description | This application is a national phase application of and claims priority to PCT Application No. PCT/RU2013/000904 filed Oct. 14, 2013, which claims priority to Russian Patent Application No. 20120144862 filed on Oct. 22, 2012. The contents of the above-identified applications are hereby incorporated in their entirety by reference. The present disclosure relates to nuclear engineering, particularly to the design of the fuel assembly, and can be used in nuclear reactors using pressurized water. The present disclosure, in some aspects, relates to a connecting structure for a fuel assembly (e.g. patent RU No. 2412492 of Nov. 12, 2008, G21C3/33). A connecting structure of a fuel assembly may include: a locking bush having a projecting section formed at the upper end portion of the bush; a top nozzle having a mounting opening into which the upper end portion of the locking bush is inserted; and the lock key which is installed inside the locking bush installation opening, which has a portion of the opening formed on the bottom surface of the key. The portion of the opening may have a shape corresponding to the projection section. In view of the technical features of the present disclosure, the closest analog is the fuel assembly and device for mounting and removal of the top nozzle disclosed in patent RU No. 2075118 of 30.06.1992 G21C3/32, G21C19/00, which is chosen as a prototype. The present disclosure relates to the art of nuclear engineering, and more particularly to the design of fuel assemblies, and can be used in pressurized water reactors. Embodiments of the present disclosure may provide for a fuel assembly which may be easier to load and unload, may reduce the time required for the mounting and removal of the nozzles during manufacture of the fuel assembly, and may reduce the length of the reactor refueling process. The technical effect is a device with no detachable components which may make it possible to secure the nozzles in all of the guide channels simultaneously and to exclude welding seams, thus reducing the mounting and removal time of the nozzles, increasing manufacturability, simplifying and accelerating the fuel assembly manufacturing process and reducing the overall downtime of a nuclear reactor during repair of a fuel assembly at the time of refueling. The technical effect may be achieved in that in the nuclear reactor fuel assembly, which may comprise a bundle of fuel rods, guide channels, two nozzles, one of which has a bearing plate with openings, elements for the detachable connection of the nozzles to the guide channels, a detachable connection locking device and a locking device fasteners, the elements for the detachable connection of the nozzles to the guide channels have a cross-section size greater than the size of the openings in the nozzle bearing plate. Furthermore, the elements for the detachable connection of the nozzles to the guide channels may be designed such that the cross-section thereof can be decreased to enable passage through the openings in the nozzle bearing plate, and are secured in the guide channels. The locking device may be provided with bushes which secure the elements for the detachable connection of the nozzles to the guide channels, said bushes being disposed in the openings in the nozzle bearing plate. Furthermore, the locking device may be disposed in two nozzles simultaneously. The means for fastening the locking device to the bearing plate may be in the form of spring-loaded screws. The bushes of the locking device may be configured as a single component. In some embodiments, a nuclear reactor fuel assembly may comprise a bundle of fuel rods, guide channels, two nozzles, one of which has a bearing plate with openings, elements for the detachable connection of the nozzles to the guide channels, a detachable connection locking device and a locking device fasteners. The elements for the detachable connection of the nozzles to the guide channels may have a cross-section size greater than the size of the openings in the bottom nozzle bearing plate. The elements may be designed such that the cross-section thereof can be decreased to enable passage through the openings in the bottom nozzle bearing plate, and may be secured in the guide channels, and the locking device being provided with bushes which secure the elements for the detachable connection of the bottom nozzles to the guide channels, said bushes being disposed in the openings in the bottom nozzle bearing plate. In some embodiments, the locking device can be disposed in two bottom nozzles simultaneously. In some embodiments, all bushes of the locking device may be configured as a single component. In some embodiments, the means for fastening the locking device to the bearing plate may be in the form of spring-loaded screws. In some embodiments, the present disclosure may make it possible to reduce the duration of operations for the manufacture and repair of a fuel assembly, and to provide increased manufacturability by reducing the number of fastening elements and simplifying the design thereof. Embodiments of the present disclosure provide for fuel assemblies that may comprise a bundle of fuel elements mounted vertically in a frame, assembled from spacer grids, and two nozzles: top and bottom. One of the nozzles of the fuel assembly (the top nozzle) may comprise a base and bearing plate having openings covering elements with guide bushes providing the nozzle socket with guide channels, the retaining device being held on the bearing plate by fasteners. Embodiments of the present disclosure may improve the connection security of the top nozzle with the guide channels, provide mounting and removal of the top nozzle assembled separately from the bundle, and may be a complete assembly of one piece. The assembly may be removed by means of removal of the top nozzle at any stage of the life cycle of the fuel assembly. After mounting the top nozzle of the fuel assembly onto the guide tubes, it may be necessary to carry out its fastening. Fastening may be performed by means of supports which may be screwed on each guide bush or mounted by welding. In some embodiments of the present disclosure, a fuel assembly may need to use a special device for mounting and removal of the top nozzle. The large number of detachable parts and the large number of welds for fixing these parts (supports) may reduce the manufacturability and may increase the fabrication workload. Moreover, welds may need to be cut during the removal process, which may lead to penetration of slag into the guide channels. In some embodiments, the present disclosure may eliminate the need for disassembly of components during the mounting and removal of the top nozzle. Eliminating the need for disassembly may also eliminate the possibility of contact with foreign bodies and contaminating the fuel. In some embodiments of the present disclosure, threaded connections may be present. The presence of threaded connections may, under some conditions, hamper quick mounting/removal of the integral part of the fuel assembly. Embodiments of the present disclosure may provide a fuel assembly which may allow one to simplify the process of mounting and removal, to reduce the period of time for mounting and removal of nozzles in the process of the manufacturing of the fuel assembly and refueling of the reactor. The technical effect of the present disclosure may provide for devices without detachable components which may make it possible to secure the nozzles in all of the guide channels simultaneously and to exclude welding seams, thus reducing the mounting and removal time of the nozzles, increasing manufacturability, simplifying and accelerating the fuel assembly manufacturing process, and reducing the overall downtime of a nuclear reactor during repair of a fuel assembly at the time of refueling. This technical effect is achieved in that in the nuclear reactor fuel assembly, which may comprise a bundle of fuel rods, guide channels, two nozzles, one of which may have a bearing plate with openings, elements for the detachable connection of the nozzles to the guide channels, a detachable connection locking device and a locking device fasteners, the elements for the detachable connection of the nozzles to the guide channels have a cross-section size greater than the size of the openings in the nozzle bearing plate. Furthermore, the elements for the detachable connection of the nozzles to the guide channels may be designed such that the cross-section thereof may be decreased to enable passage through the openings in the nozzle bearing plate, and may be secured in the guide channels. The locking device may be provided with bushes which secure the elements for the detachable connection of the nozzles to the guide channels, said bushes may be disposed in the openings in the bottom nozzle bearing plate. Furthermore, the locking device may be disposed in two nozzles simultaneously. The means for fastening the locking device to the bearing plate may be in the form of spring-loaded screws. The bushes of the locking device may be configured as a single component. The present disclosure may make it possible to reduce the duration of operations for the manufacture and repair of a fuel assembly as a result of the possibility of securing one or both nozzles in all of the guide channels simultaneously, and as a result of the exclusion of removable components. The present disclosure may also provide increased manufacturability by reducing the number of fastening elements and simplifying the design thereof. A fuel assembly of a nuclear reactor may consist of a bundle of, or plurality of, fuel elements 1 or rods, covered, or supported, by the spacer grids 2, a plurality of guide channels 3, the top nozzle 4 and the bottom nozzle 5. Either the top nozzle 4 or bottom nozzle 5 may comprise a body 6, a bearing plate 7 with openings 9, a locking device, and locking device fasteners 12. The locking device is comprised of the locking device plate 8 and bushes 11. The guide channels 3 have elements 10, or means, for detachably connecting to either nozzle 4, 5. Openings 9 of size D1 may be provided in the bearing plate 7. The elements 10 of the guide channels may be arranged in the openings 9, providing the releasable connection of a respective top nozzle 4 or bottom nozzle 5 with the guide channels 3, the cross-sectional size of which D2 may be larger than the size D1 of the openings 9 in the bearing plate 7 of the corresponding nozzle 4, 5. The elements 10 of the guide channels 3 may be rigidly secured to the guide channels 3, and the locking device plate 8 of the releasable connection may be provided with bushes 11, which may lock the elements 10 of the guide channels 3 and prevent removal of the respective nozzle 4, 5. The locking device plate 8 may be fixed to the bearing plate 7 using locking device fasteners 12 made in the form of spring-loaded screws. All of the bushes 11 of the locking device may be configured as a single component. The elements 10 of the guide channels 3 providing the releasable connection to a respective nozzle 4, 5 with the guide channels 3 can be configured as collets having protrusions to abut on the bearing plate 7 of the respective nozzle. The collets may be configured to have incisions allowing the collet to deform to bring together its projections with the ability to pass into the openings 9 of the bearing plate 7 of the respective nozzle 4, 5. The fuel assembly of a nuclear reactor may operate as follows. To repair, removal of the top nozzle 4 or bottom nozzle 5 of the fuel assembly may be required. To remove the respective nozzle 4,5, the locking device may be raised and the elements 10 of all the guide channels 3 may be released. Thereafter, the top nozzle 4 or the bottom nozzle 5 can be removed using standard equipment. After completion of the repair of the fuel assembly, the respective nozzle 4,5 may be mounted on all the elements 10 of the guide channels 3. In order to fix the respective nozzle 4,5, the bushes 11 of the locking device 8 are installed in the respective openings 9 of the bearing plate 7. When the respective nozzle 4, 5 is mounted on the guide channels 3, the locking device may be fixed to the bearing plate 7 using the locking device fasteners 12. The fuel assembly may then be ready for operation. Thus, the use of the fuel assembly of the proposed design may allow a reduction of the period of time for repair and a reduction in the number of removable (loose) parts used in the assembly. |
|
abstract | A target debris collection device for extreme ultraviolet (EUV) light source apparatus, includes a baffle body extending within an EUV vessel between a collector and an outlet port of the EUV vessel to allow EUV light reflected from the collector to pass through an internal transmissive region thereof, a discharge plate provided in a first end portion of the baffle body adjacent to the collector to collect the target material debris on an inner surface of the baffle body, a guide structure to guide the target material debris collected in the discharge plate to a collection tank, and a first heating member provided in the guide structure to prevent the target material debris from being solidified. |
|
description | This is a continuation of application Ser. No. 11/183,877 filed on Jul. 19, 2005, now U.S. Pat. 7,199,922, which is hereby incorporated into the present application by reference and which is a divisional of application Ser. No. 10/208,842 filed Aug. 1, 2002, now U.S. Pat. No. 6,927,901, which is hereby incorporated into the present application by reference and which claims benefit of Provisional Application No. 60/308,861 filed Aug. 1, 2001, which is incorporated into this application by reference. 1. Field of the Invention The invention relates to a projection lens for imaging a pattern arranged in an object plane onto an image plane employing electromagnetic radiation from the extreme-ultraviolet (EUV) spectral region. 2. Description of the Related Art Projection lenses of that type are employed on projection exposure systems used for fabricating semiconductor devices and other types of microdevices and serve to project patterns on photomasks or reticles, which shall hereinafter be referred to using the generic terms “masks” or “reticles,” onto an object having a photosensitive coating at ultrahigh resolution. In order to allow creating even finer structures, various approaches to improving the resolving power of projection lenses are being pursued. It is well known that resolving power may be improved by increasing the image-side numerical aperture (NA) of the projection lens. Another approach is employing shorter-wavelength electromagnetic radiation. However, improving resolution by increasing numerical aperture has several disadvantages. The major disadvantage is that the attainable depth of focus (DOF) decreases with increasing numerical aperture, which is disadvantageous because, for example, a depth of focus of the order of at least one micrometer is desirable in view of the maximum-attainable planarity of the substrate to be structured and mechanical tolerances. Systems that operate at moderate numerical apertures and improve resolving power largely by employing short-wavelength electromagnetic radiation from the extreme-ultraviolet (EUV) spectral region have thus been developed. In the case of EUV-photolithography employing operating wavelengths of 13.4 nm, resolutions of the order of 0.1 μm at typical depths of focus of the order of 1 μm may theoretically be obtained for numerical apertures of NA=0.1. It is well known that radiation from the extreme-ultraviolet spectral region cannot be focused using refractive optical elements, since radiation at the short wavelengths involved is absorbed by the known optical materials that are transparent at longer wavelengths. Mirror system that have several imaging, i.e., concave or convex, mirrors that have reflective coatings arranged between their object plane and image plane and define an optical axis of the projection lens are thus employed in EUV-photolithography. The reflective coatings employed are typically multilayer coatings having, for example, alternating layers of molybdenum and silicon. A reflective lens for use in EUV-photolithography that has four mirrors, each of which has reflective coatings with uniformly thick layers, is disclosed in U.S. Pat. No. 5,973,826. Another EUV-photolithographic system is shown in U.S. Pat. No. 5,153,898. That system has a maximum of five mirrors, at least one of which has an aspherical reflecting surface. Numerous combinations of materials for multilayer reflective coatings suitable for use in the EUV are stated. Their layers all have uniform thicknesses. Although reflective coatings with uniform thicknesses are relatively simple to deposit, in the case of imaging systems where the angle of entry, or angle of incidence, of the radiation employed on those areas of the mirrors utilized varies, they usually generate high reflection losses, since the thicknesses of their layers are optimized for a specially selected angle of incidence, or a narrow range of angles of incidence, only. Another of their disadvantages is a nonuniform pupil irradiance that causes a telecentricity error, structurally dependent or field-dependent resolution limits (so-called “H-V-differences or “CD-variations”), and generally lead to a narrowing down of the processing window. Reflective EUV-imaging systems that have mirrors that have graded reflective coatings that are characterized by the fact that they have a film-thickness gradient that is rotationally symmetric with respect to the optical axis of the entire system are also known (cf. U.S. Pat. No. 5,911,858). Employing graded reflective coatings allows achieving a more uniform distribution of the reflected intensity over a certain range of angles of incidence. Photolithographic equipment, or steppers, employ two different methods for projecting a mask onto a substrate, namely, the “step-and-repeat” method and the “step-and-scan” method. In the case of the “step-and-repeat” method, large areas of the substrate are exposed in turn, using the entire pattern present on the reticle. The associated projection optics thus have an image field that is large enough to allow imaging the entire mask onto the substrate. The substrate is translated after each exposure and the exposure procedure repeated. In the case of the step-and-scan method that is preferred here, the pattern on the mask is scanned onto the substrate through a movable slit, where the mask and slit are synchronously translated in opposite directions at rates whose ratio equals the projection lens' magnification. It is one object of the invention to provide an EUV-projection lens operable at high numerical aperture that will allow largely correcting distortion errors along all image directions and providing sufficiently symmetric, high-intensity, illumination of the image field, while maintaining adequate-quality imaging. It is another object to provide a projection lens that, from the optical standpoint, represents a reasonable compromise among wavefront errors, distortion, total transmittance, field uniformity, and uniform pupil irradiance. As a solution to these and other object the invention, according to one formulation, provides a projection lens for imaging a pattern arranged in an object plane onto an image plane employing electromagnetic radiation from the extreme-ultraviolet (EUV) spectral region, wherein several imaging mirrors that have reflective coatings and define an optical axis of the projection lens are arranged between the object plane and the image plane, wherein at least one of those mirrors has an acentric, graded, reflective coating that has a film-thickness gradient that is rotationally symmetric with respect to a coating axis, wherein that coating axis is acentrically arranged with respect to the optical axis of the projection lens. The acentricity or eccentricity of a graded, rotationally symmetric, reflective coating with respect to the optical axis of the entire system provided by the invention yields an additional degree of freedom for optimizing the optical characteristics of the projection lens that is lacking in conventional systems, where due account should be taken of the fact that the design, or optical layout, of an EUV-projection system may be roughly segregated into two, consecutive, stages. The first stage is optimizing the layout and designs of the uncoated mirror substrates using a computer and suitable optical-design software, duly allowing for the traditional criteria, such as wavefront aberrations, distortion, assembly conditions, fabrication conditions, etc. Their reflective coatings are then computed and the design recomputed, duly taking account of their reflective coatings. The coatings are effectively “stuck onto” the uncoated substrates, which generally results in imaging performance that is much worse than that of the system with uncoated optics, which, as a rule, will be intolerable unless the system is subsequently reoptimized. Among other things, that reoptimization should take account of wavefront aberrations and wavefront apodizations caused by the reflective coatings. The competing effects involved are primarily total system transmittance and field uniformity. It has been found that these key properties are usually affected in opposite manners by the sorts of design modifications available. Good compromise solutions that provide adequate total transmittance combined with adequate field uniformity may be particularly favorably obtained using acentric, rotationally symmetric, reflective coatings, where it has generally been found that providing acentric, graded, reflective coatings is particularly beneficial to total transmittance. Field uniformity, on the other hand, is benefited by reflective coatings that are centered on the optical axis. Angular-range computations for the individual reflective surfaces, from which, in particular, the area of each mirror that is actually utilized (their “footprints”), the average angle of incidence at every point on their surface and the angular bandwidths, or ranges of angles of incidence, at each point on their surfaces may be derived, usually serve as the starting point for this reoptimization. The particularly important items of that data are the average angles of incidence and the ranges of angles of incidence (angular bandwidths). Since the primary purpose of the reflective coatings employed on EUV-systems is reflecting as much of incident electromagnetic radiation as possible, designs may be optimized for maximum reflectance, where the average angles of incidence at every point obtainable from angular-range computations may be called upon and used as a basis for computing the film thicknesses needed for optimizing reflectance. The manner in which this proceeds will be largely determined by the shape of the object field, which, in the case of the preferred embodiment, is an annular segment. It has proven beneficial to provide that at least that mirror that has the largest range of angles of incidence, i.e., the largest angular bandwidth, has an acentric, graded, reflective coating. The invention is based on the recognition that employment of constant film thicknesses causes enormous reflectance losses on systems where angles of incidence vary widely over their reflective sections, since films that have constant thicknesses may be optimized for a specially selected angle of incidence, or for a narrow range of angles of incidences, only. This is particularly a problem on high-aperture systems, e.g., systems for which NA>0.2, since angles of incidence on their mirrors are largely determined by their numerical aperture. Once those mirrors that have the largest range of angles of incidence have been identified, design modifications, such as shifting a rotationally reflective coating off-axis, will allow highly effectively tailoring the system's imaging characteristics. In the case of a preferred embodiment, the range of angles of incidence of that mirror that has the largest range of angles of incidence extends to angles less than 5° to 10° and angles exceeding 10° to 15°. The range of angles of incidence involved may, for example, range from about 1° to about 17°. In cases where a reflective system is to be optimized for extremely high total transmittance and field uniformity is either unimportant or plays a minor role, it may be beneficial to employ at least one of those mirrors having the largest range of angles of incidence has an acentric, graded, reflective coating whose film-thickness gradient may be optimized in the radial direction such that they will have high reflectance for the radiation employed over the full range of angles of incidence involved. However, in cases where complex optimizations are involved, it may turn out that employing coatings that have been optimized for high reflectance will displace the system so far from an original local minimum that had been reached that that minimum will no longer be automatically locatable, which might result in a new design, instead of a reoptimized design. Since employing a single, acentric, graded, reflective coating on a reflective system may, in addition to the desired beneficial effects, also adversely affect imaging performance, a preferred embodiment has a mirror that has a first, acentric, graded, reflective coating and at least one other mirror that has a second, acentric, graded, reflective coating whose acentricities, film-thickness gradients, etc., have been adapted to suit one another such that the contributions of their reflective coatings to certain imaging errors are at least partially compensated, where distortion along the cross-scan direction (the x-direction) will be particularly critical, since, for this sort of distortion, there is no compensating effect along the orthogonal y-direction due to the scanning. In the case of preferred embodiments, the acentricities of their reflective coatings are thus configured such that their coating axis is acentric with respect to the projection lens' optical axis along a y-direction, which, in the case of a scanner, corresponds to the scanning direction. It has proven beneficial to provide that the film thicknesses, d, of their rotationally symmetric film-thickness gradients have the following form: d = d 0 ∑ i = 0 n c 2 i ( r - r 0 ) 2 i , ( 1 ) where d0 is the optimal film thickness for normal incidence (0° angle of incidence), r0 is the acentricity of the coating axis relative to the system's optical axis in the x-y plane, r is the current radial coordinate in the x-y plane, the parameters, c are polynomial coefficients, and n is an integer. The preferred film-thickness gradient may thus be described by a second-order polynomial lacking any odd-powered terms, in particular, lacking a linear term, that may, in the simplest case, be, for example, a parabola. A preferred projection lens that will be described in detail in conjunction with a sample embodiment has six mirrors between its object plane and image plane. The fifth mirror following its object plane is that mirror that has the largest range of angles of incidence. In the case of a system that has been optimized for maximum reflectance, this mirror may have a centered, graded, reflective coating. In the case of other embodiments, several of their mirrors are provided with centered, graded, reflective coatings that have been adapted to suit one another such that their pupil-irradiance distributions are substantially rotationally symmetric. Preferred here are two, and no more than four, such mirrors, since lateral film-thickness gradients are difficult to deposit. At least this fifth mirror is provided with an acentric, graded, reflective coating in order to allow simultaneously optimizing several quality criteria, in particular, total transmittance and distortion. Particularly beneficial results are achieved when at least one of those mirrors that precede the fifth mirror, for example, the third or fourth mirror, also has an acentric, graded, reflective coating, where the axes of rotation of both film-thickness gradients of the cascaded, graded, reflective coatings are acentrically displaced parallel to the optical axis such that their respective contributions to distortion along the cross-scan direction at least partially compensate one another. The foregoing and other characteristics will be apparent, both from the claims and from the description and the drawings, where the individual characteristics involved may represent characteristics that are patentable alone or in the form of combinations of subsets thereof in an embodiment of the invention and in other fields. In the following description of the major principles of the invention, the term “optical axis” shall refer to a straight line or a sequence of straight-line segments passing through the paraxial centers of curvature of the optical elements involved, which, in the case of embodiments described here, consist exclusively of mirrors that have curved reflecting surfaces. In the case of those examples presented here, the object involved is a mask (reticle) bearing the pattern of an integrated circuit or some other pattern, such as a grating. In the case of those examples presented here, its image is projected onto a wafer coated with a layer of photoresist that serves as a substrate, although other types of substrate, such as components of liquid-crystal displays or substrates for optical gratings, may also be involved. A typical layout of an EUV-projection lens 1 based on a preferred sample embodiment is shown in FIG. 1. It serves to project an image of a pattern on a reticle or similar arranged in an object plane 2 onto an image plane 3 aligned parallel to that object plane on a reduced scale, for example, a scale 4:1. Imaging is by means of electromagnetic radiation from the extreme-ultraviolet (EUV) spectral region, in particular, at an operating wavelength of about 13.4 nm. A total of six mirrors 4-9 that have curved reflecting surfaces, and are thus imaging mirrors, are mutually coaxially arranged between the object plane 2 and image plane 3 such that they define a common optical axis 10 that is orthogonal to the image plane and object plane. The substrates of those mirrors 4-9 have rotationally symmetric, aspherical, surface figures whose symmetry axes coincide with their common physical axis 10. This six-mirror system, which has been designed for operation in step-and-scan mode and operates with an off-axis annular field, achieves a numerical aperture, NA, of NA=0.25 for an annular field with typical field dimensions of 2 mm×26 mm. As may be seen from FIG. 1, light from an illumination system (not shown) that includes a soft-X-ray light source initially strikes a reflective mask arranged in the object plane 2 from the side of the object plane 2 opposite the image. Light reflected by the mask strikes a first mirror 4 that has a concave reflecting surface facing the object that reflects it, slightly narrowed down, to a second mirror 5. This second mirror 5 has a concave reflecting surface facing the first mirror 4 that reflects the radiation toward a third mirror 6, in the form of a convergent beam. This third mirror 6 has a convex reflecting surface that reflects the off-axial incident radiation to a fourth mirror 7 that is utilized in a mirror section situated far away from the optical axis and reflects incident radiation to a fifth mirror 8 arranged in the vicinity of the image plane 3, while forming a real intermediate image 11. The latter mirror has a convex reflecting surface facing away from the image plane that reflects the incident, divergent, radiation toward a sixth mirror 9 that has a concave reflecting surface facing the image plane 3 that reflects incident radiation and focuses it on the image plane 3. All reflecting surfaces of the mirrors 4-9 have reflectance-enhancing reflective coatings deposited on them. In the case of preferred embodiments, these coatings are stacks of, for example, about forty alternating pairs of layers, each of which includes a layer of silicon and a layer of molybdenum. As related to FIGS. 17-19, the several imaging mirrors of the EUV projection lens 1 of FIG. 1 have reflective coatings and define an optical axis of the projection lens. The relation of these several mirrors to the optical axis 10 of the projection lens is illustrated in FIG. 17, where exemplary mirrors 101 and 102 have curvature surfaces 101a and 102a with axes coaxial with optical axis 10. As shown in FIG. 1, the several mirrors are arranged between the object plane and the image plane, and at least one of those mirrors has an acentric, graded, reflective coating (such as coating 105b of mirror 105, FIG. 19) that has a film-thickness gradient that is rotationally symmetric with respect to a coating axis (such as coating axis 105c, FIG. 19), wherein that coating axis is acentrically arranged with respect to the optical axis 10 of the projection lens. As shown by exemplary mirror 105 of FIG. 19, the coating axis 105c of the coating 105b is offset from the optical axis 10 by a distance yde. Table 1 summarizes the design shown in tabular form, where its first row lists the number of the reflective, or otherwise designated surfaces, involved, its second row lists the radius of those surfaces, and third row lists the distance between the respective surface involved and the next surface. The algebraic signs of the radii have been chosen such that a positive sign corresponds to a center of curvature of the reflecting surface that lies on the image-plane side. Its fourth through ninth rows, which are designated “A” through “E,” list the aspheric coefficients of the aspherical reflecting surfaces. It may be seen that all reflecting surface are spherically curved. Their aspherical surfaces may be computed using the following equation:p(h)=[((1/r)h2)/(1+SQRT(1−(1+K)(1/r)2h2))]+Ah4+Bh6+ where 1/r is their curvature and h is the distance of a point on their surface from the optical axis. p(h) thus represents the radial distance of a point on their surface from the inflection point of their surface along the z-direction, i.e., along the optical axis. The constants K, A, B, etc., are listed in Table 1. The coefficients, C0, C2, xde, and yde, listed in the rows that follow describe the film-thickness gradients for the reflective coatings that, in the case of a preferred embodiment, are applied to the respective mirrors, in accordance with the formula appearing in Eq. 1, which has been explained above, where r0=√{square root over (xde2+yde2)}. The effects that the reflective coatings chosen have on the imaging performance of the projection lens will now be discussed in several stages. Computerized design of those reflective coatings was conducted under the boundary condition that each of the coating designs employed should be allowed to distort the transmitted wavefront only to the point where the entire system would not be displaced from a local minimum of its characteristics that was found when the system's basic design was developed for the case of uncoated substrates, where higher-order wavefront errors hardly occurred at all. The major effects are distortion and defocusing. In addition to the wavefronts, described by, for example, Zernike coefficients and distortion along the scanning direction, the y-direction, and the cross-scan direction, the x-direction, the quality criteria that apply to such coating designs are field uniformity and pupil apodization. System design and fabrication characteristics remain virtually unchanged compared to the those of the basic design with uncoated substrates. We shall start off by describing a projection lens, all of whose reflective coatings have constant film thicknesses, where it is useful to compute an average angle of incidence from the computations of ranges of angles of incidence for all mirrors, where their average angles of incidence should be computed over their entire utilized surfaces. The associated, optimal, film thicknesses are then computed, based on these global average angles of incidence, and inserted into an associated coating design in a known manner. The major effect of these uniformly thick films is a constant image offset along the scanning direction, accompanied by a readily recognizable defocusing. This first-order error may be corrected by a reoptimization. Wavefront aberrations, which are designated by their rms-values, were about 20% worse than those for designs with uncoated mirrors. FIGS. 2 and 3 present plots of the irradiance distribution at the projection lens' circular exit pupil for two field points, where FIG. 2 plots the distribution for a field point lying on the system's symmetry axis and FIG. 3 plots the distribution for a field point at the edge of its annular field. The percentages stated designate fractions of the irradiance at the entrance of the projection lens. In keeping with the rotational symmetry of the system and the coatings employed, which are rotationally symmetric with respect to any axis parallel to the optical axis due to their constant film thicknesses, these two irradiance distributions differ only in a rotation about the exit pupil's axis. The rotation angle involved results from the location of the field point in the object plane or image plane. These schematic representations show that a pronounced pupil apodization occurs. The irradiance level varies from about 3% to 14% over the pupil. Those areas having differing pupil irradiances are indicated by contours of constant intensity in FIGS. 2 and 3. The special form of these distributions, whose center lies outside the exit pupil, would cause large differences (h-v-differences) between the critical dimensions (CD-values) for horizontal and vertical features. FIG. 4 schematically depicts the transmittance distribution over the field. Although the variations along the scanning direction, which correspond to the plot's y-axis, have no significant adverse effects due to the integrating effect of scanning, the nonuniformities normal to the scanning direction, i.e., the cross-scan direction, or x-direction, are responsible for CD-variations over the field. However, weighting the irradiation distribution with the irradiance distribution at the reticle will allow achieving a dynamic uniformity of around 1%, which may be adequate for many types of applications. In the case of the example shown, the average transmittance is about 13%, which represents a very high value, in view of simple reflective coatings employed. In the case of the design shown in FIG. 1, the fifth mirror 8 near the image is the mirror that has the largest variation of average angle of incidence over its utilized reflective surface, where “angle of incidence” is defined as the angle between the direction at which incident radiation is incident and the normal to its reflecting surface at the location where radiation strikes that surface. The angles of incidence involved range from about 1° to 17°. Coating this mirror with coatings that have a constant thickness will cause relatively high reflection losses. A beneficial compromise between high reflectance and acceptable wavefront corrections may be achieved by providing that at least this mirror 8 has a rotationally symmetric, graded, reflective coating whose film-thickness gradient may be described by Eq. 1. It has been found that in order to significantly improve reflectance it may be sufficient to adapt the film-thickness gradient along the symmetry axis to suit the average angle of incidence involved. This will usually be a linear gradient and may be well-adapted using the polynomial of Eq. 1, provided that a corresponding acentricity (r0≠0) may be tolerated. FIGS. 5 and 6 present plots of intensity distributions at the exit pupil that yield a high average transmittance of, for example, 13.7%, with a variance of from about 12% to about 14%, which is thus much less than for the multilayer coatings with constant film thicknesses described above. However, the field uniformity shown in FIG. 7, which has a variance of about 2.5%, is somewhat worse than for the case of coatings with constant film thickness. However, multilayer coatings of this type, which have lateral film-thickness gradients, are suitable for use in exceptional cases only due to their relatively high distortion along the cross-scan direction (the x-direction), since scanning generates no effects that will compensate for this distortion. We have been able to show that this distortion along the cross-scan direction is largely attributable to the acentricity of the graded reflective coating on the fifth mirror 8. This effect can thus be reduced by keeping the acentricity involved small or arranging the graded reflective coating such that it is rotationally symmetric with respect to the optical axis. Typical optical characteristics of a design that employs a centered, graded, reflective coating on the fifth mirror are shown in FIGS. 8 through 10. It may be seen that pupil apodization, which is about 8% in the vicinity of the pupil's axis and about 14% at the edge of the pupil, is relatively large. However, it is rotationally symmetric with respect to the pupil's axis and therefore also independent of field point, which then also immediately yields the near-perfect uniformity (<0.4%) over the entire field shown in FIG. 10. The total transmittance, 12%, is less than that for the design under consideration. Another embodiment that has been optimized to yield a rotationally symmetric pupil apodization will now be described, based on FIGS. 11 through 13. In the case of this embodiment, centered, graded, reflective coatings have been applied to two mirrors, namely, to the fifth mirror 8, which has the largest range of angles of incidence, and to the sixth mirror 9 that is arranged ahead of it in the optical path. The centered film-thickness gradients of these mirrors are adapted to suit one another such that the pupil apodization is largely rotationally symmetric, as in the case of the embodiment shown in FIGS. 8 through 10. However, unlike that embodiment, in this case, the pupil apodization exhibits smaller absolute variances over the exit pupil, which significantly improves the uniformity of the illumination compared to the case where a single, centered, graded, reflective coating is employed. As may be seen from FIGS. 11 through 13, the irradiance variances at the exit pupil, which only range from about 13.4% to about 15.6%, are much less than the corresponding variances for an embodiment that employs just a single, centered, graded, reflective coating (cf. FIGS. 8 through 10). Furthermore, its total transmittance, which is about 14.7%, is much better than the latter embodiment and its field uniformity, which is less than 0.4%, is nearly perfect (cf. FIG. 13). Its rotationally symmetric exit pupil is achieved by tailoring the film-thickness gradients of the coatings on its third and fifth mirrors. This example shows that employing several, centered, graded, reflective coatings whose film-thickness gradients have been suitably adapted to suit one another will allow achieving substantially rotationally symmetric pupil-irradiance distributions. An embodiment that allows a compromise between total transmittance and field uniformity will now be described, based on FIGS. 14 through 16. In order to correct for the distortion along the cross-scan direction caused by employment of acentric multilayer coatings mentioned above, it is preferentially foreseen that that the film-thickness gradients of the coatings on several, i.e., at last two, mirrors will be acentric and their respective acentricities will have been adapted to suit one another such that they partially, or fully, compensate for their contributions to distortion. FIGS. 14 through 16 present the characteristics of a design wherein, in addition to the fifth mirror 8 and sixth mirror 9, the third mirror 6, also has an acentric, graded, reflective coating. In addition to correcting for distortion along the cross-scan direction (residual distortions are typically less than 1 nm) and acceptable wavefront corrections (typical rms variances of less than 30 mλ), the system has a very high total transmittance of about 13.6% and an acceptable static field uniformity, which is plotted in FIG. 16, of about 1.6%. The field uniformity, as integrated by scanning motions, should be even less, around 1% or less, and thus much better. It may be seen from FIGS. 14 and 15 that these coatings generate a gradient in the irradiance distribution at the pupil that is somewhat worse than for the case where centered, graded, reflective coatings are employed (cf. FIGS. 8 and 9). The variances involved range from about 9% to 14%. However, the apodization is neither complete nor rotationally symmetric, which may adversely affect telecentricity and the processing window. To specialists in the field, it will be clear from the explanation of the fundamental principles of the invention that, in the case of projection lenses designed for use in EUV-microlithography, employing suitably applied and, if necessary, combined, acentric, graded, reflective coatings will allow good compromises between total transmittance and field uniformity. Particularly beneficial therefor are designs that employ several, acentric, graded, reflective coatings, since employment of suitable relative arrangements of such coatings allows compensating for their adverse effects on imaging errors, such as distortion, while largely retaining their good total transmittance. If necessary, any intolerable residual errors may still be eliminated by employing additional, acentric, graded, reflective coatings. For example, an acentric grading may be applied to the first mirror in order to minimize the acentricity at the pupil recognizable in FIGS. 14 and 15, without significantly reducing total transmittance The above description of the preferred embodiments has been given by way of example. From the disclosure given, those skilled in the art will not only understand the present invention and its attendant advantages, but will also find apparent various changes and modifications to the structures and methods disclosed. It is sought, therefore, to cover all changes and modifications as fall within the spirit and scope of the invention, as defined by the appended claims, and equivalents thereof. TABLE 1Surface No.ObjectM1M2M3M4M5M6ImageRadius−10704.66651058.26338355.429333565.293287549.218427535.96002Distance763.156811−508.895688592.994217−263.021453857.514737−437.185791481.268511K0.129826−0.0002420.0003280.7993550.0002270.000006A5.01967E−10−8.68517E−11−8.94789E−10−6.05680E−105.28599E−096.69253E−11B−3.60955E−15 −8.37923E−16 1.08954E−14−1.14820E−151.32773E−133.07601E−16C4.75929E−20 −7.38993E−21−1.55248E−18−3.64576E−20−2.91744E−18 1.31588E−21D−1.15371E−24 −2.26675E−25 1.19824E−22 2.50168E−256.32401E−221.28668E−27E2.35510E−29−8.68225E−30−3.89134E−27−1.67219E−30−6.82763E−26 7.45365E−32C0 1.005E+00 1.007E+00 1.577E+00 1.010E+00 1.035E+00 1.002E+00C2 0.000E+00 0.000E+00 −5.062E−08 0.000E+00 −6.996E−06 0.000E+00xde000000yde003159.89014.55380 |
|
claims | 1. A fuel rod assembly, comprising:a fuel rod;wherein the fuel rod includes a cladding;wherein the cladding includes a weldless, solid-rolled tubular element with spiraled ribs located on an outer surface of the fuel rod and is made of chrome silicon steel with a ferrite grain size of less than 0.0336 mm;wherein an opening angle of each rib is between 30° and 40° and a cross-sectional shape of the rib is a trapezoid with rounded corners at a top portion of the trapezoid and with smoothed corners at a base portion of the trapezoid;wherein the fuel rod is sealed at ends thereof and nuclear fuel is placed inside the cladding;wherein each rib has a height of at least 0.75 mm and a wall thickness of a maximum of 0.6 mm;wherein the trapezoid has a curvature radius of 0.2-0.35 mm;wherein the trapezoid has a fillet radius of 0.55-0.9 mm;wherein the fuel rod assembly is operable to be used in a reactor with a heavy liquid metal coolant, wherein the heavy liquid metal coolant includes lead or a lead-bismuth mixture. 2. The fuel rod assembly according to claim 1, wherein the cladding is made of steel with a chromium content between 10 wt % and 12 wt % and a silicon content between 1.0 wt % and 1.3 wt %. 3. The fuel rod assembly according to claim 1, wherein the cladding has four spiraled ribs that are equally spaced apart. |
|
summary | ||
description | This application is a divisional of U.S. application Ser. No. 13/328,628, filed Dec. 16, 2011. The disclosure of the priority application is incorporated in its entirety herein by reference. Field The present disclosure relates to extreme ultraviolet (“EUV”) light sources that provide EUV light from plasma created by converting a target material. Background Extreme ultraviolet light, e.g., electromagnetic radiation having wavelengths of around 50 nm or less (also sometimes referred to as soft x-rays), and including light at a wavelength of about 13.5 nm, can be used in photolithography processes to produce extremely small features in substrates such as silicon wafers. Methods for generating EUV light include converting a target material from a liquid state into a plasma state. The target material preferably includes at least one element, e.g., xenon, lithium or tin, with one or more emission lines in the EUV range. In one such method, often termed laser produced plasma (“LPP”) the required plasma can be produced by irradiating a target material having the required line-emitting element with a laser beam. One LPP technique involves generating a stream of target material droplets and irradiating at least some of the droplets with laser light pulses. In more theoretical terms, LPP light sources generate EU V radiation by depositing laser energy into a target material having at least one EUV emitting element, such as xenon (Xe), tin (Sn) or lithium (Li), creating a highly ionized plasma with electron temperatures of several 10's of eV. The energetic radiation generated during de-excitation and recombination of these ions is emitted from the plasma in all directions. In one common arrangement, a near-normal-incidence mirror (often termed a “collector mirror”) is positioned to collect, direct (and in some arrangements, focus) the light at an intermediate location, e.g., a focal point. The collected light may then be relayed from the intermediate location to a set of scanner optics and ultimately to a wafer. In quantitative terms, one arrangement that is currently being developed with the goal of producing about 100 W at the intermediate location contemplates the use of a pulsed, focused 10-12 kW CO2 drive laser which is synchronized with a droplet generator to sequentially irradiate about 10,000-200,000 tin droplets per second. For this purpose, there is a need to produce a stable stream of droplets at a relatively high repetition rate (e.g., 10-200 kHz or more). There is also a need to deliver the droplets to an irradiation site with high accuracy and good repeatability in terms of timing and position over relatively long periods of time. To ensure positional accuracy and repeatability, it is necessary to provide a high precision steering system that can release droplets from a range of positions to compensate for other systemic variations, for example, in laser targeting and timing. In this context, the term “steer” includes the concept of varying the position of the release point in at least two dimensions, i.e, with two angular degrees of freedom. It is also desirable to provide a steering system that is high bandwidth and that exhibits high stiffness with little or no hysteresis. Design of a steering system meeting these criteria must also take into account that the droplet generator itself may be relatively massive, for example, on the order of 30 kg. The steering system also preferably operates over a relatively large range of angles, for example, with an actuation range of at least +/−2 degrees. Also, design considerations impose about a 1 micron requirement for position control of the droplets at the plasma location. This imposes a need for micro-radian level precision for the steering system. With the above in mind, applicants disclose systems for steering a droplet generator. The following presents a simplified summary of one or more embodiments in order to provide a basic understanding of the embodiments. This summary is not an extensive overview of all contemplated embodiments, and is not intended to identify key or critical elements of all embodiments nor delineate the scope of any or all embodiments. Its sole purpose is to present some concepts of one or more embodiments in a simplified form as a prelude to the more detailed description that is presented later. In one aspect, the invention is an apparatus including a first member adapted to be coupled to a frame, a second member adapted to receive a droplet generator, and a coupling system mechanically coupling the first member to the second member, wherein the coupling system may include a first coupling subsystem configured to constrain lateral movement between the first member and the second member, and a second coupling subsystem adapted to control an inclination of the second member with respect to the first member. The first coupling subsystem may include a plurality of first coupling subsystem elements mechanically coupling the first member to the second member. Each of the first coupling subsystem elements may include least one flexure, which may be a string flexure. In the case of a first member that is substantially plate-shaped, the string flexure may be oriented substantially parallel to the first member. The second coupling subsystem may include a plurality of second coupling subsystem elements mechanically coupling the first member to the second member. Each of the second coupling subsystem elements may include at least one first flexure which may be a cartwheel flexure. Each of the second coupling subsystem elements may also include at least one second flexure coupled to the at least one first flexure. The at least one second flexure may be a parallelogram flexure. Each of the second coupling subsystem elements may also include at least one linear motor coupled to the first member and to the first flexure. Each of the second coupling subsystem elements may also include at least one second flexure coupled to the at least one first flexure. Each of the second coupling subsystem elements may also include at least piezoelectric element coupled to the second member and to the at least one second flexure. In another aspect, the invention is an apparatus including a plate-shaped first member adapted to a coupled to a frame, a plate-shaped second member adapted to receive a droplet generator; and a coupling system mechanically coupling the first member to the second member, wherein the coupling system may include a first coupling subsystem configured to constrain lateral movement between the first member and the second member, the first coupling subsystem including a plurality of first coupling subsystem elements, each of the first coupling subsystem elements comprising at least one flexure, and a second coupling subsystem adapted to control inclination of the second member with respect to the first member, the first coupling subsystem including a plurality of first coupling subsystem elements, each of the first coupling subsystem elements comprising at a linear combination of a stepper motor coupled to the first member, a first flexure coupled to the stepper motor, a second flexure coupled to the first flexure, and a piezoelectric actuator coupled to the second flexure and to the second member. In yet another aspect, the invention is an apparatus including a first member adapted to a coupled to a frame, a second member adapted to receive a droplet generator; and a coupling system mechanically coupling the first member to the second member, wherein the coupling system may include at least one flexure. In still another aspect, the invention is an apparatus including a source adapted to produce a target of a material in a liquid state and a laser adapted to irradiate the target to change a state of the material from the liquid state to a plasma state to produce EUV light in an irradiation region. The apparatus also includes an optical system adapted to convey the EUV light from the irradiation region to a workpiece. The source includes a target generator and a target generator steering system coupled to the target generator, the target generator steering system including a first member adapted to be fixed relative to the irradiation region, a second member adapted to receive the target generator and adapted to be movable with respect to the irradiation region; and a coupling system mechanically coupling the first member to the second member, wherein the coupling system may include at least one flexure. In still another aspect, the invention is a product made using an apparatus including a source adapted to produce a target of a material in a liquid state, a laser adapted to irradiate the target to change a state of the material from the liquid state to a plasma state to produce EUV light in an irradiation region, and an optical system adapted to convey the EUV light from the irradiation region to a workpiece. The source includes a target generator and a target generator steering system coupled to the target generator, the target generator steering system including a first member adapted to be fixed relative to the irradiation region, a second member adapted to receive the target generator and adapted to be movable with respect to the irradiation region; and a coupling system mechanically coupling the first member to the second member, wherein the coupling system may include at least one flexure. Various embodiments are now described with reference to the drawings, wherein like reference numerals are used to refer to like elements throughout. In the following description, for purposes of explanation, numerous specific details are set forth in order to promote a thorough understanding of one or more embodiments. It may be evident in some or all instances, however, that any embodiment described below can be practiced without adopting the specific design details described below. In other instances, well-known structures and devices are shown in block diagram form in order to facilitate description of one or more embodiments. With initial reference to FIG. 1 there is shown a schematic view of an exemplary EUV light source, e.g., a laser produced plasma EUV light source 20 according to one aspect of an embodiment of the present invention. As shown, the EUV light source 20 may include a pulsed or continuous laser source 22, which may for example be a pulsed gas discharge CO2 laser source producing radiation at 10.6 μm. The pulsed gas discharge CO2 laser source may have DC or RF excitation operating at high power and high pulse repetition rate. For example, a suitable CO2 laser source having a MO-PA1-PA2-PA3 configuration is disclosed in U.S. Pat. No. 7,439,530, issued Oct. 21, 2008, and entitled, LPP EUV LIGHT SOURCE DRIVE LASER SYSTEM, the entire contents of which are hereby incorporated by reference herein. Depending on the application, other types of lasers may also be suitable. For example, a solid state laser, an excimer laser, a molecular fluorine laser, a MOPA configured excimer laser system, e.g., as shown in U.S. Pat. Nos. 6,625,191, 6,549,551, and 6,567,450, an excimer laser having a single chamber, an excimer laser having more than two chambers, e.g., an oscillator chamber and two amplifying chambers (with the amplifying chambers in parallel or in series), a master oscillator/power oscillator (MOPO) arrangement, a power oscillator/power amplifier (POPA) arrangement, or a solid state laser that seeds one or more CO2, excimer or molecular fluorine amplifier or oscillator chambers, may be suitable. Other designs are possible. The EUV light source 20 also includes a target delivery system 24 for delivering target material in the form of liquid droplets or a continuous liquid stream. The target material may be made up of tin or a tin compound, although other materials could be used. The target delivery system 24 introduces the target material into the interior of a chamber 26 to an irradiation region 28 where the target material may be irradiated to produce a plasma. In some cases, an electrical charge is placed on the target material to permit the target material to be steered toward or away from the irradiation region 28. It should be noted that as used herein an irradiation region is a region where target material irradiation may occur, and is an irradiation region even at times when no irradiation is actually occurring. Continuing with FIG. 1, the light source 20 may also include one or more optical elements such as a collector 30. The collector 30 may be a normal incidence reflector, for example, a SiC substrate coated with a Mo/Si multilayer with additional thin barrier layers deposited at each interface to effectively block thermally-induced interlayer diffusion, in the form of a prolate ellipsoid, with an aperture to allow the laser light to pass through and reach the irradiation region 28. The collector 30 may be, e.g., in the shape of a ellipsoid that has a first focus at the irradiation region 28 and a second focus at a so-called intermediate point 40 (also called the intermediate focus 40) where the EUV light may be output from the EUV light source 20 and input to, e.g., an integrated circuit lithography tool 50 which uses the light, for example, to process a silicon wafer workpiece 52 in a know manner. The silicon wafer workpiece 52 is then additionally processed in a known manner to obtain an integrated circuit device. The EUV light source 20 may also include an EUV light source controller system 60, which may also include a laser firing control system 65, along with, e.g., a laser beam positioning system (not shown). The EUV light source 20 may also include a target position detection system which may include one or more droplet imagers 70 that generate an output indicative of the absolute or relative position of a target droplet, e.g., relative to the irradiation region 28, and provide this output to a target position detection feedback system 62. The target position detection feedback system 62 may use this output to compute a target position and trajectory, from which a target error can be computed. The target error can be computed on a droplet-by-droplet basis, or on average, or on some other basis. The target error may then be provided as an input to the light source controller 60. In response, the light source controller 60 can generate a control signal such as a laser position, direction, or timing correction signal and provide this control signal to a laser beam positioning controller (not shown). The laser beam positioning system can use the control signal to control the laser timing circuit and/or to control a laser beam position and shaping system (not shown), e.g., to change the location and/or focal power of the laser beam focal spot within the chamber 26. As shown in FIG. 1, the light source 20 may include a target delivery control system 90. The target delivery control system 90 is operable in response to a signal, for example, the target error described above, or some quantity derived from the target error provided by the system controller 60, to correct for errors in positions of the target droplets within the irradiation region 28. This may be accomplished, for example, by repositioning the point at which the target delivery mechanism 92 releases the target droplets. FIG. 2 shows in greater detail a target delivery mechanism 92 for delivering targets of source material having into a chamber 26. The target delivery system 92 is described in general terms herein because the features and advantages of the invention are independent of the specific details of implementation of the target delivery system 92. For the generalized embodiment shown in FIG. 2, the target delivery mechanism 92 may include a cartridge 143 holding a molten source material such as tin. The molten source material may be placed under pressure by using an inert gas such as argon. The pressure preferably forces the source material to pass through a set of filters 145. From the filters 145, the source material may pass through an open/close thermal valve 147 to a dispenser 148. For example a Peltier device may be employed to establish the valve 147, freezing source material between the filters 145 and dispenser 148 to close the valve 147 and heating the frozen source material to open the valve 147. FIG. 2 also shows that the target delivery system 92 is coupled to a movable member 174 such that motion of the movable member 174 changes the position of the point at which droplets are released from the dispenser 148 in a manner that is described more thoroughly below. For the mechanism 92, one or more modulating or non-modulating source material dispenser(s) 148 may be used. For example, a modulating dispenser may be used having a capillary tube formed with an orifice. The dispenser 148 may include one or more electro-actuatable elements, e.g. actuators made of a piezoelectric material, which can be selectively expanded or contracted to deform the capillary tube and modulate a release of source material from the dispenser 148. As used herein, the term “electro-actuatable element” and its cognates mean a material or structure which undergoes a dimensional change when subjected to a voltage, electric field, magnetic field, or combinations thereof and includes, but is not limited to, piezoelectric materials, electrostrictive materials, and magnetostrictive materials. A heater may be used to maintain the source material in a molten state while passing through the dispenser 148. Examples of modulating droplet dispensers can be found in U.S. Pat. No. 7,838,854, from application Ser. No. 11/067,124 filed on Feb. 25, 2005, entitled METHOD AND APPARATUS FOR EUV PLASMA SOURCE TARGET DELIVERY, U.S. Pat. No. 7,589,337 from application Ser. No. 12/075,631 filed on Mar. 12, 2008, entitled LPP EUV PLASMA SOURCE MATERIAL TARGET DELIVERY SYSTEM, U.S. patent application Ser. No. 11/358,983 filed on Feb. 21, 2006, and entitled, SOURCE MATERIAL DISPENSER FOR EUV LIGHT SOURCE, the entire contents of each of which are hereby incorporated by reference herein. An example of non-modulating droplet dispenser can be found in co-pending U.S. patent application Ser. No. 11/358,988 filed on Feb. 21, 2006, and entitled, LASER PRODUCED PLASMA EUV LIGHT SOURCE WITH PRE-PULSE, the entire contents of each of which are hereby incorporated by reference herein. As shown in FIGS. 3A and 3B, the target delivery mechanism 92 can be mounted on a steering mechanism 170 capable of tilting the target delivery mechanism 92 in different directions to adjust the release point of the droplets and so to reposition the point at which the droplet generator releases droplets thus to control the path the droplets will take into the irradiation region 28. Although in the highly conceptual representation of FIGS. 3A and 3B the tilt is in the plane of the figure, one of ordinary skill in the art will readily appreciate that the tilt may in fact be in any direction. The present specification refers to this process as “steering” the droplet generator. In applications where such steering is desirable, it is clear that the droplet generator must be moveable with respect to the other components and reference points in the system, and, in particular, the irradiation region 28 and that a mechanical coupling system 102 must be interposed between the droplet generator and the other components of the system so as to allow for such movement. Proper steering of the droplet generator (and, hence, of the droplets it generates) requires a coupling system that is highly precise and repeatable and which exhibits a low amount of hysteresis. It is also preferable that the coupling be very responsive, i.e., have a high bandwidth. As mentioned, the coupling preferably meets these requirements despite manipulating a relatively massive load, that is, the droplet generator 92, which can typically weigh on the order of 30 kg. According to the present embodiment, a coupling capable of having these attributes is realized in the form of a device having a fixed member 172 fixedly coupled to a stationary element in the system such as a wall of chamber 26 and a movable member 174 coupled to the target delivery mechanism (droplet generator) 92. The fixed member 172 and the movable member 174 are in turn coupled by a coupling system 176. The coupling system 176 preferably includes a first coupling subsystem 178 that reduces or eliminates any relative translational (e.g. sliding) motion of the movable member 174 relative to the fixed member 172 as well as relative rotations of the movable member 174 relative to the fixed member 172 around the device axis, while at the same time allowing the movable member 174 to tilt with respect to the fixed member 172. Stated another way, taking a three-dimensional cartesian coordinate system with the x and y axes lying in the plane of a substantially planar plate-like fixed member 172 and the z axis passing through the release point of the target delivery mechanism (droplet generator) 92 when the release point is in a neutral (zero tilt) position as shown in FIG. 3A, the first coupling subsystem restrains translation in the x and y directions and rotation about the z axis. It will be understood by one of ordinary skill in the art that the terms “plate” mid us used herein simply refer to a structural element to which other elements may be connected, such as a base or a frame, and is not limited to structural elements that are necessarily flat or substantially planar. The coupling system 176 also preferably includes a second coupling subsystem 180 that includes one or linear combinations of coupling elements and motor elements coupling the fixed member 172 and the movable member 174 and providing a force having a tendency to tilt the movable member 174 with respect to the fixed member 172. The motor elements may be any element that produces a force, including but not limited to linear motors, stepper motors, piezoelectric actuators, or some combination of these. As mentioned, the first coupling subsystem 178 and second coupling subsystem 180 are configured to cooperate to permit relative tilting or inclination of the fixed member 172 and the movable member 174. Because the target delivery mechanism 92 is preferably rigidly coupled to the movable member 174, tilting the movable member 174 with respect to the fixed member 172 steers the target delivery mechanism 92, that is, alters the position of the droplet generator release point. This is shown in FIG. 3B. FIG. 4 is another conceptual representation of steering system according to another aspect of the invention. As depicted there, the steering system has a first coupling subsystem 178 made up of coupling elements 178a, 178b, and 178c arranged at corresponding locations around the respective peripheries of fixed member 172 and the movable member 174. The first coupling subsystem 178 in the arrangement of FIG. 4 has three coupling elements, but it will be apparent to one having ordinary skill in the art that other numbers of coupling elements could be used. Also in the arrangement of FIG. 4 the coupling elements 178a, 178b, and 178c are positioned symmetrically. In the particular arrangement of FIG. 4 they are positioned with 120 degree rotational symmetry about a central axis of the device (a line passing through the centers of the two circular apertures which accommodate the droplet generator.) It will be apparent to one having ordinary skill in the art that if a symmetric arrangement is used, other symmetries could be followed. Also in FIG. 4, the steering system has a second coupling subsystem 180 made up of coupling elements 180a, 180b, and 180c arranged at corresponding locations around the respective peripheries of fixed member 172 and the movable member 174. The second coupling subsystem 180 in the arrangement of FIG. 4 has three coupling elements, but it will be apparent to one having ordinary skill in the art that other numbers of coupling elements could be used. Also in the arrangement of FIG. 4 the coupling elements 180a, 180b, and 180c are positioned symmetrically. In the particular arrangement of FIG. 4 they are positioned with 120 degree rotational symmetry about a central axis of the device (a line passing through the centers of the two circular apertures.) It will be apparent to one having ordinary skill in the art that if a symmetric arrangement is used, other symmetries could be followed. In the arrangement of FIG. 4 the positions of the coupling elements of the second coupling subsystem 180 alternate with the coupling elements of the first coupling subsystem 178 around the periphery of the fixed member 172 and the movable member 174. As mentioned, the coupling system 176, which includes the first coupling subsystem 178 and the second coupling subsystem 180, serves at least two functions. One function is to restrain certain types of relative movements between the fixed member 172 and the movable member 174, such as a sliding or translational movement, while at the same time permitting a tilting motion. Another function is to cause a tilting motion between the two plates. One advantage of the present invention is that these two functions can be carried out by two separate subsystems. For example, the first coupling subsystem 178 can perform the function of permitting tilting while restraining other kinds of motions. The second coupling subsystem 180 can perform the function of inducing a tilting motion. This permits each of the two subsystems to be designed in such a way as to optimize its performance of its respective function without the need to address constraints that would otherwise be imposed by having the same coupling subsystem perform both functions. According to another aspect of the present invention, the first and second coupling subsystems employ flexures as coupling elements. One of ordinary skill in the art will appreciate that some connectors used to mechanically couple one structural element to another use rigid parts that mechanically mate with one another. Hinges, sliders, universal joints, and ball-and-socket joints are examples of this type of rigid connector or coupling. Such connectors permit a variety of kinematic degrees of freedom between the parts they connect. They suffer from the disadvantage, however, that the clearance between mating parts of these rigid joints can introduce positional error caused by backlash, that is, motion lost to clearance when a driving direction is reversed and contact between mating surfaces must be reestablished before relative motion continues. Further, operation of these connectors necessarily involves relative motion of their parts causing friction that leads to wear and undesirably increased clearances. A kinematic chain of such connectors results in an aggregation of individual errors from backlash and wear, resulting in limited accuracy and repeatability. For some applications, the problems associated with rigid connectors can be avoided or overcome by the use of so-called flexures. Flexures are also known as by a variety of names including flexible joints, flexible couplings, flexure pivots, flex connectors, living joints, and compliant joints. Unlike the rigid couplings described above, flexible joints generally are not comprised of rigid elements having a clearance between them. Rather flexures utilize the inherent compliance of a material under deformation. Flexures thus eliminate friction, backlash, and wear. This permits excellent accuracy and repeatability. In addition, making the flexure from a unitary monolithic material can simplify production and facilitate low-cost fabrication. In one aspect, the present invention provides a high bandwidth, high-precision, high-stiffness, hysteresis-free steering system for an EUV droplet generator through the use of flexures that are flexible enough to provide the required range of motion yet strong enough to be compatible with the preload forces required to achieve the needed stiffness. At the same time, it permits fabrication of a steering system that is not so massive as to reduce system resonance frequencies which would be undesirable in the context of steering the droplet generator. In another aspect, the invention uses two or more coupling subsystems each of which uses flexures to remove unwanted degrees of freedom while providing the required stiffness (or, equivalently, a sufficiently high mechanical resonance frequency). According to this aspect of the invention, the first coupling subsystem 178 includes two or more, and preferably three, coupling elements, each of which includes a first flexure element 190. In the embodiment shown in FIG. 5, the first flexure element 190 is a “string flexure.” FIG. 5 shows only one first coupling subsystem element 178a for purposes of clear presentation but one of ordinary skill in the art will readily appreciate that additional first coupling subsystem elements may be present as suggested by FIG. 4. In systems where the fixed member 172 and the movable member 174 are configured as substantially parallel plates, the first flexure element 190 is oriented approximately parallel to the stationary plate and the movable plate. This is shown in FIG. 5, where the first coupling subsystem 178 is made up of a first flexure element 190 one end of which is coupled to a triangular strut member 192. The triangular strut member 192 is fixed to the movable member 174. The other end of the first flexure element 190 is connected to a bracket 194 which is in turn attached to the fixed member 172. In addition, the end of the first flexure element 190 attached to the triangular strut member 192 is also attached to a bracket 196 which is in turn attached to the fixed member 172. The first flexure element 190 is attached to the bracket 196 through a joint arrangement 198 which allows for two degrees of freedom and through a spring 200 that pre-loads the first flexure element 190. The first coupling subsystem 178 provides a stiff connection between the fixed member 172 and the movable member 174 by limiting or even substantially eliminating translation, i.e., parallel sliding of the two plates with respect to each other, as well as rotations in the plane parallel to the plates. At the same time, the first coupling subsystem 178 allows for relative tilt of the plates with respect to each other. The second coupling subsystem 180 is preferably made up of two or more series combinations of flexures and one or more motive devices. Here and elsewhere in this specification the term “series combination” is intended to refer to a linear configuration in which the elements are arranged in an ordered series, each to the next, so that their overall effect is essentially additive. Also, the term “motive device” is intended to refer to any device capable of generating a motive force and includes without limitation linear motors, linear actuators, stepping motors, and piezoelectric elements. In a particular configuration each coupling element of the second coupling subsystem 180 includes a linear combinations of elements. Again, FIG. 5 shows only one second coupling subsystem element 180a for purposes of clear presentation but one of ordinary skill in the art will readily appreciate that additional second coupling subsystem elements may be present as suggested by FIG. 4. As shown in FIG. 5 the second coupling subsystem element 180a may include a linear motor 202, a second flexure element 204, a third flexure element 206, and a piezoelectric actuator 208 (atop the second flexure element 206; occluded by movable member 174 in FIG. 5 but visible in FIG. 10). This is one possible configuration. It will be readily appreciated by one of ordinary skill in the art that other elements could be used or that the same or other elements could be used in a different order. In the configuration shown in FIG. 5, the linear motor 202 is rigidly coupled to the fixed member 172 and the piezoelectric actuator 208 is rigidly coupled to the movable member 174 to provide for coarse and fine motion, respectively. The linear motor 202 is mechanically connected to the piezoelectric actuator 208 through the second and third flexure elements 204, 206. In a particular embodiment, the second flexure element 204 may be a so-called “cartwheel” flexure as shown and described more fully below. The third flexure element 206 may be a “double” or “parallelogram” flexure as shown and described more fully below. The second coupling subsystem 180 allows control of x and y rotation and z translation of the movable member 174 relative to the fixed member 172. The second flexure element 204 allows some lateral relative movement of the movable member 174 relative to the fixed member 172, such that the motion can be pivoting about a fixed point in space which is near the axis of the device but below the fixed member 172 (i.e. towards the release point of droplet generator). At the same time the second flexure element 204 provides stiffness against translations along the long (z) axis of the droplet generator and allow for small misalignments between the linear motor 202 and the movable member 174. The third flexure element 206 allows the piezoelectric actuator 208 to exert a force on the movable member 174 without applying any lateral moment to the piezoelectric actuator 208. This is preferred for piezoelectric transducers because of their relative brittleness. It will be appreciated that the specific materials, dimensions, and forms of the first flexure element 190 and the second flexure element 204, and the third flexure element 204 are preferably chosen for the three types of flexures so that the desired range of motion can be achieved while meeting stiffness and fatigue stress requirements. For example, when a string flexure is used as the first flexure element 190 it may be configured as shown in FIGS. 6A, 6B, and 6C. As can be seen, the first flexure element of these figures has two narrowed portions to permit flexing side-to-side or up-and-down. The overall dimensions of the first flexure element 190 will vary according to the specific application. One of a number of materials could be used to make the first flexure element 190. As one example, the first flexure element 190 could be made of heat treated stainless steel. As an additional example, when a cartwheel flexure is used as the second flexure element 204 it may be configured as shown in FIGS. 7A and 7B. As can be seen, the second flexure element 204 of these figures has two flexible leaves intersecting at their mid points. The example in FIGS. 7A and 7B shows a second flexure element 204 made up of three sections, each of which comprises a cartwheel flexure, but one of ordinary skill in the art will readily appreciate that one, two, or some other number of sections can be used. The overall dimensions of the second flexure element 204 will vary according to the specific application. One of a number of materials could be used to make the second flexure element 204. As one example, the second flexure element 204 could be made of stainless steel. As a third example, when a parallelogram flexure is used as the third flexure element 206 it may be configured as shown in FIGS. 8A and 8B. As can be seen, the third flexure element 206 of these figures is generally box shaped with a lower portion of the box serving as a platform and the upper part of the box serving as a cantilevered beam. The platform and beam are integral with one another and also interact through a series of internal ridges and gaps which permit the cantilevered beam to flex in a direction corresponding to up and down in the figure. The example in FIGS. 8A and 8B shows a particular configuration for third flexure element 206 but one of ordinary skill in the art will readily appreciate other flexure configurations can be used. The overall dimensions of the third flexure element 206 will vary according to the specific application. One of a number of materials could be used to make the third flexure element 206. As one example, the third flexure element 206 could be made of heat treated stainless steel. FIG. 9 shows an embodiment of a droplet generator according to the invention where the first coupling subsystem 178 is depicted as including coupling elements 178a, 178b, and 178c arranged at corresponding locations around the respective periphery of fixed member 172 (the movable member 114 being omitted from the drawing to make the piezoelectric actuator 208 more visible. The first coupling subsystem 178 in the arrangement of FIG. 9 has three coupling elements, but it will be apparent to one having ordinary skill in the art that other numbers of coupling elements could be used. Also in the arrangement of FIG. 9 the coupling elements 178a, 178b, and 178c are positioned symmetrically. In the particular arrangement of FIG. 9 they are positioned with 120 degree rotational symmetry about a central axis of the device (a line passing through the centers of the two circular apertures which accommodate the droplet generator.) It will be apparent to one having ordinary skill in the art that if a symmetric arrangement is used, other symmetries could be followed. Also, each coupling element is depicted as including a first flexure element 190 connected as described in connection with FIG. 5. The embodiment depicted in FIG. 9 also includes shows an embodiment of a droplet generator according to the invention that includes a second coupling subsystem 180 made up of coupling elements 180a, 180b, and 180c arranged at corresponding locations around the respective periphery of fixed member 172. The second coupling subsystem 180 in the arrangement of FIG. 9 has three coupling elements, but it will be apparent to one having ordinary skill in the art that other numbers of coupling elements could be used. Also in the arrangement of FIG. 9 the coupling elements 180a, 180b, and 180c are positioned symmetrically. In the particular arrangement of FIG. 9 they are positioned with 120 degree rotational symmetry about a central axis of the device (a line passing through the centers of the two circular apertures.) It will be apparent to one having ordinary skill in the art that if a symmetric arrangement is used, other symmetries could be followed. In the arrangement of FIG. 9 the positions of the coupling elements of the second coupling subsystem 180 alternate with the coupling elements of the first coupling subsystem 178 around the periphery of the fixed member 172. Also, each coupling element is depicted as including a linear motor, second flexure element, third flexure element, and piezoelectric actuator 208 connected as described in connection with FIG. 5. What has been described above includes examples of one or more embodiments. It is, of course, not possible to describe every conceivable combination of components or methodologies for purposes of describing the aforementioned embodiments, but one of ordinary skill in the art may recognize that many further combinations and permutations of various embodiments are possible. Accordingly, the described embodiments are intended to embrace all such alterations, modifications and variations that fall within the spirit and scope of the appended claims. Furthermore, to the extent that the term “includes” is used in either the detailed description or the claims, such term is intended to be inclusive in a manner similar to the term “comprising” as “comprising” is construed when employed as a transitional word in a claim. Furthermore, although elements of the described aspects and/or embodiments may be described or claimed in the singular, the plural is contemplated unless limitation to the singular is explicitly stated. Additionally, all or a portion of any aspect and/or embodiment may be utilized with all or a portion of any other aspect and/or embodiment, unless stated otherwise. |
|
claims | 1. A sample inspection apparatus for inspecting a wafer carrying an insulator on a surface of the wafer, the apparatus comprising:a sample stage to hold a sample to be inspected;a first electron optical system to direct to the sample an area electron beam that is formed to spread over a two-dimensional area of the sample;means for applying a voltage to the sample thus forming a surface potential on the sample to reflect the directed area electron beam without reaching to the sample;an image detection unit to detect the reflected area electron beam and to form a first image according to a potential distribution of the sample;an image processing unit to detect a defect existing in the first sample by analyzing information of the potential distribution based on the obtained first image, and to record a position of the defect;a second electron optical system to obtain a scanning electron microscopic (SEM) image by irradiating the recorded position of the defect with an electron probe beam configured to scan the sample with respect to the recorded position of the defect and detecting a secondary generated charged particle; anda pre-charge control unit for controlling a charged state of the sample prior to the first image being formed, wherein said charged state of the sample is controlled to reflect a potential distortion between a potential at a normal portion in the sample and a potential at a faulty portion in the sample, and wherein said pre-charge control unit includes an electron gun to irradiate an electron beam along an optical axis separated from respective optical axes of said first and said second electron optical systems to the sample, and a grid arranged between the electron gun and the sample to control said charged state of the sample due to the voltage applied to the grid. 2. A sample inspection apparatus for inspecting a wafer carrying an insulator on a surface of the wafer, the apparatus comprising:a sample stage to hold a sample to be inspected;a first electron optical system to direct to the sample an area electron beam that is formed to spread over a two-dimensional area of the sample;means for generating an electric field so that the directed area electron beam is reflected in a vicinity of the sample;a second electron optical system to form a first image according to a potential distribution of an area that the area electron beam is directed;means for detecting the first image in a form of an image signal;means for processing the image signal to detect a position of a defect existing in the electron beam irradiated area;a third electron optical system to obtain a scanning electron microscopic (SEM) image by irradiating the detected defect position with an electron probe beam configured to scan the sample with respect to the detected defect position and detecting a secondary generated charged particle; anda pre-charge control unit for controlling a charged state of the sample prior to the first image being formed, wherein said charged state of the sample is controlled to reflect a potential distortion between a potential at a normal portion in the sample and a potential at a faulty portion in the sample, and wherein said pre-charge control unit includes an electron gun to irradiate an electron beam along an optical axis separated from respective optical axes of said first, said second, and said third electron optical systems to the sample, and a grid arranged between the electron gun and the sample to control said charged state of the sample due to the voltage applied to the grid. 3. A sample inspection apparatus for inspecting a wafer carrying an insulator on a surface of the wafer, the apparatus comprising:a sample stage to hold a sample to be inspected;an illumination optical system to direct to the sample an area electron beam;means for generating an electric field so that at least a portion of the directed electron beam is reflected without impacting the sample;an imaging optical system to detect the reflected electron beam so as to form a first image corresponding to a potential distribution of the irritated area;means for detecting the first image as an image signal;means for processing the image signal to detect a position of a defect existing in the sample;an electron optical system to obtain a scanning electron microscopic (SEM) image by irradiating the detected defect position with an electron probe beam configured to scan the sample with respect to the detected defect position and detecting a secondary generated charged particle; anda pre-charge control unit for controlling a charged state of the sample prior to the first image being formed, wherein said charged state of the sample is controlled to reflect a potential distortion between a potential at a normal portion in the sample and a potential at a faulty portion in the sample, and wherein said pre-charge control unit includes an electron gun to irradiate an electron beam along an optical axis separated from respective optical axes of said illumination electron optical system, said imaging optical system, and said electron optical system to the sample, and a grid arranged between the electron gun and the sample to control said charged state of the sample due to the voltage applied to the grid. 4. A sample inspection apparatus according to claim 1, wherein, by moving the sample stage, said sample is transferred from a first position to receive the area electron beam from the first electron optical system to a second position to receive the electron probe beam from the second electron optical system. 5. A sample inspection apparatus according to claim 2, wherein, by moving the sample stage, said sample is transferred from one position to receive the area electron beam from the first electron optical system to another position to receive the electron probe beam from the third electron optical system. 6. A sample inspection apparatus according to claim 3, wherein, by moving the sample stage, said sample is transferred from one position to receive the area electron beam by the illumination optical system to another position to receive the electron probe beam from the electron optical system. 7. A sample inspection apparatus according to claim 1, wherein said SEM image is utilized to check one pattern of wafers prior to inspection, setting of inspection conditions, and alignment in addition to observation of detected defects. 8. A sample inspection apparatus according to claim 2, wherein said SEM image is utilized to check one pattern of wafers prior to inspection, setting of inspection conditions, and alignment in addition to observation of detected defects. 9. A sample inspection apparatus according to claim 3, wherein said SEM image is utilized to check one pattern of wafers prior to inspection, setting of inspection conditions, and alignment in addition to observation of detected defects. 10. A sample inspection apparatus according to claim 1, wherein the SEM image has a resolution higher than that of the first image. 11. A sample inspection apparatus according to claim 1, wherein the image detection unit and the image processing unit are also used generate the SEM image. 12. A sample inspection apparatus according to claim 2, wherein the SEM image has a resolution higher than that of the first image. 13. A sample inspection apparatus according to claim 2, wherein the image detection unit and the image processing unit are also used generate the SEM image. 14. A sample inspection apparatus according to claim 3, wherein the SEM image has a resolution than that of the first image. 15. A sample inspection apparatus according to claim 3, wherein the image detection unit and the image processing unit are also used generate the SEM image. 16. A sample inspection apparatus according to claim 1, wherein the grid is arranged in the proximity of the surface of the sample. 17. A sample inspection apparatus according to claim 2, wherein the grid is arranged in the proximity of the surface of the sample. 18. A sample inspection apparatus according to claim 3, wherein the grid is arranged in the proximity of the surface of the sample. |
|
summary | ||
051942178 | abstract | An improved articulated sludge lance with a retractable movable extension nozzle for cleaning a steam generator. The extension nozzle includes a flexible conduit adapted to be moved with a wire cable to place the nozzle in close proximity to a support plate for effectively cleaning the broached holes therein. A bumper member fastened to the flexible conduit provides a side-to-side motion from impact with the tubes when the lance is moved therethrough. |
042474957 | abstract | Production of UO.sub.2 nuclear fuel pellets containing PuO.sub.2 in set amounts, which pellets are soluble in nitric acid and suitable for reprocessing without the aid of a special additive. Uranium oxide powder is mixed with 15 to 50% by weight of the mixture of plutonium oxide powder; the mixture milled and pressed into pellets. The pellets are sintered, comminuted, pressed to form pellets and the pellets comminuted to free-flowing granules. The free-flowing granules are mixed with UO.sub.2 granules to obtain a desired UO.sub.2 /PuO.sub.2 ratio, and the mixture pressed into pellets and sintered to form UO.sub.2 nuclear fuel pellets containing PuO.sub.2 soluble in nitric acid. |
summary | ||
summary | ||
claims | 1. A fuel assembly comprising:a plurality of fuel rods supported vertically; anda channel box having a structure configured for advancement into a boiling-water reactor (BWR), the channel box surrounding the outer circumference of the plurality of fuel rods;the fuel assembly having a plurality of regular dodecagon fuel rod arrangements, wherein a single fuel rod of the plurality of fuel rods is provided at each apex of each regular dodecagon, wherein each side of the regular dodecagon has length A;the plurality of regular dodecagon fuel rod arrangements being arranged in regular intervals respectively in a transverse direction and a longitudinal direction, the transverse direction perpendicular to the longitudinal direction;a first two regular dodecagon fuel rod arrangements of the plurality of regular dodecagon fuel rod arrangements adjacent in the transverse direction and arranged with two opposing sides of the first two regular dodecagons in parallel and apart by a first distance mA, wherein m is a non-negative integer; anda second two regular dodecagon fuel rod arrangements of the plurality of regular dodecagon fuel rod arrangements adjacent in the longitudinal direction and arranged with two opposing sides of the second two regular dodecagons in parallel and apart by a second distance nA, wherein n is a non-negative integer. 2. The fuel assembly according to claim 1,wherein a diagonal line is formed from a first corner of the channel box to a second corner of the channel box, the second corner being a corner of the channel box furthest from the first corner, the first corner being a corner of the channel box closest to a control rod;wherein either the transverse direction or the longitudinal direction is parallel to the diagonal line; andwherein the plurality of regular dodecagon fuel rod arrangements are arranged symmetrically against the diagonal line. 3. The fuel assembly according to claim 2,wherein a row of the plurality of regular dodecagon fuel rod arrangements is aligned in either the transverse direction or the longitudinal direction on the diagonal line. 4. The fuel assembly according to claim 2,wherein rows of the plurality of regular dodecagon fuel rod arrangements are aligned in either the transverse direction or the longitudinal direction and arranged symmetrically sandwiching the diagonal line. 5. The fuel assembly according to claim 1,wherein either the transverse direction or the longitudinal direction is substantially parallel to a side of the channel box; andwherein the plurality of regular dodecagon fuel rod arrangements are arranged symmetrically against a diagonal line, the diagonal line connecting a corner of the channel box closest to a control rod and a diagonal corner of the channel box furthest from the corner of the channel box closest to a control rod. 6. The fuel assembly according to claim 5,wherein the plurality of regular dodecagon fuel rod arrangements are further arranged symmetrically against a line connecting midpoints of opposing sides of the channel box. 7. The fuel assembly according to claim 1,wherein at least one of the plurality of regular dodecagon fuel rod arrangements includes, at the inside thereof, a regular hexagon fuel rod arrangement in which a single fuel rod of the plurality of fuel rods is provided at each apex of the regular hexagon; andwherein each side of the regular hexagon has length A, and each side of the regular hexagon is parallel to a corresponding side of the regular dodecagon. 8. The fuel assembly according to claim 7,wherein the regular hexagon fuel rod arrangement includes a fuel rod of the plurality of fuel rods at the center of the regular hexagon. 9. The fuel assembly according to claim 1,wherein at least one of the plurality of regular dodecagon fuel rod arrangements includes, at the inside thereof, a regular tetragon fuel rod arrangement in which a single fuel rod of the plurality of fuel rods is provided at each apex of the regular tetragon; andwherein each apex of the regular tetragon is located at a vertex of a regular triangle, the regular triangle having as the base one of every three sides of the regular dodecagon. 10. The fuel assembly according to claim 9,wherein the regular tetragon fuel rod arrangement includes a fuel rod of the plurality of fuel rods at the center of the regular tetragon. |
|
061635871 | summary | BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to the field of atomic particle physics. More specifically, the present invention relates to a process for the production of antihydrogen. 2. Description of the Prior Art Antihydrogen is the simplest of the antimatter elements. It comprises a nucleus of a single antiproton enveloped by a single orbiting positron. In the absence of reaction with normal matter, antihydrogen is a stable species having an indefinite half-life. Antihydrogen is a potent energy storage medium and is an important reactant in matter-antimatter anihilation reactions. There have been a number of schemes proposed for producing antihydrogen. Unfortunately, many of the schemes result in low yields of high-energy antihydrogen which is not suitable for study. One proposed process for producing antihydrogen in detectable quantities at low energies is the subject of U.S. patent Ser. No. 4,867,939 to Deutch which issued on Sep. 19, 1989. Deutch teaches a process for producing antihydrogen from antiproton-positronium collision via Auger capture. Specifically, the process comprises the interaction of antiprotons having an average energy of less than about 50 KeV and positronium having an average energy on the order of thermal energies. The positronium utilized in the process is ground-state positronium produced by bombarding an aluminum-based positronium converter with a high-energy positron beam. It is this ground-state positronium which undergoes charge-exchange with antiprotons to produce antihydrogen. Although the Deutch process is superior to earlier processes, there is still a requirement for an improved process which can be used to produce higher yield of antihydrogen in a stable state suitable for study. It is an object of the present invention to provide such a process. SUMMARY OF THE INVENTION Accordingly, in one aspect the present invention provides a process for the production of antihydrogen, comprising the steps of: (i) exciting atoms to a Rydberg state; PA1 (ii) charge-exchanging the excited atoms with positrons to produce Rydberg-state positronium; and PA1 (iii) charge-exchanging the Rydberg-state positronium with antiprotons to produce Rydberg-state antihydrogen. Preferrably, the Rydberg-state antihydrogen is permitted to decay to ground-state antihydrogen which can be trapped in a magnetic trap. Recent successes in trapping large numbers of cold antiprotons (10.sup.5 at 4.2K) and even larger numbers of cold positrons (10.sup.6, also at 4.2K), provide the building blocks for the production of cold antihydrogen. Several methods for production of cold antihydrogen from these building blocks have been proposed, but none has a very high efficiency. Cold antihydrogen production would allow for trapping antihydrogen in a magnetic trap, similar to that used to trap neutral hydrogen atoms or molecules. Spectroscopy on such trapped antihydrogen could provide a strong test of CPT, as well as allowing for many precision tests of the physics of antimatter. Accordingly, in a preferred embodiment, the present invention provides a process using two stages of Rydberg-state charge-exchange to produce cold antihydrogen atoms from the cold trapped components. |
046866949 | description | DESCRIPTION OF THE PREFERRED EMBODIMENTS The present invention relates to an apparatus for rapid, non-destructive on-site verification of type and elemental composition of important engineering alloys. The apparatus uses radioisotope excited X-ray fluorescence to analyze a sample in any one of a variety of physical forms, such as pipes, plates, welds, and welding materials, machined parts, castings, etc. The sample to be analyzed is exposed for a few seconds to radiation from a radioisotope source. The atoms of some elements in the material are caused to fluoresce and emit X-rays which are characteristic of the element. The detector system separates X-rays coming from the sample into discrete energy regions and, from a measure of the intensity in each region, determines the element concentrations. The energy regions corresponding to the elements: Ti, V, Cr, Mn, Fe, Co, Ni, Cu, Nb, Mo and W, are effectively analyzed. There is shown in FIG. 1 a metal analyzing probe apparatus 21 according to the present invention. A radioisotope source, a detector, and control circuitry are contained in a generally cylindrical housing 22. The housing has open upper and lower ends which are closed by a top cover 23 and a bottom cover 24 respectively which are attached to the sidewall of the housing by suitable fasteners. A handle 25 and a knob 26 are attached to the sidewall of the housing at spaced-apart positions to define an included angle of less than 180.degree.. A source housing 27 and an end housing 28 are attached to the sidewall of the housing 22 and spaced approximately 180.degree. apart. The source housing 27 and the end housing 28 are each approximately equally spaced between the handle 25 and the knob 26. As will be discussed below, a plate 29 is attached to the sidewall of the housing 22 to cover a filter access port and a pair of source shutter position tags 30 are positioned in the top cover 23. There is attached to the handle 25, electrical lines 31 which are connected to an electronic unit (not shown) including a power source for communication with and supplying power to the circuitry enclosed in the housing 22. A block diagram of the circuitry contained in the probe housing 22 of FIG. 1 and the associated electronic unit is illustrated in FIG. 2. A main power supply 40 in the electronic unit generates various voltages on the power lines 31. The line 31 is connected to a filter motor 43 and a source shutter motor 44. The source housing 27 of FIG. 1 contains a pair of radioisotope sources 45. The sources 45 are positioned behind source shutters 46 which are mechanically controlled by the source shutter motor 44. A probe control circuit 47 generates control signals on a line 48 to actuate the source shutter motor 44 to open and close the source shutters 46. When the source shutters 46 are opened, radiation 49 from the sources 45 impinges upon a sample 50. X-rays 51 are emitted from the sample 50 and passed through one of a plurality of filters 52 to a detector 53. A signal representing the one of the filters 52 positioned between the sample 50 and the detector 53 is generated on a line 54 through the probe control circuit 47. The probe control circuit 47 generates signals representing the operating conditions of the circuit on one of the lines 31 to a communications UART 56. The UART 56 is connected to a bus 57. Also connected to the bus 57 is a central processing unit CPU 58. The detector 53 generates an output signal on one of the lines 31 which is connected to a pulse amplifier 60. The pulse amplifier 60 generates an output signal to the bus 57. The output signal represents the X-ray intensity transmitted through one of the filters 52 to the detector 53. The CPU 58 reads the X-ray information and the filter identification from the bus lines 57. After the CPU 58 has read this information for one or more of the filters 52, it then determines the composition of the sample 50. The CPU 58 includes a memory in which are stored the X-ray data from a plurality of alloys. The CPU compares the data for the sample 50 with the stored data until a match is found. If no match is found, the CPU 58 so indicates. The CPU 58 then generates output signals onto the bus 57 to a display 61 for a visual display of the identification of the alloy and its elemental contents. A keyboard 62 is connected to the bus 57 for communicating with the CPU 58 to generate control signals through the UART 56 to the probe control circuit 47. The probe control circuit 47 responds by controlling the filter motor 43 and the source shutter motor 44. There is shown in FIG. 3 a top plan view of the probe housing of FIG. 1 with the top cover 23 and the top of the source housing 27 removed. In the interest of clarity, only the source shutters and the shutter drive mechanism are shown. There is shown in FIG. 4 a fragmentary side elevational view of the probe housing of FIG. 1 with only the source shutters and the shutter drive mechanism shown in the interest of clarity. The detector housing 22 includes a cylindrical side wall 701 in which is formed an aperature 702 for passing X-rays from the sample material to the radiation detector (not shown). The source housing 27 is attached to the outside of the side wall 701 by suitable means. The source housing 27 has a body formed as a right triangular prism, triangular in cross-section, with a pair of side walls 703 tapering from an open base at the aperture 702 to a tip forming a narrow aperture 704. The aperture 702 is covered by a "window" which typically is formed of facing sheets of Mylar material and polypropylene material which are attached to the inside surfaces of the side walls 703. The window material is pervious to the radiation from the source and the sample. A first shutter means 706 (one of the shutters 46 of FIG. 2) has a generally tubular body 707 which is rotatably mounted at its ends to the top and bottom of the source housing 27. A generally tubular collimator and source holder 708 is mounted inside the shutter 707 and is stationary with respect to the source housing. Radiation from the source 45 can only exit the collimator through a port along a line 709. The radiation can only exit the shutter through a shutter port along a line 710 which is generally positioned at right angles with respect to the line 709 when the shutter is in the closed position as shown in FIG. 3. As will be discussed, when the shutter is rotated in a clockwise direction by the shutter drive motor 44, the shutter port is in axial alignment with the collimator port and radiation from the source exits through the window 705 along the line 709. A second shutter means 711 (one of the shutters 46 of FIG. 2) is positioned adjacent the other side of the aperture 704 and includes a different radiation source. For example, the shutter means 706 can include an Fe 55 radiation source while the shutter means 711 can include a Cd 109 radiation source. The shutter drive motor 44 is mounted on a motor support bracket 712 and has an output shaft 713 which is connected to one end of an output arm 714. The other end of the output arm 714 has a pin 715 connected thereto which extends through an aperture in one end of a link arm 716. The link arm 716 is retained on the pin 715 by a snap ring 717. The other end of the link arm 716 is attached to a pin 718, extending through an aperture in the arm, by a snap ring 719. The pin 718 is connected at the periphery of a semi-circular cam 720 which is rotatably mounted on a shaft 721 attached to a shutter plate 722. A shutter slide 723 is mounted for longitudinal movement on the shutter plate 722 by a pair of guides 724 attached to the shutter plate 722 and extending through elongated apertures formed in the shutter slide 723. The end of the shutter slide 723 adjacent the source housing 27 has one end of a wire link 725 attached thereto, the other end of the wire link being attached to an arm extending from the side of the shutter 707. When the drive motor 44 is rotated in the counterclockwise direction as viewed in FIG. 3, the cam 720 is also rotated in the counterclockwise direction. An end 726 of the cam 720 engages the camming surface on the shutter slide 723 and forces the shutter slide in a direction away from the source housing 27. The movement of the shutter slide 723 is transmitted through the wire link 725 to rotate the shutter 707 to line up the shutter port with the collimator port. When the motor 44 is rotated back to the position shown in FIG. 3, a return spring 727, connected between the guide 724 adjacent the cam 720 and the shutter slide 723, returns the shutter slide 723 and the shutter 707 to the positions shown. Attached to the shutter slide 723 are a pair of switch actuating cams 728 and 729. A pair of limit switches, a switch 631 and a switch 730, are attached to the shutter plate 722. The switch 631 is shown in its unactuated state. When the shutter 707 is closed, as is shown in FIG. 3, the switch 631 is actuated by the switch cam 729. The switch 730 is connected in a manner similar to the switch 631. The combination of these two switches 631 and 730 generates signals which indicate that the shutter 707 is in the closed position. When the shutter drive motor 44 actuates the shutter slide 723, the switch cam 728 is moved into contact with the actuating arm of the switch 730 and the cam 729 is moved out of contact with the actuating arm of the switch 631. Thus, the switches 631 and 730 will reverse their signals to indicate that the shutter 707 is in the open position. The second shutter means 711 is actuated in a similar manner and includes similar limit switches for indicating the position of the shutter associated therewith. The shutter slide 723 has a generally upstanding source shutter position tag 30 attached thereto. The upper end of the tag 30 extends into an elongated aperture 731 formed in the top cover 23 of the probe housing. The movement of the shutter slide 723 moves the tag 30 in the aperture 731 between marked "off" and "on" positions which are shown in FIG. 1. A transparent cover 732 can be attached to the upper surface of the top cover 23 to cover the aperture 731. Although not shown, a similar aperture is provided for the other shutter position tag which is attached to the shutter slide for the other radiation source. There is shown in FIG. 5, a fragmentary top plan view of the probe housing of FIG. 1 showing the placement of the radiation detector 53 and the filters 52. The radiation detector 53 includes a photomultiplier tube 592 which is positioned to receive X-rays which pass through the aperture 704 and window in the tip of the source housing 27 and through the aperture in the side wall 701 of the detector housing. A plurality of filters 52 include the filter brackets 740, 741, and 742. The brackets are attached to a disc 743 which is rotated beneath the radiation detector 592. The bracket 741 is shown in a side elevational view in FIG. 6. The bracket 741 is generally L-shaped with a relatively short lower leg 744 attached to the upper surface of the disc 743 by suitable fastners. A generally upstanding leg 745 has a generally rectangular aperture 746 formed therein for receiving a sheet of filter material 747. Each of the filter brackets retains a sheet of different filter material for preferentially passing only the spectral lines of a selected element typically found in the materials analyzed. The bracket 741 also has an indentification means area 748 located between the lower leg 744 and the lower edge of the aperture 746. The area 748 can have from zero to four apertures 749 through 752 formed therein. The presence or absence of one of the apertures generates a binary coded signal in cooperation with one of the photodiodes and its associated photo transistor. There are sixteen different combinations of blocked and open apertures which can be utilized to identify the particular sheet of filter material which is located between the radiation detector 592 and the sample of material which is positioned at the tip of the source housing 27. There is shown in FIG. 7 a plan view of the electronic unit keyboard of FIG. 2. The apparatus combines a well established analytical technique, radioisotope X-ray flourescence with microelectronics to accomplish several measurement obJectives. The primary objectives are: verification of alloy grade or type and, composition analysis of a large number of engineering alloys. All measurements can be made with a sample in a variety of physical forms such as pipe, plate, weld and welding material, machine parts, castings, etc. The X-ray flourescence method is a nondestructive method which allows for measurement of many elements with high precision. Alloy identification is accomplished by recognizing the unique combination of several elements in narrowly specified composition ranges. Accurate quantitative analysis is achieved by making appropriate corrections for inter-element matrix effects. The material to be analyzed is exposed for a few seconds to radiation from one of the radiosotope sources. The atoms of some of the elements of the material are caused to flouresce and emit X-rays which are characteristic of the element. The detector system separates X-rays coming from the sample into descrete energy regions and, from a measure of the intensitry in each region, determines the element concentration. The energy regions correspond to the elements: Ti, V, Cr, Mn, Fe, Co, Ni, Cu, Nb, Mo and W are effectively analyzed. The central processor unit 58 coordinates the operation of the probe with the electronics unit according to instructions contained in the permanent memory. All essential calibration data is stored in this memory. In addition, a second memory is used for data processing and storage of standardization and other factors pertaining to special modes of operation. The basic modes of operation are alloy identification and analysis. Each mode can be modified for a selected precision sample size comparision, and for other measurement conditions, through the touch-type keyboard 62 on the instrument panel of the electronic unit. Alloy identification is initiated by depressing the IDENT key. The probe is placed in position and the remote switch 656 is actuated. After approximately twenty seconds, if the measured data matched that of one of the library alloys, the alloy type is registered on the display 61. The number of alloys stored in the memory can exceed more than one hundred and includes most engineering alloys of importance. The apparatus enables the determination of the percent content of the elements shown on the keyboard. The content of the elements Ti, V, Cr, Ni, Cu, Nb, Mo and W can be displayed immediately after the identification measurement. These and other elements can also be analyzed specifically to a higher precision with matrix compensation based on the identification data. Alternatively, the alloy type to be analyzed can be entered through the keyboard so that even single element determination can be made with matrix compensated accuracy. A typical analysis takes between ten and twenty seconds. The element symbol is displayed along with the percent content. Each mode of measurement can be modified by one of the four selectable levels of precision, and one of several size compensation routines. For example, the size compensation feature would correct for under-sized samples and non-standard probe-to-sample air gap distances as might be presented by some weld configurations of a non-contact measurement on a high temperature surface. The effect on alloy identification of statistical variations in the measured X-ray intensities is automatically factored into the decision making process. The chance of a mistaken identity is estimated to be less than one in one hundred As for elemental analysis, the precision depends on the element content and the alloy types. Some typical precision values for common alloys are shown in the following table. Precision can be improved by a factor of three when utilizing one of the increased precision modes. Accuracy is usually equal to the precision. ______________________________________ TABLE OF PRECISION VALUES ALLOY TYPE TYPICAL PRECISIONS ______________________________________ Low Alloy Steel .+-.0.02% (Ti, V, Mo, Nb) (e.g. 2.25 Cr, 1 Mo) .+-.0.1% (Cr) .+-.0.3% (Mn) Stainless Steel .+-.0.03% (Ti, V, Mo, Nb) (e.g. SS304/316) .+-.0.3% (Cr, Mn) .+-.0.5% (Fe, Co, Ni, Cu) Nickel Alloys .+-.0.04% (Ti, V, Mo Nb, W) (e.g. Inconel 625, Hasteloy X) .+-.0.2% (Ni) .+-.0.35% (Cr, Mn, Cu) .+-.0.55% (Fe, Co) ______________________________________ There is shown in FIG. 8 an exploded perspective view of the filter drive mechanism of the present invention. The filter drive motor 43 has an output shaft 760 which is retained in a centrally disposed aperture 761 formed in a drive wheel 762. The drive wheel 762 also has a pair of aperture 763 formed therein which are spaced equidistant on either side of the central aperture 761. Each of a pair of drive pins 764 is retained in one of the apertures 763 and extends above the surface of the drive wheel 762 opposite the surface facing the drive motor 43. A geneva wheel 765 is attached to the filter bracket disc 743 for rotation about a common axis on a bearing (not shown). The periphery of the geneva wheel 765 has a plurality of slots 766 formed therein. The drive motor 43 and drive wheel 762 are positioned such that the drive pins 764 engage alternate ones of the slots 766 as the drive motor 43 rotates the drive wheel 762. Thus, during each one-half revolution of the drive wheel 762 the geneva wheel 765 and the filter bracket disc 743 are rotated a portion of one complete revolution to replace one of the filters 52 with an adjacent one of the filters. Although the invention has been described in terms of specified embodiments which are set forth in detail, it should be understood that this is by illustration only and that the invention is not necessarily limited thereto, since alternative embodiments and operating techniques will become apparent to those skilled in the art in view of the disclosure. Accordingly, modifications are contemplated which can be made without departing from the spirit of the described invention. |
claims | 1. A fuel assembly for a nuclear reactor comprising:a top end fitting;a bottom end fitting;a plurality of elongated guide thimbles respectively extending in an axial direction between and connected at opposite ends to the top end fitting and the bottom end fitting; anda plurality of transverse grids arranged in a spaced tandem array between the top end fitting and the bottom end fitting, at least some of said grids formed from a plurality of orthogonal intersecting straps that define cells at the intersection of each four adjacent straps, the guide thimbles respectively extending through and fixedly connected to at least some of said cells, the connection between at least some of said guide thimbles and at least some of the corresponding cells through which the guide thimbles pass comprising;an elongated tubular sleeve having a length in the axial direction longer than a width in the axial direction of the grid straps of the cell through which the corresponding guide thimble passes and having a diameter that is larger than or substantially equal to an axially transverse width of the cell through which the corresponding guide thimble passes, the elongated tubular sleeve having an axial slit that extends the length of the sleeve and the sleeve extending through and above and below the cell through which the corresponding guide thimble passes and being mechanically or metallurgically affixed to at least a one wall of the cell through which the corresponding guide thimble passes, the guide thimble extending through the corresponding sleeve, the tubular sleeve having a first opening in a wall of the sleeve in a first portion of the sleeve that extends from one end of the cell; anda first weld ring fitting over and circumscribing, at least in part, a circumference of the first portion of the sleeve, and extending over more than 180° of the circumference of the first portion of the sleeve and welded to the guide thimble through the first opening. 2. The fuel assembly of claim 1 wherein the tubular sleeve has a second opening in the wall of the sleeve in a second portion of the sleeve that extends from a second end of the cell, the fuel assembly further comprising a second weld ring fit over and circumscribing, at least in part, a circumference of the second portion of the sleeve and welded to the guide thimble through the second opening. 3. The fuel assembly of claim 1 wherein a window is formed in an axial central portion of the sleeve, the window having a top ledge and a bottom ledge, the spacing between the top ledge and the bottom ledge being substantially equal to the axial width of the grid straps that surround the sleeve when the sleeve is inserted into the cell. 4. The fuel assembly of claim 3 wherein the top ledge rests at least partially on the upper edge of the grid straps that surround the sleeve. 5. The fuel assembly of claim 4 wherein the bottom ledge rests at least partially against a lower edge of the grid straps that surround the sleeve. 6. The fuel assembly of claim 3 wherein the window is stamped in a wall of the sleeve. 7. The fuel assembly of claim 1 wherein the sleeve is constructed from a resilient material. 8. The fuel assembly of claim 1 wherein the sleeve is welded or brazed to the grid strap. 9. The fuel assembly of claim 1 wherein the guide thimble and the first weld ring is constructed from Zirconium or a Zirconium alloy and the sleeve is constructed from stainless steel. 10. The fuel assembly of claim 9 wherein the grid straps are constructed from Inconel. 11. The fuel assembly of claim 1 wherein the first weld ring has an axial slit. 12. The fuel assembly of claim 1 wherein the first weld ring has a discrete protrusion that extends radially inwardly and seats in the first opening in the sleeve. 13. The fuel assembly of claim 12 wherein the protrusion on the first weld ring is welded to the guide thimble. 14. The fuel assembly of claim 13 wherein the protrusion on the first weld ring is stamped into the first weld ring. 15. The fuel assembly of claim 12 wherein the first opening comprises a plurality of first openings circumferentially spaced around the first portion of the sleeve and the protrusion on the first weld ring comprises a plurality of protrusions circumferentially spaced around the first weld ring to correspond with the first openings. 16. The fuel assembly of claim 1 wherein the first weld ring is tightly fit around the first portion of the sleeve. |
|
summary | ||
claims | 1. A particle beam therapy system comprising:a beam energy changing unit that changes the energy of an incident particle beam;a beam energy change control unit that outputs a command for controlling the beam energy changing unit;an irradiation unit that irradiates a particle beam exiting from the beam energy changing unit onto an irradiation subject; andan irradiation control unit that outputs a command for controlling the energy and position of a particle beam to be irradiated onto the irradiation subject,wherein the beam energy changing unit includes deflection electromagnets that sequentially deflect the incident particle beam into a plurality of intra-apparatus beam orbits, a plurality of separate variable energy attenuation units disposed in the respective intra-apparatus beam orbits, and a deflection electromagnet that performs deflection in such a way that particle beams that pass through the respective variable energy attenuation units fall into a single and the same orbit; and while a particle beam passes through one of the variable energy attenuation units, the beam energy change control unit performs control in such a way as to change the energy attenuation amount of at least one of the other variable energy attenuation units, based on the command from the irradiation control unit, each variable energy attenuation unit configured to change the energy attenuation amount without changing energy attenuation amounts of other variable energy attenuation units or a path of the particle beam passing through the variable energy attenuation unit. 2. The particle beam therapy system according to claim 1, wherein the intra-apparatus beam orbits have portions that are in parallel with one another, and the variable energy attenuation units are provided in the respective parallel portions. 3. The particle beam therapy system according to claim 1, wherein the number of the intra-apparatus beam orbits is two. 4. The particle beam therapy system according to claim 1, wherein the number of the intra-apparatus beam orbits is three. 5. The particle beam therapy system according to claim 1, wherein the variable energy attenuation unit is configured in such a way as to be provided with a water introducing tube for introducing water into a shape-variable container whose side faces are formed of a shape-variable material, in such a manner that the pressure of water can be controlled, and in such a way that the thickness of a portion, of the shape-variable container, through which a particle beam passes can be changed by changing the pressure of water. 6. The particle beam therapy system according to claim 1, wherein the variable energy attenuation unit is configured in such a way as to be provided with a particle beam energy attenuator whose thickness changes in a single direction and as to be provided in such a manner that the single direction in which the thickness of the particle beam energy attenuator changes is slanted at a predetermined angle from a plane including a plurality of intra-apparatus beam orbits, and in such a way that an energy attenuation amount is changed by moving the particle beam energy attenuator in the single direction in which the thickness thereof changes. 7. The particle beam therapy system according to claim 6, wherein the predetermined angle is 90°. 8. The particle beam therapy system according to claim 1, wherein a collimator member having an opening of a predetermined size is provided at a position, between the variable energy attenuation unit and the irradiation unit, through which a particle beam passes. |
|
051715155 | description | DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS The process of the present invention involves the addition of an effective amount of an aqueous solution of zinc borate, Zn(BO.sub.2).sub.2, to the reactor coolant water of a pressurized water reactor. The zinc ions which are thereby added to the reactor coolant water serve to inhibit corrosion within the pressurized water nuclear reactor system, and more specifically, within the primary circuit of a pressurized water nuclear reactor system. An advantage of using zinc borate as the source of zinc ions is that zinc borate is sufficiently soluble in water. Thus, the zinc ions can be added to the reactor coolant water as an aqueous solution, rather than as a slurry. Preferably, the aqueous solution of zinc borate is an aqueous solution of zinc borate in aqueous boric acid. Zinc borate, as shown in the drawing is sufficiently soluble in a boric acid solution so as to provide a solution thereof for addition to the reactor coolant water. An important advantage of using an aqueous solution of zinc borate in boric acid is that boric acid is often conventionally added to the coolant water of pressurized water nuclear reactors as a neutron absorber or "chemical shim". Generally, the concentration of boric acid in the coolant water of pressurized water reactors for such a purpose is up to about 0.1 molar (M). Thus, the borate anion from the zinc borate will not introduce an additional anion to the coolant water that could serve as a corrosion accelerator, or otherwise upset the chemical balance of the reactor coolant water. The aqueous solution of zinc borate can be prepared by adding a 0.1N (normal) zinc nitrate solution, which has been heated, to a 0.15 normal solution of borax while stirring. The resulting white flocculant precipitate is dried, washed with water, washed with alcohol, and dried again at a temperature of between about 60.degree. C. and about 70.degree. C., to form a fine powder which is readily dissolved in boric acid solutions. An alternative method of preparing the aqueous solution of zinc borate is to react an aqueous solution of boric acid with zinc oxide at an elevated temperature. An equal volume of a 96 percent, by weight, alcohol is added to the aqueous solution of boric acid and zinc oxide to cause the formation of a white gelatinous precipitate of the general composition Zn(BO.sub.2).multidot.H.sub.2 O. These methods are described by B. M. Shchigol, in "Some Properties of Zinc and Cadmium Borates", Russian Journal of Inorganic Chemistry, September 1959, pp. 913 to 915. Zinc borate, identified as Zn.sub.3 B.sub.4 O.sub.9 .multidot.5H.sub.2 O, may also be purchased commercially from Alpha Products of Danvers, Mass. The aqueous solution of zinc borate is preferably concentration of zinc ions in the coolant water is from about 10 to about 200 parts per billion (ppb), more preferably, from about 10 to about 50 ppb, and, most preferably, from about 10 to about 20 ppb. EXAMPLE Laboratory testing was carried out in a refreshed autoclave to evaluate the effect of zinc borate addition on the corrosion behavior of four materials exposed in a pressurized water reactor primary coolant environment. These four materials were 304 stainless steel, alloy 600, Alloy 690, and Zircaloy-4. The surface conditions of the specimens were similar to that in a pressurized water reactor: ground surface for the 304 stainless steel (304) the inside of a tube for Alloys 600 (600) and 690 (690), and the outside of a tube for Zircaloy-4 (Zirc-4). The refreshed autoclave system consisted of a four-liter 316 stainless steel autoclave, a 20 liter 304 stainless steel solution reservoir, a pressurizing feed pump, and a back pressure regulator. The solution reservoir was pressurized with 29 psia hydrogen gas to produce a dissolved hydrogen value of 35 cc (STP)/kg water. Two refreshed tests were performed at 300.degree. C. The first test had the "middle-of-life" (MOL) primary chemistry (500 ppm boron as boric acid and 1.1 ppm lithium as lithium hydroxide) and served as a reference. The second test had the MOL chemistry +100 ppb zinc as zinc borate. The initial and final solutions for each of the two tests were analyzed for lithium and boron. For the second test, analyses were performed for zinc before, during, and after the test. Zinc additions, when needed, were made shortly after the analysis to compensate for zinc depletion. The duration of each of the two tests was 300 hours. The chemical analyses of the solutions in the two tests are as follows: ______________________________________ CHEMICAL ANALYSES OF SIMULATED PWR REACTOR PRIMARY COOLANT WITH AND WITHOUT ZINC ADDITION ______________________________________ Tests 1 and 2 - Li/B Analysis Cond. Solution Test Li (ppm) B (ppm) pH (S/cm) ______________________________________ Initial 1 1.3 500 6.1 11 Final 1 1.1 500 6.2 13 Initial 2 1.3 500 5.8 10 Final 2 1.1 500 6.0 11 ______________________________________ Test 2-Zn Analyses Zn Borate Analysis Calculated Hrs. Addition (mg) MUT AC MUT ______________________________________ 0 4.8 92 100 48 78 15 50 1.0 99 144 86 33 146 1.0 107 216 102 38 218 None 300 82 72 ______________________________________ Note that the zinc in the autoclave (AC) did not approach the 100 ppb test conditions until near the end of the test. On the average, the specimens were exposed to a zinc concentration of about 40 ppb. The behavior of the zinc concentrations in the autoclave and makeup tank (MUT) during the first half of the test indicates that zinc was being deposited or incorporated into the surface of the autoclave or sample specimens. As the test progressed, the rate of zinc incorporation was reduced, since the final analysis showed the concentrations in the makeup tank and autoclave were about equal. After the 300 hour exposure, the specimens were removed from the autoclave and photographed. Scanning electron microscope photographs were also taken to determine if the zinc addition had affected the film morphology and for evidence of incorporation of zinc into the corrosion film. Photographs of the four specimen surfaces exposed to normal and zinc added coolant showed slightly darker surfaces for 304, 600 and 690 specimens while there was not much difference between the Zirc-4 specimens. Since darker oxides on specimens exposed under the same conditions are generally thicker, the lighter oxide on the three materials suggest that the zinc borate addition has produced a thinner oxide than was produced without the addition. As a result of the process of the invention, the corrosion within the reactor is inhibited. Thus, the transport of corrosion products and radioactive cobalt ions through the reactor, as well as levels cf radioactivity within the reactor, are reduced. |
abstract | Disclosed herein is a material, comprising a first metal halide that is operative to function as a scintillator; where the first metal halide excludes cesium iodide, strontium iodide, and cesium bromide; and a surface layer comprising a second metal halide that is disposed on a surface of the first metal halide; where the second metal halide has a lower water solubility than the first metal halide. |
|
description | The present application claims priority from Japanese Patent application serial no. 2009-199199, filed on Aug. 31, 2009, the content of which is hereby incorporated by reference into this application. 1. Technical Field The present invention relates to method for managing internal equipment in a reactor pressure vessel during operation of a nuclear power plant. 2. Background Art Internal equipments grouped close together such as instrumentation nozzles mounted to the bottom portion of a reactor pressure vessel, penetrating a bottom head of the reactor pressure vessel, are joined to the bottom head by welding. Methods for carrying out preventive maintenance or repair of these welds have been conventionally performed in an underwater environment, so the applicable methods have been limited to methods performable in water, methods in which a region around a target is defined and locally made into an air environment, or methods in which cooling water in the reactor pressure vessel is drained from the reactor pressure vessel to make the entire vessel an air environment. One method for preventive maintenance is, in terms of a material factor that is one of the causes for stress corrosion cracking, a method for reforming surface of structure member by weld-overlay using a corrosion-resistant welding material. One method for repair is repair welding after a crack is removed from structure member (for example, the reactor pressure vessel) composing a reactor by grinding. Thus, it is preferable that welding can be performed to the structure member in both the preventive maintenance and repair, and in order to perform welding in a reactor pressure vessel boundary, all procedures including pre-weld polishing, welding, after-weld polishing, weld size measurement, and penetrant testing (PT) are required to be performed in the given environment, all of which have been previously performed in air. A plurality of prior arts disclosed a method applicable in water, such as using an underwater welding apparatus and a polishing/grinding apparatus, can be found. For example, Japanese Patent Laid-open No. Hei 9 (1997)-1347 discloses an underwater TIG welding apparatus that dome-forming gas is injected toward the tip of a TIG welding torch to eliminate water around a welding surface. Furthermore, in an underwater polishing apparatus disclosed in Japanese Patent Laid-open No. 2005-297090, a apparatus having a disc grinder for grinding/polishing and a hood cover is proposed; and there is a method individually combining the disc grinder and the hood cover. As a method of limiting a target region and locally making an air environment surrounding the target region, a method using a cover apparatus for repairing a housing penetrating a pressure vessel (Japanese Patent Laid-open No. Hei 7 (1995)-318681), for example, has been proposed. In this method, a tubular sealing pipe surrounding a housing penetrating a reactor pressure vessel is provided to form a partial air space around the single housing penetrating the reactor pressure vessel. As an example of a method for draining cooling water from a reactor pressure vessel to make entire inner region of the reactor pressure vessel into an air environment, a method for replacing an incore monitor housing and a apparatus used therefor has been proposed by Japanese Patent Laid-open No. 2001-108784. In this replacement method, since in a boiling water reactor (BWR), a shielding effect of cooling water cannot be obtained when the cooling water is drained from the reactor pressure vessel, causing radiation equivalent rate on an operation floor to rise, a thick shielding body is installed to a flange surface of the reactor pressure vessel and covers the reactor pressure vessel to drain the cooling water and thus replacement of an incore monitor housing can be performed in an air environment in the reactor pressure vessel. In Japanese Patent Laid-open No. Hei 5 (1993)-312992, as in Japanese Patent Laid-open No. 2001-108784, a method has been proposed in which, after cooling water is drained below an operation target in a reactor pressure vessel, a chamber body is set and fixed using a flange surface of a top end of a core shroud disposed in the reactor pressure vessel and a lug, then a sealing plug is installed to a nozzle portion located in the upper portion of a jet pump disposed inside the reactor pressure vessel to prevent a flow of the cooling water into the lower portion of the reactor pressure vessel, so that various heads can be inserted in the lower portion of the reactor pressure vessel for preventive maintenance and repair work. Patent Literature 1: Japanese Patent Laid-open No. Hei 9 (1997)-1347 Patent Literature 2: Japanese Patent Laid-open No. 2005-297090 Patent Literature 3: Japanese Patent Laid-open No. Hei 7 (1995)-318681 Patent Literature 4: Japanese Patent Laid-open No. 2001-108784 Patent Literature 5: Japanese Patent Laid-open No. Hei 5 (1993)-312992 In a method for managing internal equipment in a reactor pressure vessel in an underwater environment, when an underwater welding apparatus and an underwater polishing apparatus are individually combined, a series of operations including pre-weld polishing, welding, after-weld polishing, weld size measurement, and penetrant testing (PT) are required to be successfully performed. However, at present, a technology of underwater penetrant testing (PT) has not been established yet. In the covering unit for repairing the housing penetrating the reactor pressure vessel (Japanese Patent Laid-open No. Hei 7 (1995)-318681) being the method of limiting the target region and locally making the air environment surrounding the target region, when welding for preventive maintenance is performed to numerous welds of the housing penetrating the reactor pressure vessel, the sealing pipe must be moved every time a target location of the welding is changed, resulting in low workability. In the welding in particular, the sealing pipe cannot be removed until the above-mentioned series of operations including pre-weld polishing, welding, after-weld polishing, weld size measurement, and penetrant testing (PT) are completed for each target, which makes the process inefficient. With regard to cooling water drainage from a reactor pressure vessel, in a boiling water reactor (BWR), a thick shielding body must be installed and a vast amount of the cooling water in the reactor pressure vessel must be drained and disposed as radioactive waste. An object of the present invention is to provide a method for managing internal equipment in a reactor pressure vessel and an apparatus thereof by which the series of managing operations (for example, polishing, welding, after-weld polishing, weld size measurement, and penetrant testing [PT]) for internal equipments in a bottom portion of a reactor pressure vessel can be performed even in an underwater environment. The object of the present invention can be achieved by installing a cover apparatus for covering a plurality of internal equipments disposed in a lower region of a reactor pressure vessel, on an inner surface of a bottom portion of the reactor pressure vessel; draining water existing below the cover apparatus from the reactor pressure vessel in state that water exists above the cover apparatus in the reactor pressure vessel; and managing the internal equipments and the bottom portion being below the cover apparatus after the water drainage. According to the present invention, water removal from the entire reactor can be eliminated, and management of internal equipments and a bottom portion of a reactor pressure vessel can be performed efficiently and in a relatively short operation period. Various embodiments of the present invention are explained below with reference to the figures. [Embodiment 1] In the present invention, management of internal equipment means repair and preventive maintenance operation on the equipment. A bottom portion of a reactor pressure vessel is called a bottom head. Although the present embodiment shows an example of covering, at once, all the internal equipments (for example, instrumentation nozzles mounted to the bottom head 2) disposed in a bottom region of the reactor pressure vessel as a best method, not all of the internal equipments necessarily need to be covered by a cover apparatus, but only a half or a quarter of all may be covered as well. The bottom region of the reactor pressure vessel is a region formed below a core support member 4 (FIG. 1A) fixed on an inner surface of the reactor pressure vessel. FIGS. 1A and 1B show one embodiment with respect to internal equipments (for example, instrumentation nozzles 3) disposed in a pressurized water reactor (PWR). The pressurized water reactor has a close grouping of instrumentation nozzles 3 penetrating a bottom head 2 inside a reactor pressure vessel 1 made of low-alloy steel lined with stainless-steel, and has core support member 4 fixed on the inner surface of the reactor pressure vessel 1, as shown in FIG. 1A. Unlike boiling water reactors (BWRs), a reactor internal of the pressurized water reactor can be separated into an upper reactor internal and a lower reactor internal for temporary storage in a cavity, substantially reducing spatial restriction during operation. Although the pressurized water reactor allows the reactor internals to be temporarily stored in the cavity, cavity water cannot be drained from the cavity because no divider exists on the reactor side. When no crack is found on a weld of the instrumentation nozzle 3 penetrating the bottom head 2, preventive maintenance is performed by weld-overlay with a highly corrosive-resistant welding material to reform an outer surface (a wetted surface) of the weld of the instrumentation nozzle 3 as a method of improving the material, which could be one cause of stress corrosion cracking. When a crack is found in the instrumentation nozzle 3 mounted to the bottom head 2, the cracked surface is overlaid by repair welding and shielded from the surrounding to prevent the crack from spreading further, or the crack is removed by grinding, then back-filled by welding if the depth of the grinding creates a concern for strength of the structure member. Since these measures require welding, the space around the instrumentation nozzle 3 is made into an air space 17 by the following procedure. An managing apparatus of a bottom portion of a reactor pressure vessel has a cover apparatus 5 and a common access apparatus 10 (FIG. 1A). The cover apparatus 5, to a top surface of which a guide pipe 6 is connected, is installed on the inner surface of the bottom head 2 of the reactor pressure vessel 1 in an underwater environment 16. At this time, the reactor pressure vessel is filled with the cooling water (reactor water). The cover apparatus 5 has a sealing member 7 all around its outer circumference contacting with the inner surface of the bottom head 2 to prevent the reactor water from entering. The guide pipe 6 is dividable into a plurality of tubular pieces 58 in the axial direction, and each tubular piece 58 is connected as the cover apparatus 5 is suspended and lowered to the bottom of the reactor pressure vessel 1. The connecting operation of the pieces is performed using an operation carriage 9 that is movable on an operation floor 8. Prior to this, the common access apparatus 10 is disposed in an inside space below the cover apparatus 5 and set up on the inner surface of the bottom head 2 before the cover apparatus 5 is installed on the inner surface of the bottom head 2. The common access apparatus 10 has a plurality of legs 11, an annular support member 24 attached to each upper portion of the legs 11, an arm 15, and a mounting fixture 37. The common access apparatus 10 grasps the instrumentation nozzles 3 using the plurality of legs 11 to fix the apparatus itself in the underwater environment 16. The arm 15 is attached to the annular support member 24, and can move along the annular support member 24 in a circular movement 12. The mounting fixture 37 is attached to the arm 15, and can move along the arm 15 in a radial movement 13. A vertical movement 14 is performed by a hoisting and lowering member 56 of the mounting fixture 37 (FIG. 12A). After the cover apparatus 5 is installed, the reactor water existing below the cover apparatus 5 is drained from the reactor pressure vessel 1, making the inside of the cover apparatus 5 into the air space 17. At this time, the reactor water exists above the cover apparatus 5. Then a variety of device heads 18 is suspended and lowered through the guide pipe 6 and mounted to the mounting fixture 37. Repair and preventive maintenance operation is carried out by the variety of device heads 18 mounted to the mounting fixture 37. The various device heads include (1) a visual testing head (VT), (2) an ultrasonic testing head (UT), (3) an eddy-current testing head (ECT), (4) an etching/replica testing head, (5) a magnetic particle testing head (MT), (6) a penetrant testing head (PT), (7) a polishing head, (8) a welding head, (9) a water jet (WJP) head, and so on. The heads used in the present embodiment are the following three kinds: (6) the penetrant testing head, (7) the polishing head, and (8) the welding head. The cover apparatus 5 is used to make the entire region below the cover apparatus 5 into an air environment, allowing pre-weld polishing, welding, after-weld polishing, and weld testing (PT) to be sequentially and continuously performed for all the instrumentation nozzles 3, so that the operation period can be shortened. Operation procedure is explained in detail with reference to FIGS. 2 to 5 and 14. (Step S1) Installation procedure for the common access apparatus 5 1s explained. FIG. 2 shows the common access apparatus 10 being suspended and lowered to the bottom region in the reactor pressure vessel 1. The common access apparatus 10 is suspended and lowered using a ceiling crane 21 with a hanging balance 20. In doing so, if a hook 22 of the ceiling crane 21 cannot be directly immersed into the reactor water, an electric chain block 23 hung from the hook 22 is used for hanging and lowering the common access apparatus 10. Alternatively, an electric chain block 19 of the operation carriage 9 may be used for hanging and lowering the common access apparatus 10. The hanging balance 20 has at least three hanging points for hanging the common access apparatus 10. The common access apparatus 10 is lowered by the ceiling crane 21 after the lengths to the three hanging points are pre-adjusted to maintain the levelness of the common access apparatus 10. In addition, the angles of the plurality of legs 11 are pre-set based on the positions of the instrumentation nozzles 3 supporting the common access apparatus 10. FIGS. 3A and 3B show the common access apparatus 10 set up on the bottom portion of the reactor pressure vessel 1. After the legs 11 are set on top portions of the instrumentation nozzles 3, the legs 11 grasp the instrumentation nozzles 3 to fix the common access apparatus 10. Although the grasping method is not shown in FIGS. 3A and 3B, it can be achieved by operation of a pneumatic or hydraulic cylinder. In FIG. 3B, the legs 11 grasp, as an example, at 4 positions, however, grasping at 3 positions is also allowed as long as the repair operation site can keep its center of balance. The common access apparatus 10 is set while being monitored by underwater cameras suspended around the common access apparatus 10. (Step S2) Installation procedure for the cover apparatus 5 is described as follows. FIG. 4 shows the cover apparatus 5 being suspended and lowered into the reactor pressure vessel 1. The cover apparatus 5 is, for example, a dome-shaped cover apparatus. Application of this dome-shaped cover apparatus is due to the consideration that the space between the core support member 4 and the instrumentation nozzles 3 disposed in outermost peripheral position of all the is not very wide in the reactor pressure vessel 1. In addition, a space is needed inside the cover apparatus 5 for setting the common access apparatus 10, thus consideration was made in setting the cover apparatus 5 to improve the workability of the hanging and lowering operation by minimizing the space between the cover apparatus 5 and the core support member 4 when the cover apparatus 5 is passed by the core support member 4, yet maximizing the inside space afterward. The cover apparatus 5 is suspended and lowered using the ceiling crane 21 via the hanging balance 20. In doing so, the hanging balance 20 hanging the cover apparatus 5 is hung from the electric chain block 23 that is hung from the hook 22 of the ceiling crane 21. The hanging balance 20 has at least three hanging points to hang and lower the cover apparatus 5, and the lengths to the three hanging points are adjusted to maintain the levelness of the suspended cover apparatus 5 before the cover apparatus 5 is lowered. As the suspended cover apparatus 5 is lowered, a guide pipe 6 is connected to the top surface of the cover apparatus 5. The guide pipe 6 is dividable into the plurality of tubular pieces 58 in the axial direction, and each connection portions between the tubular pieces 58 has a sealing structure. The tubular pieces 58 are connected to form the guide pipe 6 by a worker in an air space above the operation carriage 9 movable on the operation floor 8. A U-shaped guide pipe support member 25 is installed on a side of the operation carriage 9, as shown in FIG. 5. After the guide pipe 6 is placed through a space surrounding by a U-shaped portion of the guide pipe support member 25, an opening member 26 being a part of the U-shaped portion is closed to guide the guide pipe 6. The U-shaped portion in which the opening member 26 was closed prevents the guide pipe 6 from being tilted. As the cover apparatus 5 is gone down, when top end of the guide pipe 6, that is, top end of the tubular piece 58 connected to the cover apparatus 5 is leveled with the guide pipe support member 25 on the operation carriage, the lowering of the cover apparatus 5 is stopped at once. In this state, another tubular piece 58 to be mounted on top is hoisted by the chain block 19 of the operation carriage, and connected to the top of the tubular piece 58 connected to the cover apparatus 5. When a connecting flange portion of the guide pipe 6 passes through the guide pipe supporter 25, a guiding portion of the guide pipe support member 25 expands to prevent interference. The guiding portion has four rollers 59 that contact with an outer surface of the guide pipe 6 to guide the guide pipe 6. Each roller 59 that is movable in horizontal direction attached to the guide pipe support member 25. Each roller 59 is pressed against the guide pipe 6 by spring member for contacting with the guide pipe 6. A cable 27 and an air hose 28 from the common access apparatus 10 are fixed to the top portion of the lower tubular piece 58 of the guide pipe 6. After the upper tubular piece 58 is connected on top of the lower tubular piece 58, the cable 27 and air hose 28 fixed to the top portion of the lower tubular piece 58 are pulled up to the uppermost portion of the upper tubular piece 58 by a jig, and are connected to a control apparatus installed on the operation carriage 9, through the guide pipe 6. As shown in FIG. 6, a lower portion 29 of the upper tubular piece 58 has a protruding surface while an upper portion 30 of the lower tubular piece 58 has a recessed surface and a sealing 31 around its circumference, and after these surfaces are fitted together, a bolt 32 is inserted to join these tubular pieces 58. This procedure is repeated until the cover apparatus 5 is set on the bottom portion of the reactor pressure vessel 1. FIG. 7 shows the cover apparatus 5 set on the bottom head 2 of the reactor pressure vessel 1. The cover apparatus 5 covers all the instrumentation nozzles 3, and the common access apparatus 10 set up on the instrumentation nozzles 3 in the previous operation. An elastic material suitable for the inner surface of the reactor pressure vessel 1 is provided all around the outer circumference of the cover apparatus 5 as the sealing member 7. FIG. 8 is an expanded view of the sealing member 7 when the cover apparatus 5 is set on the bottom head 2 of the reactor pressure vessel 1. Since the setting surface of the bottom head 2 is a sloped surface with a curvature, the setting porting of the cover apparatus 5 has a shape that fits to the sloped surface of the bottom head 2, as well as the sealing member 7 that has a shape that fits to this sloped surface. The sealing member 7 is pressed against the bottom head 2 of the reactor pressure vessel 1 by the cover apparatus 5's own weight, and compressed to seal between the cover apparatus 5 and the bottom head 2 of the reactor pressure vessel 1, thereby preventing the reactor water existing above the cover apparatus 5 from entering into the cover apparatus 5. As shown FIG. 9, two sealing members 7 may be concentrically provided all around the outer circumference of the cover apparatus 5. (Step S3) Draining procedure of the reactor water existing below the cover apparatus 5 is explained. FIG. 10 shows drain of the reactor water existing below the cover apparatus 5. Since the reactor pressure vessel 1 of a pressurized water reactor has no drain pipe for water drainage, a deep well underwater pump 33 is used to drain the reactor water below the cover apparatus 5. The deep well underwater pump 33 is suspended and lowered to the center portion of the bottom of the reactor pressure vessel 1 through the guide pipe 6 for set up. A power cable 34 and a drain hose 35 connected to the deep well underwater pump 33 is passed through the guide pipe 6 connected to the cover apparatus 5, and the outlet of the drain hose 35 is placed in a reactor well 36 formed above the reactor pressure vessel 1. This makes the reactor water in the cover apparatus 5 get sucked up by the deep well underwater pump 33 and discharged into the reactor well 36 through the drain hose 35. The reactor water level in the reactor well 36 is adjusted using the equipment of the nuclear power plant. By draining the reactor water from the inside of the cover apparatus 5, hydraulic head pressure of the reactor water above the cover apparatus 5 is added to the cover apparatus 5, which beneficially improves a sealing effect due to an additional force pressing against the inner surface of the reactor pressure vessel 1 beside the weight of the cover apparatus 5. A concern arises that the cover apparatus 5 may be inwardly deformed by the hydraulic head pressure added to the cover apparatus at that time, creating a gap between the sealing member 7 and the inner surface of the reactor pressure vessel 1. However, a mounting portion of the sealing member 7 has a circular shape, which is resistant to inward deformation, and made rigid to prevent inward deformation. When the water drainage from the inside of the cover apparatus 5 is completed, the drain hose 35 and the deep well underwater pump 33 set in the center portion of the bottom of the reactor pressure vessel 1 are pulled up through the guide pipe 6. (Step S4) Mounting procedure for the variety of device heads 18 is explained. FIG. 11 shows the procedure for mounting the variety of device heads 18 to the common access apparatus 10. The mounting fixture 37, to which the variety of device heads 18 are mounted, provided to the arm 15 of the common access apparatus 10 is moved to a position directly below the guide pipe 6 using the circular movement 12 of the arm 15 and the radial movement 13 of the mounting fixture 37. Then, the variety of device heads 18 is suspended and lowered from the operation carriage 9 into the guide pipe 6 to be mounted to the mounting fixture 37 provided to the arm 15 of the common access apparatus 10, by using the electric chain block 19. When the variety of device heads 18 is being lowered, the cable 27 and the air hose 28 attached to the variety of device heads 18 are fixed to the operation carriage 9 and lowered with the variety of device heads 18, being guided by a guide roller 38. FIG. 12 shows a detailed structure of the mounting fixture 37. The mounting fixture 37 that can move along the arm 15 is attached to the arm 15. A linear guide 40 in which a rack 61 is formed is fixed to an underside of the arm 15. The mounting fixture 37 has a support body 60, electric motors 42, 45 and 49, a linear guides 43, a ball thread 44, and the hoisting and lowering member 56. The support body 60 to which the electric motor 42 is fixed is attached to the linear guide 40 as the support body 60 can be slid. The electric motor 42 for creating the radial movement 13 generates a radial drive. A pinion 41 attached to a rotation shaft of the electric motor 42 meshes the rack 61. The linear guide 43 is attached to the support body 60 and lengthens from the support body 60 toward a lower position. The electric motor 45 for creating the vertical movement 14 is attached to the support body 60. A ball thread 44 is disposed along the linear guide 43. A lower portion of the ball thread 44 is attached to the linear guide 43 as it can rotate, and an upper portion of the ball thread 44 is attached to the support body 60 as it can rotate. The ball thread 44 is joined with a rotation shaft of the electric motor 45. The hoisting and lowering member 56 has an electric motor 45, a bearing 47, a gear 48, a holding member 51, a single pair of clamp cylinders 52 and a support plate 57. The support plate 57 to which the electric motor 45 and the bearing 47 were attached meshes the ball thread 44 as it can move in a vertical direction. The holding member 51 is attached to bearing 47 and has a positioning pin 50 for defining a mounting position of the variety of device heads 18. The gear 48 surrounds the holding member 51 and is attached around the holding member 51. The gear 48 is rotated by the electric motor 49 for creating a spinning movement 46 of the holding member 51 and then the holding member 51 is rotated. The single pair of clamp cylinders 52 is attached to the holding member 51. The support body 60 is moved along the linear guide 40 by the rotation of the electric motor 42 and thus the holding member 51 of the mounting fixture 37 reaches to a position directly below the guide pipe 6. The variety of device heads 18 suspended and lowered through the guide pipe 6 reaches in the vicinity of the holding member 51. The variety of device heads 18 is positioned against the holding member 51, and then the variety of device heads 18, aligned to the direction of the positioning pin 50, set to the holding member 51. The variety of device heads 18 held by the holding member 51 is fixed with the clamp cylinder 52. FIG. 13 shows the cable 27 and the air hose 28 when the variety of device heads 18 is moved by the radial movement 13 and the vertical movement 14 after being mounted to the mounting fixture 37. When the radial movement 13 and the vertical movement 14 are performed, the cable 27 and the air hose 28 connected from the operation carriage 9 to the device head 18 through the guide pipe 6 can move together the hoisting and lowering member 56 of the mounting fixture 37 in the radial direction and vertical direction without interfering with the frame of the common access apparatus 10 and so on since they are guided by a guide roller 39 installed to the frame of the common access apparatus 10. By performing the procedures of steps 1 to 4 described above, preparation for repair and preventive maintenance operation on the instrumentation nozzles 3 is completed. The repair and preventive maintenance operation (step S5) on the instrumentation nozzles 3, then, can be started. In the repair and preventive maintenance operation (step S5) on the instrumentation nozzles 3 (internal equipments), the variety of device heads 18 is changed according to the operation procedure and welding operation is performed. When the welding operation is performed, a series of operations such as pre-weld polishing, welding, after-weld polishing, after-weld size measurement, and after-weld testing (penetrant testing [PT]) of the surface of the operation target (the instrumentation nozzle 3). Thus, the operation is performed by changing the device head 18 to the one corresponding to each operation. Operation procedure for preventive maintenance of the repair and preventive maintenance operation performed in step S5 is explained next. Existence of cracks in the instrumentation nozzle 3 is checked by VT and when no crack is found, the preventive maintenance operation is performed against the instrumentation nozzle 3 having no crack. A variety of device heads 18 for preventive maintenance welding is mounted to the holding member 51 of the mounting fixture 37 as the operation procedure for preventive maintenance shown in FIG. 16. That is, the variety of device heads 18 mounted to the holding member 51 is set to the target region of the instrumentation nozzle 3 and changed according to the operation (pre-weld polishing, weld-overlay, after-weld polishing, weld test [PT]) and thus a series of the preventive maintenance operation against the instrumentation nozzles 3 having no crack is performed. These operations are repeated until all the targets (instrumentation nozzles 3) are completed. The operations can be continuously performed once the cover apparatus 5 and the common access apparatus 10 are installed so that the operation time is shortened. Then, the variety of device heads 18, the cover apparatus 5 and the common access apparatus 10 are removed form the reactor pressure vessel 1. The WJP may be performed afterward. Operation procedure for repair operation of the repair and preventive maintenance operation performed in step S5 is explained next. If a crack is found in the instrumentation nozzle 3 by VT, the position and the range of the crack in the instrumentation nozzle 3 are inspected and the cause of the crack is investigated using the procedures of UT, ECT, and replica. Then, the target region of the instrumentation nozzle 3 is repaired. In the repair operation, a variety of device heads 18 for the repair is mounted to the holding member 51 of the mounting fixture 37 as the operation procedure for the repair shown in FIG. 15. That is, the variety of device heads 18 mounted to the holding member 51 is set to the target region of the instrumentation nozzle 3 having the crack and changed according to the operation (pre-weld polishing, welding, after-weld polishing, weld test [PT]). These operations are repeated until all the targets (instrumentation nozzles 3) are completed. The operations can be continuously performed once the cover apparatus 5 and the common access apparatus 10 are installed so that the operation time is shortened. According to the present embodiment, all the preventive maintenance and repair operations can be performed with the various device heads 18 at once. The present embodiment allows, by making the entire region in the cover apparatus 5 into an air environment, pre-weld polishing, welding, after-weld polishing, and weld testing (PT) to be sequentially and continuously performed to all the instrumentation nozzles 3, so that the operation period can be shortened. According to the present embodiment, by using the managing apparatus of a bottom portion of a reactor pressure vessel, having the common access apparatus 10 including the mounting fixture 37 to which the variety of device heads 18 for the repair or the preventive maintenance is mounted, set up in the bottom region of the reactor pressure vessel 1, and the cover apparatus 5 for covering, at once, a plurality of instrumentation nozzles 3 and the common access apparatus 10, set up on the inner surface of the bottom portion of the reactor pressure vessel 1, the reactor water existing below the cover apparatus 5 can be only drained from the reactor pressure vessel. As a consequence, in a state that the reactor water is above the cover apparatus 5 in the reactor pressure vessel 1, the air space 17 being a limited region is formed between the cover apparatus 5 and the bottom portion (bottom head 2) of the reactor pressure vessel 1. Therefore, the present embodiment can perform a series of operations (polishing, welding, pre-weld polishing, weld size measurement, and penetrant testing [PT]) for managing, for example, the instrumentation nozzles 3 even in the bottom region below the underwater environment 16 formed above the cover apparatus 5 in the reactor pressure vessel 1. Besides, the present embodiment can minimize a region for reactor water removal, and suppress an increase in the radiation equivalent rate on an operation floor by shielding effect of the reactor water being above the cover apparatus 5 in the reactor pressure vessel 1. According to the present embodiment, since the common access apparatus 10 is provided with the mounting fixture 37 having the hoisting and lowering member 56 to which the variety of device heads 18 can be mounted, a series of welding procedures are allowed by changing the variety of device heads 18 through the guide pipe 6 connected to the top surface of the cover apparatus 5, e.g., a welding device head to a polishing device head, and so on, according to the operation procedure, and the variety of device heads 18 can be set to the position of any instrumentation nozzles 3 by circular, radial, and vertical movements of the common access apparatus 10. Thus, continuous repair or preventive maintenance operation to the plurality of instrumentation nozzles 3 can be performed. Further, a number of the instrumentation nozzles 3 disposed in the reactor pressure vessel can be repaired or preventively maintained efficiently. According to the present embodiment, the setting in the underwater environment 16 of a variety of apparatus being used in the present embodiment is carried out as follows: after the common access apparatus 10 is set up in the underwater environment 16, the cover apparatus 5 is installed to obtain the air space 17 in the bottom region of the reactor pressure vessel 1. Then, a variety of device heads 18 can be mounted to the holding member 51 of the mounting fixture 37 disposed in the air space 17 through the guide pipe 6. Consequently, the common access apparatus 10 is only required underwater specifications, and the variety of device heads 18 having complex functions only satisfies specifications in air. Thus, the present embodiment can reduce cost by eliminating underwater specifications from various device heads 18 used for repair or preventive maintenance, making the device heads specifications simple. Accordingly, according to the present embodiment, the need of water removal from the entire reactor can be eliminated; the repair or preventive maintenance operation for all the instrumentation nozzles 3 in the bottom region of the reactor pressure vessel 1 can be performed effectively and in a relatively shortened period; and radiation exposure to workers can be reduced. Further, highly reliable repair or preventive maintenance operation can be achieved. [Embodiment 2] FIGS. 14A and 14B show embodiment 2 of the present invention, in which a cover apparatus 5 and a common access apparatus 10 are joined as one body. In an managing apparatus of a bottom portion of a reactor pressure vessel, used in the present invention, the common access apparatus 10 is joined to the cover apparatus 5 by a plurality of support rods 62. The common access apparatus 10 joined to the cover apparatus 5 is disposed in the cover apparatus 5. A guide pipe 6 is connected to top surface of the cover apparatus 5. The cover apparatus 5 is installed to the inner surface of a bottom head 2 of a reactor pressure vessel 1. The outer circumference of the cover apparatus 5 has a sealing member 7 all around to prevent reactor water from entering inside of the cover apparatus 5. The guide pipe 6 is dividable into a plurality of tubular pieces 58 in the axial direction, which the tubular pieces 58 are connected as the cover apparatus 5 is suspended and lowered to the bottom of the reactor pressure vessel 1. The connecting operation of the tubular pieces 58 is performed using an operation carriage 9 movable on an operation floor 8. Since the common access apparatus 10 is suspended inside the cover apparatus 5 by a plurality of support rods 62, combining them into one body, in this installation of the cover apparatus 5, the installation of the common access apparatus 10 is eliminated. Further, the common access apparatus 10 can be installed together the cover apparatus 5 without adding its weight on instrumentation nozzles 3. In addition, the weight of the cover apparatus 5 and the common access apparatus 10, and hydraulic head pressure are used to fix the cover apparatus 5 on the inner surface of the bottom head 2 of the reactor pressure vessel 1, simplifying the structure. The inside of the cover apparatus 5, then, is made into an air space 17, followed by a variety of device heads 18 being suspended and lowered though the guide pipe 6 and mounted to the holding member 51 of mounting fixture 37 for repair or preventive maintenance operation as with the embodiment 1. The present embodiment can obtain the effects generating in the embodiment 1. [Embodiment 3] FIGS. 18A and 18B show embodiment 3 of the present invention, in which the inside of the cover apparatus 5 is gas-purged to prevent the reactor water in the reactor well 36 from entering into the cover apparatus 5. An managing apparatus of a bottom portion of a reactor pressure vessel, used in the present invention, is also provided with the cover apparatus 5 and the common access apparatus 10 joined to the cover apparatus 5 by a plurality of support rods 62 as with the embodiment 2. This managing apparatus has a gas-purging pump 53, a hose 54 connected to the gas-purging pump 53 and a closing plate 55 for closing the guide pipe 6. When reactor water inside the cover apparatus 5 is sucked, the air space 17 inside the cover apparatus 5 will have negative pressure as the water level is lowered. When the pressure in the cover apparatus 5 is reduced below the hydraulic head pressure of the level of the cover apparatus 5 set on the inner surface of the reactor pressure vessel 1, the negative pressure may result in generating force to draw the reactor water being above the cover apparatus 5 in the reactor pressure vessel 1. In this case, gas pressurized by the gas-purging pump 53 is purged from the guide pipe 6 into the cover apparatus 5 through the hose 54 to make, in the cover apparatus 5, the internal pressure higher than the hydraulic head pressure of the level of the cover apparatus 5 installed. One end of the hose 54 reaches in the cover apparatus 5 through the guide pipe 6. At this time, the closing plate 55 is mounted on top of the guide pipe 6 beforehand to make the inside of the cover apparatus 5 and the guide pipe 6 into a closed space. A sealing rubber material is used to seal between the closing plate 55 and the cable 27 and the air hose 28 penetrating the closing plate 55, to prevent the purged gas from leaking. This provides a leak prevention effect. The present embodiment can obtain the effects generating in the embodiment 2. 1: reactor pressure vessel, 2: bottom head, 3: instrumentation nozzle, 4: core support member, 5: cover apparatus, 6: guide pipe, 7: seal member, 8: operation floor, 9: operation carriage, 10: common access apparatus, 11: leg, 12: circular movement, 13: radial movement, 14: vertical movement, 15: arm, 16: underwater environment, 17: air space, 18: a variety of device heads, 19, 23: electric chain block, 20: hanging balance, 21: ceiling crane, 22: hook, 24: annular support member, 25: guide pipe support member, 26: opening member, 27: cable, 28: air hose, 29: lower portion, 30: upper portion, 31: sealing, 32: bolt, 33: deep well underwater pump, 34: power cable, 35: drain hose, 36: reactor well, 37: mounting fixture, 38, 39: guide roller, 40, 43: linear guide, 41: pinion, 42, 45, 49: electric motor, 44: ball thread, 46: spinning movement, 47: bearing, 48: gear, 50: positioning pin, 51: holding member, 52: clamp cylinder, 53: gas-purging pump, 54: hose, 55: closing plate, 56: hoisting and lowering member, 57: support plate, 58: tubular piece, 59: roller, 60: support body, 61: rack, 62: support rod. |
|
044477341 | description | DESCRIPTION OF THE PREFERRED EMBODIMENTS Total energy absorption calorimeters or counters are often used in experiments of high-energy physics to measure the total energy of charged particles or .gamma.-rays. In such measurement of charged particles and .gamma.-rays, the total energy absorption calorimeter must cause the total energy of the particles or .gamma.-rays to be absorbed by the calorimeter and must detect the total energy thus absorbed without any loss. At final stages of the energy absorption, the energy of the particles and .gamma.-rays is converted into light by ionization or Cherenkov radiation, which light is measured by converting it into electric signal pulses by a photomultiplier tube. Accordingly, both the total energy of radioactive rays being measured such as the particles or .gamma.-rays and the absorbed amount of light are proportional to the magnitude of the electric signal pulses obtained by analog-digital conversion thereof. Based on such principles, the energy of the radioactive rays such as the charge particles or .gamma.-rays can be determined. To facilitate the aforesaid measurement, the energy absorbing material of the total absorption calorimeter is required to have the following characteristics; namely, (1) to have a high density, PA1 (2) to have a short radiation length, which should be short enough for absorbing the energy of the radioactive rays being measured such as the charged particles or .gamma.-rays, and PA1 (3) to have a high transmission of light or a high transparency. PA1 (a) sodium iodide (NaI) crystal, PA1 (b) lead glass in the form of block, and PA1 (c) a sandwich of a heavy metal such as iron, lead, tungsten, and the like and scintillators (or liquid argon or the like). PA1 (a) sodium iodide (NaI), PA1 (b) lead glass, and PA1 (c) a sandwich of a heavy metal, such as iron, lead, tungsten, and the like, and either plastic or liquid scintillators. The following materials have been used heretofore as the energy absorbing material satisfying the aforesaid three characteristics; namely, The aforesaid material (a), i.e., sodium iodide (NaI) crystal, has a high energy resolution because of its ability to produce a large amount of light for a given energy, but the shape and dimension of the sodium iodide crystal are restricted due to the crystalline form thereof. Besides, the material (a) is expensive, namely, it costs abou six to ten times as much as lead glass. Hence, sodium iodide crystal is not suitable for practical applications. The aforesaid material (b), i.e., the lead glass, is most commonly used, but the shape and dimension of the material (b) are restricted due to the solid form thereof. Besides, the lead glass is fairly expensive. The sandwich of the aforesaid material (c) is the cheapest of the three, and various combinations of the heavy metals and scintillators are possible. However, the material (c) has shortcomings in that most of the energy absorbed is consumed in the heavy metal and only a small portion of the absorbed energy is available for the scintillators (or liquid argon or the like), so that it is liable to a large measuring error. An example of the heavy liquid of thallium formate, which has been studied and developed by the inventors, has a density of 3.3 g/cm.sup.3, a refractive index of 1.57, a radiation length of 2.5 cm, and a light transmission of not less than 93% for light of 400 nm wavelength, which transmission is comparable to that of SF-5 lead glass. The inventors tested this example of the heavy liquid in a total absorption calorimeter in a test beam channel from a proton synchrotron, and the tests proved that the heavy liquid of thallium formate was equivalent to or superior to the SF-5 lead glass. The resistivity of the heavy liquid of thallium formate against radiation damage proved to be far better than those of sodium iodide and the lead glass. In addition to the aforesaid application to a total absorption counter (calorimeter) for measuring radioactive rays such as .gamma.-rays, the heavy liquid of thallium formate can be applied to shielding of nuclear reactors, cyclotrons, x-ray, electron beams, or the like as excellent radiation-shielding transparent members. It is noted that the radiation-shielding transparent members are often required in various tests, such as experiments using cyclotrons, tests of radiation chemistry, radiobiology, radiology, and the like. Although automatic remote monitoring is available by using a television camera and a television receiver, direct visual observation and monitoring are sometimes required to check the operation of nuclear reactors or the like. The heavy liquid of thallium formate according to the present invention has an average density of 3.3 g/cm.sup.3 and a radiation length of 2.5 cm, and when 300 to 670 grams of thallium formate is dissolved per 100 cubic centimeters of water, such aqueous solution has a density of 2.5 to 3.3 g/cm.sup.3, a radiation length of 3.8 to 2.5 cm and a transmission of not less than 93% for light of 400 nm wavelength, which transmission is equivalent to or superior to that of the SF-5 lead glass. Besides, the resistance against radiation damage of the heavy liquid of the invention is clearly better than that of the lead glass. The heavy liquid of the invention was tested by EP1 beam of proton synchrotron and direct exposure to 3.times.10.sup.6 rad of EP1 proton beam for one week did not cause any deterioration in the transmission of the thallium formate heavy liquid. On the other hand, when the SF-5 lead glass was exposed to 10.sup.5 rad irradiation from cobalt 60 (Co.sup.60), the transmission at wavelength .lambda.=350 nm of the lead glass was drastically reduced to 1% of the value before the irradiation, and the colour was turned brown, so that radiation damage was clearly recognized in this case. The radiation-shielding ability of the heavy liquid of the invention is equivalent to or superior to that of the heavy concrete and twice or more of that of zinc bromide. The lead glass is mechanically weak and difficult to shape by machining, and once any crack is caused in the lead glass, repair thereof is usually very difficult. The heavy liquid of the invention proved to be stable in contact with stainless steel, aluminum, and Teflon (trademark of Du Pont) by tests for about four months and a half, so that the heavy liquid can be used to produce a checking window of radiation-shielding transparent type by placing it in a vessel made of such metal or Teflon. Thus, it has been confirmed by tests that the heavy liquid of thallium formate provides a much better radiation-shielding transparent member than those of the lead glass or zinc bromide solution. A method of producing aqueous solutions of thallium formate will be now described. Thallium formate is a white powdery crystal with a molecular weight of 249.5, and it is easily soluble in water to produce a heavy liquid having a density of about 2.5 to 3.3 g/cm.sup.3, especially 3.27 g/cm.sup.3, at 20.degree. C. To use this heavy liquid as a radiation-shielding material, such as in a radiation counter, sufficiently high transmission of light is necessary in addition to the large density. As regards the transmission of light, one problem is its deterioration caused by contact of the heavy liquid with air. Thallium (Tl) produces two kinds of ions, i.e., single valency thallium ion (Tl.sup.+) and three-valency thallium ion (Tl.sup.3+). However, the standard potential difference E.degree. affecting the following equation (1) for thallium formate is fairly high, i.e., E.degree.=+1.25 V, so that the three valency ions (Tl.sup.3+) do not exist normally. EQU Tl.sup.3+ +2e.sup.- .fwdarw.Tl.sup.+ (1) Thus, the contribution of the three-valency thallium ions (Tl.sup.3+) to the colouring is negligible except under strongly acidic conditions. It is noted that thallium formate completely dissociates in an aqueous solution, so that the aqueous solution is strongly alkaline due to the fact that formic acid is a weak acid. ##STR1## Here, the dissociation constant of formic acid is 1.8.times.10.sup.-4. Accordingly, when the heavy liquid of the invention is kept in contact with the air for a long period of time, it absorbs carbon dioxide gas from the air and produces thallium carbonate (Tl.sub.2 CO.sub.3). Thallium carbonate has brown colour, so that it seriously deteriorates the transmission for light of 300 to 400 nm wavelength. On the other hand, if a heavy liquid contains thallium formate almost at the full solubility thereof and if a part of ##STR2## remains undissociated after the aforesaid dissociation of the equation (2), thallium oxide (Tl.sub.2 O) may be produced through chemical reaction with oxygen in the air. Similarly to thallium carbonate, thallium oxide has blackish brown colour and tends to seriously deteriorate the transmission. In view of the aforesaid facts, to prepare thallium formate for producing the heavy liquid, it is important to suppress the heat generation in the chemical reaction between formic acid (HCOOH) and thallium hydroxide (TlOH). For instance, formic acid is dropped into a reaction flask while cooling it with ice water (0.degree. C.). Preferably, the reaction is carried out in a glove box having an operation space filled with nitrogen gas, so as to minimize the contact with the air. Similar precautions are necessary in preparing an aqueous solution thereof. The aqueous solution thus prepared should be kept away from direct contact with air, or if contact with air is inevitable, the area of its contact with air should be kept to the minimum. The aqueous solution produced in the aforesaid manner has a transmission of not less than 93% for light of 400 nm wavelength and can be used as transparent shielding members against radiation or the like. More particularly, thallium formate and distilled water are thoroughly deoxidized separately, and they are mixed in a non-oxidizing atmosphere at a rate of 300 to 670 grams of thallium formate per 100 cubic centimeters of distilled water. Whereby, a transparent heavy liquid is produced which has a density of 2.5 to 3.3 g/cm.sup.3, a radiation length of 3.8 to 1.9 cm, and a transmission of not less than 93% for light of 400 nm (4,000 .ANG.) wavelength. Preparation of an aqueous solution containing both thallium formate and thallium malonate will be now described. An aqueous solution containing thallium formate and thallium malonate at a rate of 1:1 has been used as a heavy liquid called Clerici liquid. However, Clerici liquid has not been used as radiation-shielding members or as counters (or calorimeters), because its transmission of light is low. The inventors have tried to mix thallium formate and thallium malonate at ratios other than 1:1 with an intention of obtaining a heavy liquid with a density of 2.5 to 4.2 g/cm.sup.3 and excellent transmission suitable for various applications. As a result, the inventors have succeeded in producing a transparent heavy liquid with a transmission of not less than 93% for light of 400 nm wavelength. Although malonic acid has a dissociation constant of 1.4.times.10.sup.-3 which is slightly larger than that of formic acid, the aqueous solution of thallium malonate is strongly alkaline due to the concentration of single-valency thallium ion (Tl.sup.+). Accordingly, if it comes in contact with air immediately after being prepared, it will be coloured as in the case of the aqueous solution of thallium formate. When the concentration of the thallium salt is high, especially in the case of thallium malonate, partly non-dissociated ions may be produced, so that such high concentration liquid is susceptible to adverse effects by oxygen and carbon dioxide gas in the air. Accordingly, the aforesaid precautions for production of thallium formate solution are also applicable to the preparation of thallium malonate solution. During preparation of thallium malonate to be used in the production of the desired aqueous solution, it should be noted that thallium malonate is more susceptible to colouring due to heat generation or the like than in the case of thallium formate, so that due care must be paid to prevent the colouring. As to aqueous solutions of thallium formate and thallium malonate, the stability of the aqueous solution increases with the increase of water content therein. The inventors found that a stable transmission of light for a fairly long period of time could be achieved at a density of about 4.0 g/cm.sup.3. The reason for such stable transmission seems to be in that the water content in such stable solution is higher than that in an aqueous solution containing thallium formate and thallium malonate at full solubilities thereof, and thallium carbonate (Tl.sub.2 CO.sub.3), thallium oxide (Tl.sub.2 O), and the like dissolve again in the water. More particularly, while thallium formate is deoxidized, 10 to 90% of deoxidized thallium malonate is mixed therewith, and the mixture thus formed is deoxidized, and the deoxidized distilled water is added into the mixture being deoxidized at a rate of 300 to 800 grams of the mixture per 100 cubic centimeters of water. Whereby, a transparent heavy liquid having a density of 2.5 to 4.3 g/cm.sup.3, a radiation length of 3.8 to 1.9 cm, and a transmission of not less than 93% for light of 400 nm wavelength is obtained. Experiments on counters (calorimeters) and radiation-shielding window made by using the thallium formate heavy liquid according to the present invention will be described now, in comparison with similar experiments carried out by using the conventional lead glass. Heretofore, most total absorption calorimeters use Cryogenic liquids, such as liquid argon or liquid xenon, have also been used in combination with heavy metals; however, although these liquids are used as specific detectors, their uses are limited in size and in quantity, because they are too expensive. A large water Cherenkov radiator was used for cosmic-ray experiments and a Cherenkov counter of carbon tetrachloride (CCl.sub.4) was studied with electrons of up to 217 MeV. However, those materials were used mainly for economy and for ease of handling rather than their characteristic features. Among the calorimeters commonly used for high-energy physics experiments, the detectors of types (a) and (b) measure the total energies of electrons or protons either through ionization or Cherenkov radiation, whereas the detector of type (c) measures the total energy by sampling the ionization in the scintillators sandwiched with heavy metal absorbers. Consequently, the energy resolution is, in general, better in the detectors of types (a) and (b) than that of type (c); however, the latter has advantages of flexibilities in shape and size and of economy. Apart from those used for cosmic-ray experiments, liquid Cherenkov counters were used as threshold detectors by adjusting the refractive index as a function of mixing ratio of two or more liquids. To the inventors' knowledge, little investigation has been done in the past by using heavy liquid as a total absorption calorimeter. Matano et al used an aqueous solution containing 30 to 35% of lead nitrate (Pb(NO.sub.3).sub.2) in a counter with dimensions of 50.times.50 cm.sup.2 surface area and 80 cm depth for investigations of air showers. The radiation length of this material was 11 to 13 cm corresponding to the depth of 6 to 7 cm of radiation lengths (r.multidot.1) in this case. The inventors have thought about using a liquid material having a short radiation length and a reasonably high density as a Cherenkov or scintillation radiator. This can be attained by dissolving halogenated alkali or alkali earth metals in water. The inventors have selected zinc bromide (ZnBr.sub.2) and zinc iodide (ZnI.sub.2) as the representative materials. According to a standard handbook of chemistry, halogenized compounds like calcium iodide, barium bromide, mercuric bromide, stannic bromide, or cadmium borotungstate are also highly soluble in water. Among the complex salts, potassium mercuric iodide (K.sub.2 (HgI.sub.4)) is much heavier than the others and thallium formate (Tl(HCO.sub.2)), often used for mineralogical analyses such as heavy liquid ore dressing, is also highly soluble in water. These materials thus would be very attractive in view of their short radiation lengths and high densities. However, some of them are chemically unstable, less economical, or toxic; therefore, the inventors have selected the aforementioned two materials mostly from the practical point of view. The physical and chemical properties of zinc bromide (ZnBr.sub.2) and zinc iodide (ZnI.sub.2) are quoted in Table 1 from a standard handbook together with the radiation and nuclear absorption lengths. TABLE 1 __________________________________________________________________________ Aqueous solution of Compound maximum concentration Molecular Solubility Radiation Absorption weight Density (grams per 100 cc Density length length Material (g/mol) (g/cm.sup.3) of water) (g/cm.sup.3) (cm) (cm) __________________________________________________________________________ ZnBr.sub.2 225.19 4.20 447 (20.degree. C.) 2.65 5.0 45 675 (100.degree. C.) 2.97 4.3 40 ZnI.sub.2 319.18 4.74 432 (18.degree. C.) 2.80 3.8 47 511 (100.degree. C.) 2.94 3.5 46 __________________________________________________________________________ Tests by the inventors revealed that the heavy liquids made of zinc iodide (ZnI.sub.2) and zinc bromide (ZnBr.sub.2) were inexpensive but not chemically stable, so that such heavy liquids were not suitable for fulfilling the objects of the invention. The inventors have searched for materials of heavy liquid having better properties than the aforesaid zinc iodide (ZnI.sub.2) heavy liquid, so as to improve the characteristics of absorbing material of the total absorption calorimeters and the like. As a result, the inventors obtained a sample of an aqueous solution of thallium formate (Tl(HCO.sub.2)). Subsequent tests of this material revealed that the thallium formate counter exhibited much superior characteristics of those of the zinc iodide counter although the zinc iodide counter was more economical than the former. The inventors were convinced that various features of the thallium formate counter were comparable to or even superior to those of the SF-5 lead glass Cherenkov counter, at least, in a short-term test. An aqueous solution of thallium formate (Tl(HCO.sub.2)) had a density d of 3.27 g/cm.sup.3 and a refractive index n of 1.57. The results of transmission measurements as shown in FIG. 1 proved that the transmission of thallium formate is considerably larger than that of the SF-5 lead glass. FIG. 1 also shows a curve of the measured transmissions of the SF-5 lead glass and another curve of the measured transmissions of an aqueous solution of a mixture of thallium formate and thallium malonate (CH.sub.2 (COOTl).sub.2). The last mentioned aqueous solution had a density d of 4.21 g/cm.sup.3 and a refractive index n of 1.69; however, the transmission thereof did not exceed that of a Cherenkov radiator made of the SF-5 lead glass at that stage, mainly due to the colouring caused by thallium malonate. As a result of various experiments to remove the colouring of the aforesaid aqueous solution, the inventors have succeeded in obtaining a heavy liquid with a sufficiently high transmission from the aqueous solution by separately deoxidizing thallium formate and thallium malonate and then dissolving the two thallium compounds into deoxidized distilled water in a non-oxidizing atmosphere. The density of thallium formate is about 3.27 g/cm.sup.3, and the mixing of thallium malonate with thallium formate results in a higher density of 4.21 g/cm.sup.3. The heavy liquid with a large density is effective in shielding .gamma.-rays, electron beams, and the like. Besides the aforesaid aqueous solution of the mixture of thallium formate and thallium malonate contains a large amount of water, so that it effectively moderate neutron beams. The density and the radiation length of the thallium formate solution were calculated by using the values listed in the standard handbook based on an assumption that the solvent was pure water. These values are shown in FIG. 2 as functions of the concentration in terms of the weight of thallium formate in 100 cc of distilled water. These values should be taken as a guide. The density d of 3.27 g/cm.sup.3 corresponds to a solution consisting of about 670 grams of thallium formate (Tl(HCO.sub.2)) dissolved in 100 cc of distilled water at an average room temperature of 25.degree. C. The radiation length of the solution was estimated to be 2.57 cm and this is comparable to that of the SF-5 lead glass (X.sub.o =2.54 cm). The procedure used to obtain the aqueous solution was similar to that for obtaining the zinc iodide solution described in the foregoing. It is a very important point to avoid direct contact of the aqueous solution with oxygen despite that this material is likely to be more stable against oxidation than the zinc iodide solution. The solution thus obtained was transferred to a cylindrical glass vessel of 70 mm inner diameter and 400 mm length with one end flat and the other end hemispherical as shown in FIG. 3. Two cylinders of 7 mm diameter where formed at the top of the vessel to accommodate room for expansion of the fluid and to provide an inlet and an outlet for the solution. The shape of the glass vessel is shown in FIG. 3. The vessel was wrapped with aluminum foil of 0.1 mm thickness and with adhesive tape (Scotch.RTM. tape) for shielding against light. The flat end was coupled to a photomultiplier (with a manufacturer's identification number of HAMAMATSU R329) while using silicon oil to facilitate optical contact therebetween. For comparison, an SF-5 lead glass Cherenkov counter with dimensions of 6.5.times.6.5.times.29 cm.sup.3 was used. The same photomultiplier was used for both the SF-5 lead glass counter and the thallium formate counter under the same operating conditions. Measurements were taken in the test beam line Tl of the proton synchrotron of National Laboratory for High Energy Physics with electrons tagged by the coincidence of two trigger counters and a Freon 13 gas Cherenkov counter operated at 1.2 atm. The beam was focussed into a 1.5.times.1.5 cm.sup.2 area by one of the trigger counters and the coincidence signals opened a linear gate and stretcher. The pulse-height distribution of the signals was recorded by using a 512-channel pulse-height analyzer. The linearity was checked with a precision pulse generator to 1% accuracy. The measurements were taken at 0.5, 0.8, 1.0, 1.2, and 1.5 GeV/c with electrons or pions including muons by using the gas Cherenkov counter in coincidence or in anti-coincidence, respectively. The results of the tests with electrons are shown in FIG. 4 indicating the peak pulse-height and in FIG. 5 indicating the resolution (fwhm), the indications being derived from the pulse-height distributions of the signals from both the aforesaid thallium formate counter and the SF-5 lead glass Cherenkov counter. The inventors believe that the signals from the thallium formate counter were solely due to Cherenkov light, judging from the observation of the pulse shape. The pulse height from the thallium formate counter was higher by 10 to 15% than that from the SF-5 lead glass Cherenkov counter, whereas the resolution of the former was 13 to 25% wider than that of the latter. The data can be explained qualitatively by the lateral and longitudinal leakages of cascade showers together with the angular divergence of the incident beam. The cross sectional area of the thallium formate solution in terms of radiation length was about 90% of that of the SF-5 lead glass (2.56 r.multidot.l.times.2.56 r.multidot.l), whereas the axial length of the former (15.6 r.multidot.l) was longer by 36% than that of the SF-5 lead glass counter. This resulted in a higher longitudinal leakage of 1.5 GeV/c for the SF-5 lead glass counter than that for the thallium formate counter and a higher lateral leakage for the thallium formate counter than that for the SF-5 lead glass counter at 0.5 GeV/c. This was further emphasized by the angular divergence of the beam produced by materials (scintillators, multiwire proportional and drift chambers, equivalent to 0.04 r.multidot.l) placed upstream of our defining counter by other experimental groups. This resulted in an energy resolution of 14% for 1 GeV/c electrons in the SF-5 lead glass Cherenkov counter while it is normally 10-12%. This effect was further checked by moving the counter axis with respect to the beam axis, i.e., by off-axis injection at 1.0 GeV/c. A 20 mm displacement reduced the pulse height by 15% and broadened the resolution by a factor of 2.0 to 2.5, indicating a large leakage for both counters. The self-absorption of emitted light was examined by injecting the beam perpendicular to the counter axis. The variation of pulse height was measured as a function of the distance between the flat end coupled to the photomultiplier and the point of beam injection. From the observed attenuation of pulse height at 1.0 GeV/c, the attenuation length was deduced to be approximately 100 cm. This value corresponds to a transmission of 99.0%/cm on average for the S11 spectral response. This can be compared with the measured transmission of 99.0% at wavelength .lambda. of 400 nm as shown in FIG. 1. The transmission of SF-5 lead glass is also 99.0% at wavelength .lambda. of 400 nm within the accuracy (about 0.3%) of the measurement. Thus, the transmission of the present thallium formate solution is equivalent to that of the SF-5 lead glass counter within our measurement errors in the S11 spectral region. One remarkable feature of the thallium formate solution is its high resistivity to radiation. A 10 cc sample of the thallium formate solution was sealed in a glass bottle and exposed to the fast-extracted proton beam EP1 at a point about 3 m upstream of the beam dump for a period of one complete machine cycle (more than 240 hours). The 12 GeV proton flux was at least 10.sup.9 p/cm.sup.2 /s at this point. Although the glass bottle and a zinc iodide (ZnI.sub.2) solution tested at the same place acquired a deep brown colour, no change was observed in the colour of the thallium formate solution. The subsequent transmission measurement verified that no change had taken place within the accuracy of the present spectrophotometer (about 0.3%). Two other samples were placed at different places around the fast-extracted proton beam line. The radiation doses, measured by aluminum (Al) foil activation, were 3.times.10.sup.3, 1.6.times.10.sup.4, and 3.1.times.10.sup.6 rad, the last corresponding to the direct proton beam irradiation. None of the three samples of thallium formate solution showed any change either in colour or in transmission. This should be compared with an SF-5 lead glass for which the transmission at wavelength .lambda. of 350 nm was reduced to approximately 1% after an exposure to cobalt 60 (Co.sup.60) gammas of 10.sup.5 rad. Many organic acids are stable against radiation damage and the inventors believe that the thallium formate possesses such characteristics of stableness. Nevertheless, such high stableness against radiation is a remarkable feature of the thallium formate counter, so that the thallium formate solution is particularly useful in such circumstances where high radiation prohibits the use of lead glass or sodium iodide (NaI). With the aforesaid test results, the inventors are convinced that the thallium formate counter is equivalent to or even superior to the lead glass Cherenkov counter in some respects, i.e., flexibility in shape or size and high resistivity against radiation. So far, the thallium formate solution proved to be stable for more than four months since the inventors started the present series of tests. Furthermore, the inventors confirmed by tests that the characteristics of the heavy liquid of thallium formate as to photons could be improved by adding thallium malonate as a scintillator or a suitable wavelength shifter therein. The range of the amount of thallium malonate to be added in the thallium formate solution is broad, i.e., 10 to 90% based on the amount of thallium formate. When a large amount of thallium malonate is added in thallium formate, the density of the heavy liquid increases up to about 4.21 g/cm.sup.3. On the other hand, when the amount of thallium malonate added is small the water content in the heavy liquid increases and the density of the heavy liquid decreases down to about 2.5 g/cm.sup.3. Judging from the relationship between the density and the concentration of thallium formate as shown in FIG. 2, a suitable concentration in the present invention is 300 to 670 grams of thallium formate per 100 cubic centimeters of water. When the concentration is less than 300 grams of thallium formate per 100 cubic centimeters of water, the density of the solution becomes less than 2.5 g/cm.sup.3 which is too small for producing a suitable heavy liquid. On the other hand, the solubility of thallium formate in water is 670 grams per 100 cubic centimeters of water at room temperature, 20.degree. C., so that it is impossible to dissolve thallium formate in excess of the solubility thereof. Thus, when 300 to 670 grams of thallium formate is dissolved in 100 cubic centimeters of water, the resultant solution has a density of 2.5 to 3.3 g/cm.sup.3 and a radiation length of 2.5 to 3.5 cm. When both thallium formate and thallium malonate are dissolved in water, a transparent heavy liquid having a density of 2.5 to 4.3 g/cm.sup.3, a radiation length of 3.8 to 1.9 cm, and a transmission of not less than 93%, preferably 95 to 99.5%, for light of 400 nm wavelength can be obtained. The application of the aqueous solution of thallium formate according to the invention is not restricted to calorimeters, i.e. counters. For instance, the aqueous solution of thallium formate can be used in a radiation-shielding window where lead glass is currently used and zinc bromide was used in the past. FIG. 6 and FIG. 7 show a sectional view and a plan view of a radiation-shielding block having a window filled with the transparent thallium formate heavy liquid of the present invention. Referring to the figures, concrete shielding block 1 has a stainless steel casing 2 of tapered cylindrical shape embedded therein, and shielding glass plates 3 and 3' are airtightly fitted at the opposite ends of the stainless steel casing 2. According to the invention, the stainless steel casing 2 is airtightly filled with the heavy liquid 4 of thallium formate solution, which heavy liquid is prepared by dissolving thallium formate in distilled water while deoxidizing them or by further dissolving thallium malonate therein. Thus, the opposite ends of the stainless steel casing 2 are airtightly sealed. The sheilding glass plates 3 and 3' are held by flanges 5 of the stainless steel casing 2, and three-way sealing gaskets 6 made of, for instance, Teflon.RTM., act to airtightly seal the joints of the shielding glass plates 3 and 3' with both the flange 5 and the window hollow flange 8. Bolts and nuts 9 fasten the window-holder flanges 7 and 8. Valves 10 and 11 regulate flow of the heavy liquid through pipes 12 and 13. The formation and function of the concrete shielding block 1 with a transparent window containing the aqueous solution of thallium formate according to the invention will be described now in further detail. The shielding block 1 of the illustrated embodiment is of cubic shape and its outside dimension is, for instance, 1 m.times.1 m.times.1 m. The material of the shielding block 1 is, for instance, heavy concrete or light concrete, so that the block 1 can form a part of a shielding wall surrounding a radiation source (not shown). The stainless steel casing 2 of tapered cylindrical shape is airtightly secured to the central portion of the shielding block 1 so as to extend therethrough, and the shielding glass plate 3, or a window glass, of 40 cm diameter is airtightly secured to the inner surface (the surface facing an area of high intensity radiation) of the casing 2, while the other shielding glass plate 3', or another window glass, of 20 cm diameter is airtightly secured to the outer surface (the surface facing an area of low intensity radiation) of the casing 2. A heavy liquid of thallium formate of the invention, which for instance has a density of 3.2 g/cm.sup.3, a radiation length of 2.6 cm, and a transmision of 99.0% for light of 400 nm wavelength, is poured into the stainless steel casing 2 through the valve 10 and the pipe 12 disposed on the inner upper side of the block 1, so as to fill up the inside space of the casing 2. The shielding ability of the heavy liquid thus filling the casing 2 is equivalent to or superior to those of the heavy concrete and the light concrete in terms of the shielding of .gamma.-ray and neutron beams. Accordingly, it is possible to directly see the inside area of the shielding block 1 from the outside of the block 1, so that the block 1 can be used to form a check window on a shielding wall surrounding a radiation source such as a nuclear reactor or other radiation apparatus. When the heavy liquid 4 with the aforesaid transmission of 93 to 99.5% has a thickness of 90 cm, about 40% of the incident light at the inner surface is transmitted to the outside of the outer surface thereof. From the standpoint of the direct inspection by human eyes, the aforesaid loss of light in the heavy liquid 4 in the casing 2 will not cause any difficulty. Although lead glass is currently used in a checking window provided through a shielding wall, lead glass has restrictions on shape and size due to the solid state thereof. Besides, lead glass is mechanically weak, and a shielding window made of lead glass is susceptible to breakage when being used, which breakage is often very difficult to repair. Aqueous solution of zinc bromide (ZnBr.sub.2) were used 20 to 30 years ago in radiation-shielding checking windows, and such solutions are used only in exceptional cases at the present. The reason for the retreat from use of a zinc bromide solution is in the shortcomings thereof; namely, its density is 2.5 g/cm.sup.3 and low, its radiation length is more than 5.0 cm and not short enough, its transmission is low (several months' use causes colour change into yellowish brown), and it is chemically unstable and corrosive to many metals. On the other hand, the thallium formate heavy liquid developed by the inventors for experiments of high-energy physics has excellent properties as pointed out above, for instance, a density of 3.2 g/cm.sup.3, a radiation length of 2.6 cm, a transmission of 93 to 99.5% for light of 400 nm wavelength, and radiation shielding ability more than twice that of zinc bromide (ZnBr.sub.2) solution. Besides, the heavy liquid of the invention is transparent without any colour and chemically stable, and has a high resistivity against radiation damage (no change after irradiation of 3.times.10.sup.6 rad) in excess of one thousand times that of lead glass. Tests of more than two months confirmed that the heavy liquid of the invention is mutually stable with stainless steel, aluminum, Teflon.RTM., and acrylite. The shielding block 1 of FIGS. 6 and 7 can be constructed as follows. The stainless steel casing 2 of tapered cylindrical shape has inner and outer flanges 5 integrally secured thereto, and the casing 2 is joined to the concrete of the shielding block 1 when the concrete is poured. Both the stainless steel casing 2 and the flanges 5 integrally secured thereto must have sufficient mechanical strength to hold the heavy liquid with a density of 3.3 g/cm.sup.3 or more, and such casing 2 and flanges 5 must be free from any leakage of the heavy liquid 4. Similarly, the glass plates 3 and 3', preferably made of tempered glass (e.g., for marine use), must have sufficient mechanical strength to hold the heavy liquid 4 with a density of 3.3 g/cm.sup.3 or more. Such glass plates 3 and 3' are secured to the inner and outer ends of the stainless steel casing 2 by means of the window-holder flanges 7 and 8 and fastened thereto by bolts and nuts 9. Airtightness is ensured by inserting the three-way sealing gaskets 6, preferably made of Teflon.RTM., between the glass plates 3 and 3' and the flanges 5 in such a manner that the sealing gaskets 6 also engage the window-holder flanges 8. The thallium formate heavy liquid 4 is poured into the inside of the stainless steel casing 2 from an outside container (not shown) through the pipe 12 at the upper inside portion of the block 1 (upper left-hand side of FIG. 7) while regulating the flow of the heavy liquid 4 by operating the valve 10 mounted on the pipe 12. To discharge the heavy liquid 4, the valve 11 mounted on the pipe 13 at the lower inside portion of the block 1 (lower right-hand side of FIG. 7) is operated so as to allow the heavy liquid 4 to flow from the stainless steel casing 2 to the aforesaid outside container. Preferably, rectangular portions are provided on the concrete shielding block 1 in the proximities of the valves 10 and 11 as shown in FIG. 7, so as to facilitate the operation of the valves 10 and 11. The entire structure of the shielding block 1 of FIGS. 6 and 7 should have sufficient mechanical strength to hold the thallium formate heavy liquid with a density of 3.3 g/cm.sup.3 or more without allowing any leakage, and the shielding block 1 should be constructed so as to shield and confine radiation within the area surrounded thereby. When the concrete shielding block 1 is used both in the summer and in the winter without any temperature control, suitable cylindrical buffers of proper volume (for instance, with a volume of about 50 cubic centimeters and allowing inspection of liquid level therein from the outside) can be disposed between the pipe 12 or 13 and the valve 10 or 11 and between the pipe 12 or 13 and the stainless steel casing 2, because the heavy liquid 4 has a coefficient of volume expansion of about 0.6.times.10.sup.-3. When the concrete shielding block 1 is used at a very high or very low ambient temperature, a suitable cooling or heating system may be used together with a liquid circulating system having a small pump connected to the pipes 12 and 13 for recirculating the heavy liquid 4. It should be noted that although FIGS. 6 and 7 show the concrete shielding block 1 having a checking window integrally formed therewith, similar checking window can be built in the shielding wall surrounding a radiation source such as a nuclear reactor during construction of such wall. Although the invention has been described with a certain degree of particularity, it is understood that the present disclosure has been made only by way of example and that numerous changes in details of construction and the combination and arrangement of parts may be resorted to without departing from the scope of the invention as hereinafter claimed. |
claims | 1. Neutron radiation installation for treatment of different types of cancer tumors, comprising: a source of neutrons, a first filter for reducing a radiation energy of the source of neutrons to a level for radiation treatment of cancer tumors, the radiation energy reduced by said first filter producing low energetic neutron beams with an energy of between 1 eV and 40 keV, a radiation tube from which the neutron beams are emitted from an output towards a patient having a cancer tumor, and a second radiation filter mounted between the first filter and the output of the radiation tube, which second filter is a plate of metallic lithium, or another form of the element lithium, which has been enriched to about 95% in the isotope Li 6 , has a thickness of about 2 cm over all of the output of the radiation tube directed to the patient, and filters off neutrons in the epithermal spectrum from the low energetic neutron beams up to an energy less than about 1 keV. 2. Neutron radiation installation according to claim 1 , characterized in that the source of neutron beams is a nuclear reactor or an accelerator dependent source of neutrons. claim 1 3. Neutron radiation installation according to claim 1 , characterized in that the second filter lets through beams having an energy of between 1 keV and 20 keV. claim 1 4. Neutron radiation installation according to claim 1 , characterized in that the second filter is mounted succeeding the first filter and in advance of the radiation position zone. claim 1 5. Neutron radiation installation according to claim 1 , characterized in that the installation is formed so that an optimum radiation effect is obtained at a distance of about 50-100 cm from the output surface of the filter. claim 1 |
|
summary | ||
045267138 | description | Hereafter, preferred embodiments of the present invention will be explained with reference to drawings of FIGS. 1 to 4. The thin film evaporator 16 has an elongated cylindrical vessel 9 and a rotor 15 rotatably arranged in the center of the vessel 9. The rotor 15 is divided into a plurality of stages in the axial direction and provided with a plurality of wiper blades 10. In the upper portion of the vessel 9, there are provided a steam outlet 3 and a waste liquid inlet 5. In the lower portion of the vessel 9, there is provided a powder outlet 14. A mist separator 2 and a distributor 6 are carried on the rotor 15 in the vapor chamber 4. A jacket 8 having a heating medium inlet 7 and outlet 11 is provided around the vessel 9. The wiper blades 10 are rotatably fixed, by means of pins 13, to support rings 20, which are fixed to the rotor 15 by support arms 19. The waste liquid, including radioactive substances composed of mainly sodium sulfate in the case of a boiling water reactor, is fed into the vessel 9 of the evaporator 16 through the inlet 5. The concentration of the sodium sulfate in the liquid fed to the evaporator is preset about 20% by weight. The waste liquid fed into the evaporator uniformly flows down the vessel by means of the distributor 6. As the rotor 15 is driven by a motor 18, the waste liquid is urged against inner peripheral surface 17 of the vessel 9 by the effect of wiper blades 10 which move along the surface 17 in a direction of arrow 12 while pressed to the surface 17 by centrifugal force. In this way, the waste liquid is formed into a thin liquid film on the surface 17. The solution in the liquid film is deposited by the heat of heating medium (steam about 170.degree. C.) flowing in the jacket 16. The inner peripheral surface 17 is a heat transfer surface. The liquid film is further concentrated and becomes slurry state. As the concentration proceeds, the slurry film is further dried and secures on the surface 17 like a scale which will be heat resistant. Deposition of solid scale is prevented by the movement of the wiper blades 10. The tip portion of the wiper blades 10 wipes out the solid scale deposited on the surface 17 as a solid powder of radioactive substances such as sodium sulfate, which will be disposed out from the outlet 14. In the thin film evaporator described above, it was believed that the heat transfer coefficient at the heat transfer surface generally increases with the increase of the rotational speed of the rotor. However, it is found by the present inventors that quantity of solid powder obtained by the thin film evaporator does not increase so much above a certain level even if the rotational speed of the rotor increases as shown in FIG. 3. That is, quantity of solid powder obtained by the thin film evaporator is determined theoretically along a curve a-b-c, which means that the amount of waste liquid that can be supplied and powderized depends less on the rotational speed of the rotor. This is because the wall of the vessel 9 is so thick as to increase the heat resistance as compared with the usual heat exchanger. Therefore, improvement of heat transfer coefficient by the wiper 10 does not effect as much as had been expected. The curve a-b-c is determined by calculating the heat energy necessary to evaporator the water content in the waste liquid by the following equation; ##EQU1## in which; W.phi.: amount of solution supplied to the evaporator--[kg/hr] C: concentration of solute in the solution--[weight %] PA0 S: area of heat transfer surface--[m.sup.2 ] PA0 .alpha.: heat transfer coefficient--[kcal/m.sup.2..degree.C.hr] PA0 .DELTA.T: temperature differences between heating medium and saturating temperature of the solution--[.degree.C] PA0 H.sub.l : latent heat of water PA0 C.sub.s : specific heat of water PA0 T.sub.s : temperature differences of solution between the inlet of the evaporator and saturating temperature--[.degree.C] In the case of experiments made by the inventors, the quantity of sodium sulfate (Na.sub.2 SO.sub.4) obtained as powder is about 45, 22 and 11 [kg/hr] respectively in the case where the concentration of sodium sulfate in the waste liquid are 20, 10 and 5 [weight %] (respectively corresponding to critical points a, b and c). After reached those critical points a, b and c, the quantity of powder obtained by increasing the rotational speed of the rotor increases but the rate of increase is not so high as compared with the increase of the rotational speed. This means that in the characteristics of the evaporation there are two regions, one in which the quantity of powder obtained depends on the rotational speed and another in which the quantity depends less upon the rotational speed of the rotor. In the former region, the quantity of powder obtained does not change even if the concentration of the solute changes and can not exceed the quantity determined by the rotational speed. On the other hand, the quantity of powder obtained changes in the latter region depending upon the concentration of the solute in the solution. If the concentration of the solute becomes lower, the amount of water to be evaporated increases in inverse proportion to the concentration of the solute, resulting to decrease the maximum quantity of powder obtained by the evaporation as illustrated in FIG. 3. In the case of the boiling water type nuclear reactor power plant, the amount of waste liquid necessary to be treated by the thin film evaporator varies as much as 400% as compared with average amount per month, due to the change in the operating condition of the power plant. Also, it is found by the present inventors that the amount of wear on the wiper blade increases about in proportion to the cube of the rotational speed of the rotor. This is because, the contacting pressure between the wiper blade and the heat transfer surface increases in proportion to the square of the rotational speed of the rotor and the peripheral speed of the rotor increases in proportion to the rotor speed. Therefore, in order to prevent the lowering of the operating rate of the evaporator due to the change in length of the wiper blades, it is preferable to control the rotational speed of the rotor of the evaporator in accordance with the amount of waste solution supplied to the evaporator and the coefficient of the solute in the solution. For example, on the critical point a, where the concentration of the solute is 20 weight % and the rotational speed is 300 rpm, if the rotational speed is increased, the worn out of the wiper blade proceeds rapidly, however, the quantity of powder obtained will not increase as compared with the increase rate of rotational speed. Therefore, it is preferred to operate the evaporator about the rotational speed corresponding to the critical point if the quantity of the solute to be powderized is exceeding the quantity of powder obtained corresponding to the critical point so as to keep the rating of wearing the wiper blade at a minimum. Or, otherwise to operate the evaporator at a lower rotating speed than the critical speed by decreasing the quantity of solute supplied to the evaporator, for example by employing an accumulating tank for accumulating excessive quantity of solution. If the quantity of the solute in the solution is less than the critical amount, it is preferred to control the rotational speed of the rotor such that the rotational speed may be the minimum speed necessary for the evaporation of the solution, which rotational speed is given by the curve a-b-c shown in FIG. 3. In the case where the rotational speed of the rotor is not controllable, it is preferred to control the quantity of solute in the solution be a constant value as is illustrated in FIG. 4. In this embodiment, the rotational speed is not controllable but fixed at 300 rpm. If the concentration of the solute in the solution is lower than the critical point of 20 weight %, it is possible to treat the waste solution at a rate about 220 kg/hr to 230 kg/hr. However, if the concentration exceeds 20 weight %, it is necessary to decrease the amount of solution supplied to the evaporator such that the rate of solute in the solution to be powderized be constant at about 46 kg/hr, so that the amount of solution supply does not exceed maximum ability for the evaporation. Hereafter, description of a preferred embodiment will be made with reference to FIG. 5. Ion exchange resin which is equipped in a desalter as a filter for purifying coolant water of the nuclear reactor is regenerated, when the purifying ability decreases by sodium including sodium hydroxide and sulfuric acid. The regeneration liquid including the sodium hydroxide and sulfuric acid is stored in waste liquid storage tanks 21 and 22. These storage tanks have the storage capacity of that amount of waste liquid produced in 30 days, and, if one exceeds its capacity, the other is used alternately by switching valves. pH of the regeneration liquid is adjusted by adding sodium hydroxide or sulfuric acid respectively from tank 38 and tank 39. The regeneration liquid is then introduced in a condenser 23 where the regeneration liquid is enriched while circulating by a pump 25. Vapor generated is condensed in a condenser 24 and returned to a coolant system if the radioactivity is lower than a predetermined allowable level. The enriched regeneration liquid is exhausted into a receiving tank 26 or 27 if the concentration of sodium sulfate is higher than 20% by weight. One of measures for detecting the concentration of the sodium sulfate is by measuring the density of the waste liquid. However, the detected value includes errors by inclusion of ferrous rust or sand in the waste liquid. In order to detect a correct value concentration, it is preferable to detect the concentration of sodium sulfate by chemical analysis of the waste liquid which is stored in the receiving tanks 26 or 27 for a certain period of time and sampled therefrom through valves 30 or 31. In the chemical analysis the sample waste liquid is placed in a laboratory. The sample waste liquid is filtered and the filtrate is diluted. Then the concentration of sodium sulfate is measured by detecting sodium ion. Undissolved substances on the filter is dissolved by proper acid and the amount of ferrous rust is detected by measuring ferrous material in the solution by atomic absorption method. Further, by detecting the amount which is not dissolved even by adding acid, the amount of sand can be calculated. In this way, the concentration of sodium sulfate is correctly measured. The enriched liquid in receiving tanks 26 and 27 is circulated by pumps 28 and 29 so that undissolved ferrous rust or sand may not precipitate in the tanks. The enriched waste liquid is introduced into the thin film evaporator 16 by a pump 32. Flow rate of the enriched waste liquid is detected by a magnetic flow meter 33. From the concentration of sodium sulfate (C) and the flow rate (W), the quantity of sodium sulfate (W.multidot.C) can be calculated, which signal obtained by the calculation is given to a controller 100. Also, from the graph shown in FIG. 3, the critical quantity of sodium sulfate (W.phi.), at a particular concentration such as point a, b or c, is given to the controller 100. From this information, if the quantity of sodium sulfate supplied to the evaporator (W.multidot.C) is smaller than the critical quantity (W.phi.), then the flow rate of the waste liquid (W) is reduced so that the quantity of sodium sulfate (W.multidot.C) may be smaller than the critical quantity (W.phi.). And, the rotational speed of the rotor of the evaporator is adjusted by controlling the rotational speed of the motor 18 such that the rotational speed of the rotor will be the smallest speed necessary at the quantity of the sodium sulfate as defined by the curve a-b-c in FIG. 3. For example, if the quantity of sodium sulfate (W.multidot.C) is 30 kg/hr at the concentration of 10%, and the critical quantity (W.phi.) is about 23 kg/hr, then the flowrate (W) is reduced so that the actual quantity (W'.multidot.C) may be a little larger or smaller than the critical quantity of 23 kg/hr. In this case, the rotational speed is adjusted about a speed corresponding to the actual quantity (W'.multidot.C) in accordance with the curve a-b-c. In this way, the operational point can be controlled in the vicinity of the curve a-b-c and unnecessary over speed of rotation or over supply of sodium sulfate can be prevented. in maintaining an optimum operation point, it may also be possible to control the concentration of sodium sulfate so that the actual quantity of sodium sulfate (W.multidot.C) may be smaller than the critical quantity (W.phi.). In this case, the rotor speed is adjusted in the same way as described above. Also, as shown in FIG. 4, it may be possible to preset the rotational speed at a constant speed. In this case, an optimum operation point can be obtained by controlling the flowrate (W) or concentration (C) so that the flow rate (W) may be controlled along the curve shown in FIG. 4. Vapor generated in the evaporator 16 is condensed in a condensor 35. A mist separator 34 is provided between the evaporator 16 and the condensor 35 for the prevention of adhesion of scale on a heat transfer tube of the condensor 35. Condensed water is received in a tank 36 and returned to the tanks 21 and 22 via pump 37. FIG. 6 shows another embodiment of the present invention in which the present invention is used for a treatment of granular waste resin or filter agent. The same numerals show the same functions as in FIG. 5. In tanks 45 and 46, the waste resin and filter agent generated in about 3 years can be storaged. They have a concentration of about 40% by weight, however, because of other factors, such as transportation, the concentration of their waste is usually lowered less than 10% by weight. Therefore, their waste is mixed with other enriched waste solution. At first, water in a mixed water tank 44 is supplied into the tanks 45 and 46 via pump 49 for adjusting the concentration of slurry, which is in the upper portions of the tanks 45 and 46, less than 10% weight. The slurry is supplied to tanks 40 and 41 where slurry produced over 10 days can be storaged and is precipitated for 3 days. Only upper portions in the tanks 40 and 41 are sent to a tank 44. Thereafter, 15% by weight of enriched waste liquid in the tank 26 and 27, and 8 weight % of waste resin are prepared in the mixing tanks 40 and 41. Mixing is made by pumps 42 and 43. After chemical analysis has finished, slurry in a uniform concentration is supplied via pump 32 to the evaporator 16. Operation of the evaporator is made the same as described with reference to FIG. 5. |
summary | ||
052992462 | description | DETAILED DESCRIPTION OF THE PREFERRED INVENTION The use of shape-memory alloys as support structures in nuclear fuel assemblies will be specifically discussed in terms of a spring detent spacer grid like that discussed in U.S. Pat. No. 5,024,807. Referring to FIG. 1, fuel assembly 10a includes an upper end fitting 12, a lower end fitting 14, spacer grids 16 supporting fuel rods 17a, and a perimeter skirt portion 18 shown partially broken away. The spring detent spacer grid embodiment shown in connection with the fuel assembly of FIG. 1 is generally designated 20a and cooperates with circumferentially grooved end caps 22a with which it is in contact for rod-lift-preventing rod capture, for debris trapping and for debris retention below the active region, or cladding, of the fuel even with the coolant pumps off. FIGS. 2 to 14 show the details of the strips utilized in making up spring detent spacer grid 20a. The strips 24a are the top strips of the "egg-crate" grid assembly. Strips 26a are the bottom strips and strips 28a are the perimeter strips. As seen in FIGS. 2 to 5, the top strips 24a have leaves 30 opposite projections comprising arch portions or bends 32 and extending in the same direction as the springs 34 which seat in tapered sided circumferential grooves 36 of end caps 22a to provide rod-lift-preventing rod capture. The bends or arch portions 32 cooperate with the springs 34 to laterally hold the fuel rods 17a. Slots 38 facilitate the "egg-crate" assembly. As seen in FIGS. 6 to 9, the bottom strips 26a have leaves 40a and 40b vertically displaced on opposite sides of the strip but located such that they will be substantially symmetrically located relative to the intersection of strips 24a and 26a when assembled into a grid. Bends or arch portions 42 and springs 44 cooperate with end caps 22a and grooves 36 in the same manner as do bends 32 and springs 34. Slots 48 accommodate the "egg-crate" assembly. The perimeter strip 28a illustrated in FIGS. 10 and 11 is one typical type, but other designs are contemplated. The leaves 52 provide the arch functions and the springs 54 engage the grooves 36 in end caps 22a. The perimeter strip 28a is straight without bends of the type design area by the numerals 32 and 42. FIGS. 15 and 16 show the relation of symmetry and the relative elevation of the features of the spring detent spacer grid. From these figures it is easy to see why debris is trapped and retained in the various tapered passageways created in the area just above the lower end fitting 14. According to an embodiment of the present invention, the spring detent spacer grid 20a is preferably constructed using shape-memory alloys. Shape-memory alloys are well known in the art. See, for example, C.M. Wayman, Journal of Metals. pp. 129-137, June 1980; Encyclopedia of Materials Science and Engineering, MIT Press, Cambridge, Mass., Vol. 6, pp. 4635-4674 (1986); and Kirk-Othmer Encyclopedia of Chemical Technology, John Wiley & Sons, New York, Vol. 20, pp. 726-736 (1982), the disclosures of which are incorporated herein by reference. When an ordinary metal is strained beyond its elastic limit, permanent deformation of the material is produced. For most metals, this yield point corresponds to a fraction of a percent strain. Any strain beyond this point is defined as plastic deformation and is expected to remain. For example, it would be very surprising if an extensively kinked metal wire were to straighten out spontaneously when heated, however, this is exactly what certain shape-memory alloys are able to do. If one of these alloys is deformed below a critical temperature, it may recover its original unbent shape when it is reheated. The reheating "reminds" the alloy that it prefers a different crystal structure and associated shape at higher temperature. This unusual behavior has been termed the "shape-memory effect." Without being held to any particular theory, it is currently believed that the shape-memory effect is based on the continuous appearance and disappearance of the martensite phase with falling and rising temperatures. This thermoelastic behavior is the result of the transformation from a parent phase stable at elevated temperature to the martensite phase. A specimen in the martensite phase may be deformed in what appears to be a plastic manner but is actually deforming as a result of the growth and shrinkage of self-accommodating martensite plates. When the specimen is heated to the temperature of the parent phase, a complete recovery of the deformation takes place. Complete recovery in this process is limited by the fact that strain must not exceed a critical value which ranges, for example, from 3-4% for copper shape-memory effect alloys to 6-8% for nickel-titanium shape-memory alloys. According to FIG. 17, the temperature T at which the martensite phase starts to form from the parent phase on cooling is referred to as M.sub.s and the temperature at which the parent phase has been completely transformed to the martensite phase is M.sub.f. On heating a martensitic specimen, the temperature at which the transformation begins to reverse to the parent phase is designated P.sub.s. The reverse transformation to the parent phase is completed at a higher temperature designated P.sub.f. Although a single parent phase typically forms on heating, the martensite phase usually displays a number of variants on cooling. Note that there is typically a slight hystersis between the forward and reverse transformation ranges, so that the transformation from parent phase to the martensite phase on cooling occurs over a slightly lower range (M.sub.s to M.sub.f) than the reverse transformation on heating (P.sub.s to P.sub.f). The range M.sub.s to M.sub.f is herein referred to as the "martensite transformation temperature range"; the range P.sub.s to P.sub.f is herein referred to as the "parent transformation range". The temperature range encompassing M.sub.s, M.sub.f, P.sub.s and P.sub.f is defined herein as the "overall transformation temperature range." It is possible to condition or "train" a shape-memory effect alloy to have a two-way shape-memory effect. The two-way shape-memory effect is a spontaneous, reproducible, reversible shape change associated with heating and cooling throughout the overall transformation temperature range. The reversible shape change could be, for example, bending and unbending or twisting and untwisting as the trained shape-memory effect alloy sample is cycled between the M.sub.f and P.sub.f temperatures (i.e., through the overall transformation temperature range). Alloys for which two-way shape-memory effect has been observed include Cu-Al, Cu-Zn-Al, In-Tl and Ti-Ni-Al, and possible alloys of zirconium, such as Zr-Ni. This two-way shape-memory conditioning is apparently brought about by limiting the number of martensite variants that form upon cooling through the application of an external stress during the transformation. It is believed that the limit imposed upon the number of variants formed reduces the self-accommodating feature of the usual transformation and increases the residual stress. By repeating the process a number of times, the restricted variant group and its associated internal stress spontaneously revert to the parent phase on heating and then to a singular martensite group on cooling. The two-way shape-memory training procedure can be illustrated by the following examples: (a) A straight wire is cooled below M.sub.f and bent to a desired shape. The bending stress is accommodated by the formation of a reduced number of preferred variants of martensite plates. The specimen is then heated to a temperature above P.sub.f and becomes straight again. This procedure is repeated 20-30 times. This completes the training, and the sample now bends to its programmed shape when cooled below M.sub.f and becomes straight when heated above P.sub.f. After the initial training, the reversible shape change associated with cooling and heating may be repeated indefinitely. (b) The wire is deformed or bent above M.sub.s to produce preferred variants of stress-induced martensite and is then cooled below M.sub.f. Upon subsequent heating above P.sub.f the wire becomes straight again. This procedure is repeated about 20-30 times to complete the memory training. Thus, once the two-way shape-memory effect has been achieved, a specimen can, for example, assume a stable high temperature configuration when the metal is raised above P.sub.f and assume a stable low temperature configuration when the metal is cooled below M.sub.f. A two-way shape-memory effect has been used, for example, in the design of couplings that can be easily and reliably applied to and removed from pipes to be joined. Such couplings are disclosed in U.S. Pat. No. 4,198,081 to Harrison et al., the disclosure of which is herein incorporated by reference. Moreover, shape-memory alloys have also been proposed for use in nuclear fuel rods as a pellet stack holding means in U.S. Pat. No. 4,699,757 to Cloue, the disclosure of which is herein incorporated by reference. In U.S. Pat. No. 4,699,757, through the use of a shape-memory alloy, the stack holding means engages a nuclear fuel rod sheath (or cladding) at low temperatures, retaining the stack of pellets enclosed within the sheath. At higher temperatures, the stack holding means is released from frictional engagement with the sheath and is free to move to accommodate expansion in the stack of pellets. Essentially any shape-memory alloy can be used in the present invention so long as it demonstrates adequate two-way shape-memory effect and possesses an overall transition temperature range substantially above room temperature and substantially below the temperature of the environment to which the shape-memory alloy is subjected during reactor operation. Shape-memory alloys also preferably exhibit good corrosion resistance under reactor operating conditions and ideally exhibit low neutron capture cross-section. Presently preferred shape-memory alloys include nickel-titanium alloys such as Raychem's K or BH Alloys. Since it is a characteristic of a shape-memory alloy to change its configuration when it is passed through the overall transition temperature range, it can be used in the construction of fuel assembly grids to provide a significant degree of support engagement during operation (i.e., at high temperatures), while providing a reduced degree of support during shut-down (i.e., at lower temperatures). For example, the use of a shape-memory alloy in the construction of the spring detent spacer grid 20a described in FIGS. 1-16 above would enhance the resistance to rod lift during operation while facilitating fuel rod reconstitution when not in operation. One way that the shape-memory alloy can be incorporated into the design of the spring detent spacer grid 20a is by completely manufacturing the spring detent spacer grid 20a from the shape-memory alloy. Alternatively, the springs 34, 44, 54 and/or bends or arch portions 32, 42, or leaves 52 can be constructed with the shape-memory alloy. In the event that the entire spring detent spacer grid 20a comprises a shape-memory alloy, the spring detent spacer grid 20a can be constructed to contract to a high temperature configuration and tightly grip the fuel rods upon heating above the parent transition temperature range of the shape-memory alloy, and to expand to a low temperature configuration upon cooling below the martensite transition temperature range of the shape-memory alloy. In the event that the springs 34, 44, 54 comprise a shape-memory alloy, the springs 34, 44, 54 will preferably engage the grooves 36 in the end caps 22a upon heating above the parent transition temperature range to a high temperature configuration of the shape-memory alloy. Conversely, the springs 34, 44, 54 will preferably disengage the grooves 36 once the shape-memory alloy is cooled below the martensite transition temperature range and converts to its low temperature configuration. Similarly, arches 32, 42, and leaves 52 constructed of a shape-memory alloy can be designed to engage the fuel rods 17a at high temperatures and disengage the fuel rods 17a at low temperatures. From the above illustrations where springs 34, 44, 54 and/or arches 32, 42, and leaves 52 comprise a shape-memory alloy, it can be seen that any component which laterally projects into the openings in the spring detent spacer grid 20a for contact with the fuel rods 17a can comprise a shape-memory alloy for increased lateral projection at high temperatures relative to low temperatures. Of course, shape-memory alloys could also be used in the construction of conventional spacer grids having spring-retaining means such as those discussed in U.S. Pat. Nos. 4,389,369 and 4,077,843, the disclosures of which are herein incorporated by reference. Moreover, a grid comprising a shape-memory alloy could also be employed in BWR designs where rods are held in place by the bottom tie plate. For example, the tie plate could employ the shape-memory alloy or the bottom grid could be designed using shape-memory alloys to allow hydraulic improvements in tie plates. Thus, the application of shape-memory alloys to the construction of nuclear fuel assemblies supporting structures has been illustrated. These applications include, in particular, spacer grids in BWR's and PWR's, but can also include, for example, channel supports for BWR's and fuel assembly skeletons for PWR's. The use of the alloys in the construction of BWR channels would allow channels to be securely supported in operation yet easily movable during refueling operations. The use of the alloys in the construction of PWR fuel assembly skeletons can more readily allow for designs in which components of the fuel assembly can be replaced or design changes made between operational cycles. This can be accomplished, for example, by allowing for the use of coupling and supports which are engaged during operations and can be readily pulled apart between operating cycles so that changes can be made. Changes such as partial fuel bundles, and replacement of fuel assembly skeleton support thimbles by fuel rods can then be more easily made. Although there are many additional specific connection designs which can be described from the foregoing description, one skilled in the art can easily ascertain the essential characteristics of this invention, and without departing from the spirit and scope thereof, can take various changes and modifications of the invention to adapt it to various usages and conditions. |
summary | ||
claims | 1. A liquid fluoride salt cooled, high temperature reactor, comprising:a pebble-bed reactor core configured for containment within a reactor vessel;wherein the reactor core comprises a pebble injection inlet located at a bottom end of the reactor core and a pebble defueling outlet located at a top end of the reactor core;said reactor core cooled by a liquid fluoride salt coolant;said reactor core comprising an inner reflector, outer reflector, and an annular pebble-bed region comprising an annular channel disposed in between the inner reflector and outer reflector;said annular channel configured for receiving pebble fuel comprising a combination of seed and blanket pebbles having a density lower than the coolant such that the pebbles have positive buoyancy and migrate upward in said annular pebble-bed region toward the defueling outlet;said annular pebble-bed region comprising a pebble injection annulus extending from the pebble injection inlet, the pebble injection annulus leading into a diverging annular conical region at the bottom end of the reactor core, and converging annular conical region leading into a pebble defueling slot at the top end of the reactor core; andwherein the annular pebble-bed region comprises alternating radial layers of seed pebbles and blanket pebbleswherein inner reflector comprises an inlet plenum leading to a plurality of inner injection ports in the bottom end of the pebble bed region;wherein the inlet plenum is configured to inject coolant into the annular pebble bed region via the plurality of inner injection ports;wherein the outer reflector comprises a plurality of outlet ports in the top end of the pebble bed region, the outlet ports leading to an outlet plenum;wherein the pebble bed region is configured such that the coolant exits the pebble bed region primarily into the outlet ports in the outer reflector;wherein the location of the coolant injection and outlet ports is selected to generate a radially outward and upward flow of coolant through the pebble bed region. 2. A reactor as recited in claim 1, wherein the annular pebble bed region comprises a driver fuel layer disposed between an inner radial blanket pebble layer and outer radial pebble blanket layer;the inner radial blanket pebble layer being adjacent the inner reflector, and outer radial pebble blanket layer being adjacent the outer reflector. 3. A reactor as recited in claim 2, wherein the driver fuel layer comprises a plurality of axial layers comprising alternating seed and blanket pebble zones;the alternating seed and blanket pebble zones configured to allow reduced power peaking. 4. A reactor as recited in claim 3, wherein the blanket pebbles comprise graphite blanket pebbles or thorium-bearing blanket pebbles containing coated particles of thorium. 5. A reactor as recited in claim 4, wherein the blanket pebbles comprise a mixture of thorium and uranium. 6. A reactor as recited in claim 4, wherein the seed pebbles comprise coated particles containing fissile uranium or plutonium fuel. 7. A reactor as recited in claim 6, wherein the seed pebbles comprise recycled U-233, plutonium, or a mixture of plutonium and other transuranics. 8. A reactor as recited in claim 2, further comprising:a plurality of partition rings disposed at the pebble injection inlet;wherein the partition rings control the radial location for pebbles injected into the annular pebble-bed region to generate the alternating radial layers of seed pebbles and blanket pebbles. 9. A reactor as recited in claim 2, further comprising:a plurality of dividers disposed at the pebble injection inlet;wherein the dividers control the azimuthal location for pebbles injected into the annular pebble-bed region to generate alternating azimuthal layers of seed pebbles and blanket pebbles. 10. A reactor as recited in claim 8, wherein the partition rings comprise an outer partition ring that delineates the outer radial blanket pebble layer from the driver fuel layer provide shielding of the outer reflector from neutrons generated by fission in seed pebbles, and an inner partition ring that delineates the inner radial blanket-pebble zone from the driver fuel layer to provide shielding of the inner reflector from neutrons generated by fission in seed pebbles. 11. A reactor as recited in claim 1, wherein the pebble injection annulus and the pebble defueling slot are configured to be substantially subcritical. 12. A reactor as recited in claim 1, wherein the angle of the coolant flow reaching the outer reflector is configured such that a transverse hydrodynamic force on the pebbles is capable of overcoming friction between the pebbles and outer reflector. 13. A reactor as recited in claim 1:wherein the outer reflector further comprises a plurality of outer injection ports leading into the bottom end of the pebble bed region;wherein the reactor is configured such that coolant may be injected into the lower portion of the annular pebble bed core from the outer injection ports of the outer reflector and oscillated periodically between the outer injection ports and inner injection ports to agitate the pebble bed. 14. A reactor as recited in claim 1:wherein the inner reflector has a control channel at its center;wherein the control channel is configured such that pebbles may be injected at a bottom inlet of the control channel, and defueled from a top outlet of the control channel; andwherein reactivity of the reactor may be controlled by varying the rate of injection and defueling of pebbles into the control channel to vary the inventory of pebbles in the channel. 15. A reactor as recited in claim 1, further comprising:a pebble injector at the pebble bed inlet;the pebble injector comprising a plurality of entrance vanes;wherein a coolant flow entering the bottom of the pebble injection annulus is swirled by the entrance vanes; andwherein the swirling flow in the annulus alters a deposition pattern of injected pebbles at a bottom of the pebble bed. 16. A reactor as recited in claim 1:wherein the defueling slot is configured to permit sufficient residence time for decay of short-lived fission products prior to removal from the core. 17. A reactor as recited in claim 3:wherein the outer radial pebble blanket layer is configured to provide neutron shielding. |
|
041486854 | claims | 1. A method for regulating and shutting-down a gas-cooled nuclear reactor of the type having a core comprising a pile of spherical fuel elements through which the cooling gas passes and which are expended after a single pass through the reactor and having a reflector surrounding the core comprising a top reflector spaced from the top of the fuel element pile to define a space therebetween, a cylindrical side reflector and a bottom reflector, said method comprising the steps of: regulating the operation of the reactor by moving first absorber rods from above into and out of recesses provided in the side reflector; rapidly and partially shutting down the reactor by inserting second absorber rods, independent from said first absorber rods, downwardly through the top reflector and into the space formed between the top reflector and the fuel element pile; and totally shutting-down the reactor for a long term by inserting third absorber rods, independent from said second absorber rods, downwardly through the top reflector and into the pile of fuel elements. a pile of generally spherical fuel elements; a reflector surrounding said fuel element pile, comprising a top reflector spaced from the top of said pile, a generally cylindrical side reflector and a bottom reflector; means for continuously introducing said fuel elements into and removing same from the reactor; means for circulating a cooling gas downwardly through the pile of fuel elements; means, including a plurality of first absorber rods movably contained in recesses in the side reflector, for regulating the operation of the reactor; means, including a plurality of second absorber rods, independent from said first absorber rods, distributed over the area of the top reflector and adapted to be moved through the top reflector into the pile of fuel elements, for rapidly and partially shutting-down the reactor; and means, including a plurality of third absorber rods, independent from said second absrober rods, distributed over the area of the top reflector and adapted to be moved through the top reflector into the space formed between the top reflector and the top of the fuel element pile, for totally shutting-down the reactor for an extended period of time. 2. The method as defined by claim 1, wherein said regulating step is a rapid loading adjustment and further comprises inserting a portion of said second absorber rods downwardly through the top reflector and into the space formed between the top reflector and the fuel element pile. 3. The method as defined by claim 2, wherein said regulating step is in response to a load increase from a partial load to full load and comprises inserting selected ones of said second absorber rods. 4. The method as defined by claim 1, wherein said regulating step is in response to a load reduction from full load to a partial load and comprises moving groups of said first absorber rods the same distance in the same direction. 5. The method as defined by claim 1, wherein a plurality of said third absorber rods are inserted to compensate for excess reactivity existing after a long-term shutdown. 6. A gas cooled nuclear reactor for carrying-out the method defined by claim 1, comprising: 7. The nuclear reactor as defined by claim 6, wherein said partial shutdown means comprises means for electrically operating said second absorber rods to one of said operating means. 8. The nuclear reactor as defined by claim 6, wherein said second absorber rods are comprised of boron steel and comprise a Y-shaped cross-section. 9. The nuclear reactor as defined by claim 8, wherein each of said second absorber rods comprises a projection on its upper end and said partial shutdown means further comprises means, including a shock absorption element cooperating with said projection, for arresting said second absorber rods in their lowermost inserted position. |
abstract | An apparatus for projection lithography is disclosed. The apparatus has at least one magnetic doublet lens. An aperture scatter filter is interposed between the two lenses of the magnetic doublet lens. The aperture scatter filter is in the back focal plane of the magnetic doublet lens system, or in an equivalent conjugate plane thereof. The apparatus also has two magnetic clamps interposed between the two lenses in the magnetic doublet lens. The clamps are positioned and configured to prevent substantial overlap of the magnetic lens fields. The magnetic clamps are positioned so that the magnetic fields from the lenses in the magnetic doublet lens do not extend to the aperture scatter filter. |
|
summary | ||
047939648 | abstract | A pressurized water nuclear reactor comprises a normally vertical main vessel externally duplicated by a confinement enclosure. The main vessel contains a simplified primary circuit essentially incorporationg the reactor core and an annular steam generator arranged in such a way that the circulation of water, pressurized once and for all during the sealing of the vessel, takes place by natural convection. All the auxiliary circuits, conventionally ensuring cooling on shut down of such a reactor are eliminated, said cooling being ensured by a special arrangement of the space formed between the vessel and the enclosure and by the fact that the latter is immersed in an external cooling liquid, no matter what the slope of the reactor. The shut down of fission reaction in the core is ensured by systems of absorbing elements and by the automatic displacement of part of the reflector in the case of a slope of the reactor. |
abstract | A portable neutron generator is provided that does not utilize liquid cooling. The portable neutron generator includes a vacuum chamber housing defining a vacuum chamber and an ion beam inlet. The portable neutron generator also includes a rotating target positioned within the vacuum chamber. The ion beam inlet is oriented to receive ions such that the ions impinge upon the rotating target to cause neutrons to be emitted. The rotating target comprises a copper alloy. The portable neutron generator also includes a motor core positioned within the vacuum chamber and coupled to the rotating target. A motor stator is electromagnetically coupled with the motor core. The motor core is configured to rotate the rotating target at greater than 200 Hz during operation. |
|
claims | 1. An inspecting apparatus comprising:a plurality of detectors each for receiving an electron beam emitted from a sample to acquire image data representative of the sample; anda switching mechanism for causing the electron beam to be incident on one of said plurality of detectors,wherein said plurality of detectors are disposed within the same vacuum chamber, andwherein said switching mechanism comprises:a moving mechanism for mechanically moving one of said plurality of detectors to a position at which said one of said plurality of detectors does not prevent another one of said plurality of detectors from receiving the electron beam. 2. An inspecting apparatus according to claim 1, wherein said plurality of detectors comprise:a first detector comprising an electron sensor for converting an electron beam into an electric signal; anda second detector comprising an electron sensor for converting an electron beam into light and converting the light into an electric signal,wherein said electron sensors are disposed within said vacuum chamber. 3. An inspecting apparatus according to claim 2, wherein said electron sensor of said first detector is an EB-CCD sensor having a plurality of pixels, and said electron sensor of said second detector is a TDI sensor having a plurality of pixels. 4. An inspecting apparatus according to claim 1, wherein said plurality of detectors comprise:a third detector comprising an electron sensor for converting an electron beam into an electric signal; anda fourth detector comprising a electron sensor for converting an electron beam into an electric signal,wherein said electron sensors in said third detector and said fourth detector are disposed within said vacuum chamber. 5. An inspecting apparatus according to claim 4, wherein said electron sensor of said third detector is an EB-CCD sensor having a plurality of pixels, and said electron sensor of said fourth detector is an EB-TDI sensor having a plurality of pixels. 6. An inspecting apparatus according to claim 1, wherein said plurality of detectors comprise:a fifth detector comprising an electron sensor for converting an electron beam into light and converting the light into an electric signal; anda sixth detector comprising an electron sensor for converting an electron beam into light and converting the light into an electric signal,wherein said electron sensors in said fifth detector and said sixth detector are disposed within said vacuum chamber. 7. An inspecting apparatus according to claim 1, wherein said plurality of detectors comprise:a fifth detector comprising an electron sensor for converting an electron beam into light and converting the light into an electric signal; anda sixth detector comprising an electron sensor for converting an electron beam into light and converting the light into an electric signal,wherein at least one of said electron sensors in said fifth detector and said sixth detector is disposed in the atmosphere. 8. An inspecting apparatus according to claim 6, wherein said electron sensor of said fifth detector is a CCD sensor having a plurality of pixels, and said electron sensor of said sixth detector is a TDI sensor having a plurality of pixels. 9. An inspecting apparatus according to claim 1, wherein a two-dimensional image is captured. 10. An inspecting apparatus according to claim 1, further comprising an electron amplifier for amplifying the electron beam. 11. An inspecting apparatus according to claim 1, further comprising an electro-optical system such as a lens, wherein the trajectory of the electron beam is controlled by said electro-optical system. 12. An inspecting apparatus according to claim 11, wherein said electro-optical system comprises a noise cut aperture. 13. An inspecting apparatus according to claim 11, wherein said electro-optical system comprises a projection optical system. 14. An inspecting apparatus according to claim 1, further comprising an electron source for irradiating the sample with electrons. 15. An inspecting apparatus according to claim 1, further comprising an electromagnetic wave source for irradiating the sample with an electromagnetic wave. 16. An inspecting apparatus according to claim 1, further comprising an electron source for irradiating the sample with electrons, and an electromagnetic wave source for irradiating the sample with an electromagnetic wave. 17. An inspecting apparatus according to claim 15, wherein said electromagnetic wave source is capable of generating one of UV light, DUV light, laser light, and X-ray. 18. A defect inspecting apparatus comprising the inspecting apparatus according to claim 1. 19. A device manufacturing method of inspecting a wafer for defects halfway in a process by the defect inspecting apparatus according to claim 18. 20. A defect inspecting apparatus comprising:a primary optical system having an electron gun for emitting a primary electron beam for guiding the primary electron beam to a sample; anda secondary optical system for guiding a secondary electron beam emitted from the sample to a detection system, said detection system comprising:a first EB-CCD sensor for adjusting the optical axis of an electron beam;an EB-TDI sensor for capturing an image of the sample;a second EB-CCD sensor for evaluating a defective site based on the image captured by said EB-TDI sensor; anda moving mechanism for mechanically moving said first and second EB-CCD sensors to a position at which any one of said EB-CCD sensors and said EB-TDI sensor receives the electron beam. 21. A defect inspecting apparatus according to claim 20, wherein said second EB-CCD sensor has a pixel size smaller than a pixel size of said first EB-CCD sensor. 22. A defect inspecting method for inspecting a sample for defects in a defect inspecting apparatus having a primary optical system for guiding the primary electron beam to a sample, and a secondary optical system for guiding a secondary electron beam emitted from the sample to a detection system comprising an EB-CCD sensor and an EB-TDI sensor, said method comprising:adjusting an optical axis using said EB-CCD sensor;mechanically moving said EB-CCD sensor to a position where said EB-CCD sensor does not prevent said EB-TDI sensor from receiving the electron beam;capturing an image of a sample using said EB-TDI sensor;specifying a defective site on the sample from the image captured by said EB-TDI sensor;capturing an image of the defective site on the sample using said EB-CCD sensor; andcomparing the image of the defective site captured by said EB-TDI sensor with the image of the defective site captured by said EB-CCD sensor to determine a false defect or a true defect. |
|
claims | 1. An electrochemically modulated molten salt reactor (EMMSR) comprising:a nuclear reactor vessel and a power source;the nuclear reactor vessel contains a nuclear fuel salt, at least partially contains a neutron moderator, and at least partially contains an insulator,the nuclear fuel salt including dissolved fissile isotopes wherein the concentration of the fissile isotopes during operation of the EMMSR is sufficient to cause continued self-sustaining fission reactions,the neutron moderator configured to slow down fast neutrons produced by the dissolved fissile isotopes,the insulator configured to electrically isolate the neutron moderator from the nuclear reactor vessel;the power source having a positive potential and a negative potential,the positive potential is received by the neutron moderator, and the negative potential is received by the nuclear reactor vessel; anda holder, the holder stabilizes the neutron moderator and the insulator in communication with the vessel. 2. The electrochemically modulated molten salt reactor of claim 1 wherein the neutron moderator includes at least one of: conductive carbon, a metal, or an alloy. 3. The electrochemically modulated molten salt reactor of claim 1, wherein the nuclear fuel salt includes fluorides, chlorides, or a combination of fluorides and chlorides. 4. The electrochemically modulated molten salt reactor of claim 1, wherein the nuclear fuel salt is LiF—BeF2—UF4. 5. The electrochemically modulated molten salt reactor of claim 1, wherein the dissolved fissile isotopes include an actinide element. 6. The electrochemically modulated molten salt reactor of claim 1, wherein the dissolved fissile isotopes are present within the nuclear fuel salt up to approximately 15 wt %. 7. The electrochemically modulated molten salt reactor of claim 1, wherein the dissolved fissile isotopes are present within the nuclear fuel salt between approximately 1 wt % and approximately 10 wt %. 8. The electrochemically modulated molten salt reactor of claim 1, wherein the neutron moderator includes conductive carbon, conductive metals, alloys, or a combination of conductive carbon, conductive metals, or alloys. 9. The electrochemically modulated molten salt reactor of claim 1, wherein the neutron moderator is a composite of conductive carbons, conductive metals, or oxides of Zr and Be. 10. The electrochemically modulated molten salt reactor of claim 1, wherein the electrochemically modulated molten salt reactor operates in the temperature range of approximately 500° C. and 800° C. 11. The electrochemically modulated molten salt reactor of claim 1, additionally comprising:at least one supporting electrode, the supporting electrode configured to catalyze chemical reactions that occur within the electrochemically modulated molten salt reactor. 12. The electrochemically modulated molten salt reactor of claim 1, additionally comprising:at least one reference electrode, the reference electrode configured to modulate the positive potential and negative potential. 13. The electrochemically modulated molten salt reactor of claim 12 wherein the reference electrode is a dynamic reference electrode. 14. The electrochemically modulated molten salt reactor of claim 12, additionally comprising:a volt meter with a potentiostat or galvanostat. 15. The electrochemically modulated molten salt reactor of claim 1, additionally comprising:a gas phase disposed within the nuclear reactor vessel, the gas phase configured to hold gaseous fission products produced from the nuclear fuel salt. 16. The electrochemically modulated molten salt reactor of claim 1 further comprising at least one control rod, the control rod configured to control the continued self-sustaining fission reactions of the nuclear fuel salt. |
|
062381385 | description | DESCRIPTION OF THE PREFERRED EMBODIMENTS Turning now descriptively to the drawings, in which similar reference characters denote similar elements throughout the several views, the Figures illustrate a method of disposing of nuclear waste in underground rock formations of the present invention. With regard to the reference numerals used, the following numbering is used throughout the various drawing figures. 10 drilling rig PA0 12 earth's surface PA0 14 vertical wellbore PA0 16 surface layers PA0 18 cap rock layer PA0 20 primary lateral PA0 22 angle between primary laterals PA0 24 secondary laterals PA0 26 tertiary laterals PA0 28 horizontal plane PA0 30 first outer casing (cement) PA0 32 second outer casing (steel) PA0 34 first inner casing (cement) PA0 36 second inner casing (lead) PA0 38 canister PA0 40 centralizers PA0 42 far end of lateral PA0 44 front end of lateral PA0 46 windows PA0 48 cement filler PA0 49 front plug PA0 50 end plug PA0 51 inner lead lining PA0 54 outer steel casing PA0 56 liner hangers PA0 58 sandwiched layer of lead PA0 60 first layer of steel PA0 62 second layer of steel PA0 64 third layer of steel PA0 66 lead casing PA0 68 steel casing PA0 70 connector PA0 72 tubular string A preferred embodiment of the method of disposing of nuclear waste in underground rock formations in accordance with the present invention will now be described with reference to FIGS. 1 through 6 in which the present invention is illustrated. Specifically, FIG. 1 shows a preferred embodiment of the equipment used and the results obtained when practicing the method of the present invention. A drilling rig illustrated generally by the numeral 10 is positioned on an isolated surface 12 and is used to create a vertical wellbore 14 which will extend vertically into the earth's surface. The vertical wellbore 14 extends through a plurality of layers of the earth's surface 16 and into a layer of cap rock 18. The layer of cap rock 18 is a specially selected rock formation deep enough below the earth's surface to prevent radiation which may leak from reaching the surface. The selected rock formations have existed for billions of years as is evidenced by the chronological fossil history found in the rock strata. Branching off and extending horizontally from the vertical wellbore 14 at a depth below the earth's surface occupied by the layer of cap rock 18 are primary laterals 20. The primary laterals 20 may be at different depths or at the same depth and extending at an angle 22 from one another. Any number of primary laterals 20 may be drilled from the vertical wellbore, two primary laterals are shown in FIG. 1 for purposes of example only. Extending from the primary laterals 20 and along the same horizontal plane 28 are secondary laterals 24 and extending from the secondary laterals 24 and also along the same horizontal plane 28 are tertiary laterals 26. The primary, secondary and tertiary laterals 20, 24, and 26 respectively of a single branch extending from the vertical wellbore 14 all extend in the same horizontal plane 28 while each branch may extend in different horizontal planes as shown in FIG. 1. The formation of cap rock 18 should enclose the primary, secondary and tertiary laterals 20, 24 and 26 on all surfaces to thereby define the dimensions of the laterals and ensure isolation for an indefinite period. The drilling rig 10 is well known and similar to those used in oil drilling and exploration to reach oil deposits located deep beneath the earth's surface. The drilling rig 10 is illustrated in more detail in FIG. 2. While a preferred structure for the drilling rig 10 is shown and described herein, those of ordinary skill in the art who have read this description will appreciate that there are numerous other structures for the drilling rig 10 and, therefore, should be construed as including all such structures as long as they achieve the desired result of creating a primary wellbore extending a predetermined distance below a surface of the earth, and therefore, that all such alternative mechanisms are to be considered as equivalent to the one described herein. A single branch extending from the vertical wellbore 14 is illustrated in FIG. 3. Extending vertically through the cap rock 18 is the vertical wellbore 14. A primary lateral 20 branches out horizontally from the vertical wellbore 14 along the horizontal plane 28 and a plurality of secondary laterals 24 extend from the primary lateral 20 in the horizontal plane 28. A plurality of tertiary laterals 26 extend from the secondary laterals 24 and in the horizontal plane 28. Any number of secondary laterals 24 can extend from each primary lateral 20 and any number of tertiary laterals 26 can extend from each secondary lateral 24. The amount of secondary and tertiary laterals 24, 26 are for purposes of description only and not meant to be limiting. The only requirement on the positioning of the secondary and tertiary laterals 24 and 26 is that they cannot overlap one another. Overlapping of the laterals causes communication therebetween and will act to reduce the effectiveness of the structure. FIG. 4 illustrates a preferred construction of the tertiary lateral 26 within the circle labeled 4 of FIG. 3 in greater detail, the construction of the primary and secondary laterals 20, 24, respectively, are identical thereto. The tertiary lateral 26 is comprised of a plurality of layers. A first outer casing 30 of cement within the lateral 26 forms the first outer layer. A second outer casing 32 is made of steel and is sealed within the first outer casing 30. Within the second outer casing 32 is a first inner cement casing 34 and a second inner casing 36 made of lead is positioned within the first inner casing 34. Nuclear waste is placed and secured within a radioactive capsule or canister 38. The radioactive canister 38 is well known in the art and presently used for securing nuclear waste. Any known method for securing nuclear waste in a container or capsule for placement in a lateral as produced by the present method may be used and does not form part of the inventive concept. It is thus not deemed necessary to further describe the process of securing the nuclear waste within the capsule. The capsule 38 is positioned within the second inner layer 36 of the lateral 26 and may be held in a steady position within the lateral by a plurality of centralizers 40. The sequence of layers coating the lateral 26 act to protect the rock formation 18 in which the lateral 26 extends from leakage of any nuclear waste. Once the canisters 38 are positioned within the lateral 26 they may be secured therein by filling the lateral with cement 48 as is illustrated in FIG. 6 showing a cross-sectional view through a plurality of tertiary laterals 26 taken along the line 6--6 of FIG. 1. FIG. 5 illustrates a partial view of a nuclear waste storage network including a wellbore 14 and primary and secondary laterals 20, 24, respectively, extending therefrom. In order to produce a primary lateral 20, a window 46 must be cut into the vertical wellbore 14 at the point from which the primary lateral 20 is to extend. The primary lateral 20 is then drilled through the window 46 and extending horizontally into the rock formation 18. The technology for cutting windows and drilling horizontally through these windows is well known in the industry and does not form part of this inventive concept. The same is true for producing the secondary and tertiary laterals 24, 26. A window 46 must be cut into the lateral at the point from which the dependent lateral will extend. The dependent lateral will then be drilled through the window 46 and into the rock formation 18 in the identical horizontal plane in which the primary lateral lies. In order to provide additional protection from leaking nuclear waste, a front plug 49 and an end plug 50 may be positioned within the lateral as is illustrated in FIG. 7. The front plug 49 is positioned adjacent the window 46 at the point at which the lateral branches and the end plug 50 is positioned at an end 42 of the lateral opposite the front plug 49. The end plug 50 is inserted into the lateral prior to placement of the canisters 38 and the front plug 49 is inserted after the canisters 38 are positioned within the lateral acting to close the lateral to the top of the well or vertical wellbore 14. The front and end plugs 49, 50 close both ends of the lateral thereby isolating the lateral from the top of the well and preventing entry into and exit from the lateral of any liquid, solid or gaseous material thereby providing additional safety from leakage of nuclear waste into the host rock formation 18. These plugs 49, 50 are known and preferably similar to oil field "packers" used to cover the vertical wellbores and prevent oil from exiting the well. However, these plugs 49, 50 may be in any other form which achieves the necessary purpose of providing additional protection from leakage of nuclear waste from the lateral. Other embodiments for the protective layers of the laterals are also possible. One such embodiment is illustrated in FIG. 8 and describes a layered formation which acts to replace the second inner casing 36 made of lead with a three tiered structure. The three tiered structure includes an inner lead lining 52 and an outer steel casing 54 separated by one of liner supports and liner hangers 56. This hanging liner shield acts as a radiation shield. The hanging lead liner 52 extends only to the entry point of the lateral, i.e. the position at which the window 46 is cut, while the support steel layer 54 extends all the way to the top of the vertical wellbore 14. FIG. 9 illustrates another embodiment which would replace the second inner casing 36 made of lead with a three tiered layer. The three tiered layer includes a layer of lead 58 sandwiched between layers of steel 60, 62. As in the embodiment illustrated in FIG. 8, the lead layer 58 only extends to the entry point of the lateral. A third layer of steel 64 extends between the sandwiching layers of steel 60, 62 from the entry point of the lateral to the top of the vertical wellbore 14. These additional layers 58, 60, 62 and 64 also provide added protection from radiation which may leak from the canisters, preventing the radiation from leaving the lateral and entering the host rock formation 18. A yet further embodiment for the second inner casing 36 is illustrated in FIG. 10 an includes a lead shield casing 66 surrounded by a steel casing 68. The lead casing 66 is bonded to the steel casing 68 and extends to the entry point of the lateral. The steel casing 68 extends through the lateral and to the top of the vertical wellbore 14. This embodiment, like the embodiments illustrated in FIGS. 4, 8 and 9, provides additional protection for the host rock formation 18 from radiation leakage. FIG. 11 illustrates the components necessary for inserting and removing the canisters 38 containing nuclear waste into the laterals. A detachable and retrievable connector 70 is connected to the canisters 38 and a tubular string 72 is connected to the connector 70. The tubular string 72 is used to insert the canister 38 from the surface into the horizontally extending lateral. Once deployed within the lateral, the detachable and retrievable connector 70 is detached from the canister and via the tubular string 72 is removed from the network of laterals in which the canister 38 is deposited and the vertical wellbore 14. The tubular string 72 and detachable and retrievable connector 70 may then be used to place additional canisters 38 within the laterals until either the laterals are filled or all the canisters are stored. The connector 70 may be reconnected to the canister 38 when it is desired to remove the canister 38 from the lateral in which it is stored. The tubular string 72 will be attached to the connector 70 and used to direct the connector 70 through the network of laterals to the canister 38 desired to be removed. Upon reaching the desired canister 38, the connector 70 is reattached to the canister 38 and the tubular string 72 is removed through the vertical wellbore 14 and network of laterals carrying the connector 70 and canister 38 with it. In operation, an isolated area is selected for placement of the wellbore 14 and laterals 20, 24 and 26. The area must include a rock formation 18 therebelow and at a depth great enough to prevent any nuclear waste which may leak from reaching the surface. The rock formation 18 must also be a predetermined safe distance from any underground active water sources. Upon selection of an appropriate area, a drilling rig 10 such as is used to drill oil wells is used to create a vertical wellbore 14 which extends into the selected rock formation 18. A window 46 is then cut into the vertical wellbore 14 at a depth occupied by the rock formation 18 and at each position from which a primary lateral 20 is desired to extend. A horizontal primary lateral 20 is then drilled into the rock formation 18 extending from each window 46 to form each primary lateral 20. The primary laterals 20 may be at differing depths below the surface from one another as long as they extend more or less horizontally, i.e. perpendicular to the vertical wellbore 14, and have dimensions, i.e. sides, defined by the rock formations 18. Windows 46 are then cut into each primary lateral 20 at each position from which a secondary lateral 24 is desired to extend. The secondary laterals 24 are each then drilled to extend from their respective window 46 and each extend horizontally through the rock formation 18 in the same plane as the primary lateral 20 from which they depend. Windows 46 are then cut into each secondary lateral 20 at each position from which a tertiary lateral 24 is desired to extend. The tertiary laterals 24 are each then drilled to extend from their respective window 46 and each extend horizontally through the rock formation 18 in the same plane as the primary and secondary laterals 20, 24 from which they depend. Each primary lateral 20 is cemented in place by circulating the cement to form the cement layer 30 in the annular space between the steel casing 32 and the wall of the wellbore 14. In a similar cementing operation a cement layer is placed in the secondary and tertiary laterals 24 and 26. A second outer layer 32 of steel is then sealed within the laterals to the first outer layer 30. A first inner layer 34 of cement is then positioned within and sealed to the second outer layer 32 of steel to sandwich the second outer layer 32 between two layers of cement 30, 34. Within the first inner layer 34, a second inner layer 36 made of lead is sealed. Thus, the first inner layer 36 is sealed between a layer of steel 32 and a layer of lead 36. Each of these layers 30, 32, 34 and 36 not only cover the entire inner surface area of the primary, secondary and tertiary laterals 20, 24 and 26 but extend all the way through the vertical wellbore 14 to the surface 12 of the selected area. In order to provide added protection from radiation which may leak within the laterals, the second inner layer 36 of lead may be replaced by alternate constructions. One such alternate construction is a three tiered structure. In this alternate construction, an outer steel casing 54 is sealed to the first inner layer 34 and an inner lead lining 52 is positioned within the outer steel casing 54. A plurality of liner supports 56 are placed within the inner lead lining 52 and acts to separate the inner lead lining 52 from the outer steel casing 54. The hanging liner shield formed from the inserted layers 52 and 54 and liner supports 56 acts as a radiation shield. The inner lead lining 52 extends only to the entry point of the lateral in which it is positioned, i.e. the position at which the window 46 is cut, while the outer steel casing 54 extends all the way to the top of the vertical wellbore 14. A second alternate construction for the second inner layer 36 is also formed of a three tiered structure. In this construction, a first layer of steel 60 is positioned within the first inner layer of cement 34. A layer of lead 58 is then positioned within the first inner layer of steel 60 and a second layer of steel 62 is positioned within the layer of lead 58 acting to sandwich the layer of lead 58 between the first and second layers of steel 60, 62. As in the first alternate construction, the layer of lead 58 only extends to the entry point of the lateral. The first and second layers of steel 60, 62 are positioned to cover the entire surface of the lateral in which they are placed and extend through each lateral from which it depends and the vertical wellbore 14. A third layer of steel 64 is positioned between the first and second layers of steel 60, 62 and extends between the sandwiching layers of steel 60, 62 from the entry point of the lateral to the top of the vertical wellbore 14. Portions of the third steel layer 64 may be replaced by a layer of lead 58 within the depending laterals which will house canisters 38 containing nuclear waste. These additional layers 58, 60, 62 and 64 provide added protection from radiation which may leak from the canisters, preventing the radiation from leaving the lateral and entering the host rock formation 18. A third alternate construction for the second inner casing 36 includes a lead shield casing 66 surrounded by a steel casing 68. The steel casing is positioned within the first inner layer 34 of cement and the lead casing 66 is positioned within and bonded to the steel casing 68. The lead casing 66 extends to the entry point of the lateral. The steel casing 68 extends through the lateral, all laterals on which it depends and extends through the vertical wellbore 14 to the surface 12 of the selected area. This construction, also provides additional protection for the host rock formation 18 from radiation leakage. A end plug may then be inserted into each lateral in which it is desired to store canisters 38 containing nuclear waste. The laterals are now prepared for storing the canisters containing nuclear waste. A plurality of centralizers 40 may be connected to the canisters 38 to hold the canisters 38 stationary within the lateral in which they are stored. A connector 70 is attached to a first canister 38 and a tubular string 72 is attached to the connector 70. The canister 38 is then directed through the vertical wellbore 14 and through the network of laterals until it reaches its final destination for storage. The connector 70 is then separated from the canister 38 and is removed from the network through the laterals and the vertical wellbore 14 and up to the surface 12 of the selected area by reeling up the tubular string 72. The connector 70 and tubular string 72 are then used to position another canister 38 within the network of laterals. This process is repeated until the network is full or all the canisters 38 are positioned within the network. Front plugs 49 may then be positioned at the entry point of each lateral, i.e. at the point at which the windows 46 are cut, to seal each lateral and prevent any solid, liquid or gaseous material from escaping from the sealed lateral. Alternatively, the network can be filled with cement to seal the canisters in place within their respective lateral and also act to prevent any nuclear waste which may leak from reaching either the rock formation 18 housing the laterals or the surface of the selected area. From the above description, it is evident that the present invention provides a method of disposing of nuclear waste in underground rock formations and provides prolonged safety from the nuclear waste and added protection to human health and the environment. This method also provides protection in case of rupturing or leaking of the canister in which the waste is stored and safe storage of the waste for at least 10,000 years. It also provides storage of nuclear waste which is impervious to surface effects such as flooding, glaciation or seismic interference. The laterals in which the waste is stored include an inner lining made from layers of cement, steel and lead and possibly also include front and end plugs to provide the above benefits. It will be understood that each of the elements described above, or two or more together, may also find a useful application in other types of applications differing from the type described above. While the invention has been illustrated and described as shown in the drawings, it is not intended to be limited to the details shown, since it will be understood that various omissions, modifications, substitutions and changes in the forms and details of the formulation illustrated and in its operation can be made by those skilled in the art without departing in any way from the spirit of the present invention. Without further analysis, the foregoing will so fully reveal the gist of the present invention that others can, by applying current knowledge, readily adapt it for various applications without omitting features that, from the standpoint of prior art, fairly constitute essential characteristics of this invention. |
summary | ||
abstract | A construction layout for caverns of an underground nuclear power plant, including: two primary caverns accommodating nuclear reactor powerhouses, electric powerhouse caverns, safe powerhouse caverns, auxiliary powerhouse caverns, nuclear fuel powerhouse caverns, connecting powerhouse caverns, a first primary traffic tunnel, a third primary traffic tunnel, a second primary traffic tunnel, a fourth primary traffic tunnel, and a primary steam channel. The electric powerhouse caverns, the safe powerhouse caverns, and the nuclear fuel powerhouse caverns are arranged along the longitudinal direction of the mountain. Each of the safe powerhouse caverns and each of the nuclear fuel powerhouse caverns are disposed on two sides of each of the two primary caverns in the longitudinal direction of the mountain, respectively. Each of the electric powerhouse caverns and each of the safe powerhouse caverns are located on a same side of each the two primary caverns. |
|
048083704 | claims | 1. Gas-cooled, high-temperature nuclear reactor, comprising a metallic core barrel, a graphite or carbon block lining disposed in said core barrel, a hot gas line including an outer pressure-confining metallic pipe and a ceramic flow guidance pipe, insulation separating said metallic pipe from said ceramic pipe, a stub concentric with said hot gas line, means for detachably connecting said stub to said core barrel, said metallic pipe being tightly disposed in said stub, means for detachably fastening said metallic pipe to said stub, a sleeve, means for detachably fastening said sleeve to said lining, a bellow compensator being disposed in said stub and having one end tightly fastened to said stub and another end, and means for connecting said other end to said sleeve, said ceramic pipe and said sleeve being formed of carbon fiber-reinforced carbon. 2. Nuclear reactor according to claim 1, wherein said stub and said bellows compensator define a space therebetween and said stub has openings formed therein and including a cold gas line coaxially surrounding said hot gas line. 3. Nuclear reactor according to claim 1, wherein said means for detachably fastening said sleeve to said lining are in the form of carbon screws reinforced with carbon fibers. 4. Nuclear reactor according to claim 1, wherein said metallic pipe has an outer surface and said outer surface of said metallic pipe and said stub have regions of mutual contact, and including a coating disposed on said regions for preventing friction welding of said metallic pipe to said stub in a cooling gas atmosphere. 5. Nuclear reactor according to claim 1, wherein said stub has a flange to which said means for detachably fastening said stub to said core barrel are connected, said flange being offset from said core barrel defining a gap therebetween for accomodating tools for cutting said fastening means. 6. Nuclear reactor according to claim 1, wherein said means for detachably fastening said metallic pipe to said stub include means for making said fastening means accessible to removal by milling tools. |
abstract | A method of shutting down a nuclear reactor may include compressing a scram gas that is in fluid communication with a scram accumulator. The scram accumulator defines a chamber therein and contains bellows within the chamber. The bellows are configured to hold a scram liquid in isolation of the scram gas. The scram gas exerts a compressive force on the bellows in a form of stored energy. The method may additionally include releasing the stored energy in response to a scram signal such that the scram gas expands into the chamber of the scram accumulator to compress the bellows and expel the scram liquid from the scram accumulator to insert control rods into a core of the nuclear reactor. |
|
abstract | A radioprotective unwoven fabric is a sheet in which metal fibers are three-dimensionally and randomly stacked, the metal fibers each comprising a metal material having a specific gravity higher than a specific gravity of lead. The metal fibers may comprise a tungsten wire. |
|
summary | ||
summary | ||
051475960 | summary | FIELD OF THE INVENTION The present invention is generally concerned with plasma devices, more particularly with the confinement, stabilization and control of plasma in fusion devices by means of plasma relaxation effects and global topological magnetic constraint for the production of particular magnetic configurations with region of toroidal plasma and divertor, most particularly with open-ended vessel systems. BACKGROUND OF THE INVENTION Toroidal confinement plasma devices are devices in which a toroidal plasma is created in the space of a vessel which may be topologically that of a torus or of a cylinder, usually axisymmetric, and is confined therein by appropriate confining magnetic fields. Toroidal plasma devices are useful in the generation, confinement and heating, and study and analysis of plasmas. In particular, these devices are useful for reacting deuterium and tritium, deuterium and deuterium or other nuclear fusible mixtures, with the production of high energy neutrons and energetic charged particles as products of the nuclear fusion reactions. At large, the problems in nuclear fusion devices are heating a dense enough plasma to a high enough temperature to enable the desired reactions to occur and confining the heated plasma for a time long enough to release energy in excess of that required to heat the plasma to reaction temperature and to maintain it thereat. The present invention is directed to the magnetic confinement of such plasma and finds particular utility in devices of this kind and their applications, including experimental devices and their use in experimentation and investigation related to plasma devices with toroidal discharges. Several toroidal confinement plasma devices have been suggested and built. Most closely related to the present invention are: tokamak devices including divertor tokamaks, z-pinch devices including Reversed-Field-Pinch (RFP) devices; and spheromak devices, including those produced or sustained by z-pinch. In devices of this type, gas is confined in a toroidal region of the vessel and is heated to form a plasma which is generally held away from the walls of the vessel by appropriate magnetic fields. The topology of the vessel in such devices may be either toroidal (tokamak, RFP) or cylindrical (spheromaks), and these devices are generally axisymmetric. A topological torus/cylinder is any geometric solid figure that can be produced by an imaginary elastic deformation of an initial axisymmetric torus/cylinder. An axisymmetric torus has a hole, i.e. a region outside the toroidal volume, in the vicinity the rotational axis (major axis), whereas a cylinder is simply-connected, implying there is no such hole. An axisymmetric device is one in which all quantities are invariant to rotation about the rotational axis. A necessary condition for the magnetic confinement of plasma in a toroidal region is that there exist sets of nested toroidally closed magnetic surfaces in this region. A magnetic surface is defined as a mathematical surface, everywhere on which the magnetic field is tangential thereto. The magnetic surface enclosing zero volume in the center of a nest is called an elliptic magnetic axis. From the devices with a toroidal confinement region, those with toroidal vessel, called toroidal devices, ideally have only nested closed magnetic surfaces. Devices with open-ended vessel have, in addition, open magnetic surfaces which intersect the two end-surfaces of the topologically cylindrical vessel, in which case they have at least one separatrix, that is one magnetic surface separating the region of open magnetic surfaces from that of closed magnetic surfaces. However, even for toroidal devices, it is sometimes found convenient to add a region with open magnetic surfaces, so as to produce a separatrix, having the role of an open-ended divertor. A divertor is a separatrix which establishes a transition between the set of magnetic nested toroidal surfaces and magnetic surfaces directed to the boundary. A divertor may have a profound influence on a plasma confinement device. Not only does it have as a primary effect, the isolation of the toroidal confinement plasma region from the surrounding region of the vessel by contributing to redirecting to the boundary impurities scraped off the wall, but it may also lead to an improved confinement state. This is illustrated by the so-called H-mode found in tokamaks, which is a regime of enhanced confinement, and requires almost always a divertor to be established. The presence of a divertor is also beneficial for ash-removal. In some toroidal plasma confinement devices, the confining magnetic field includes magnetic field components produced by currents flowing through the confined plasma itself. However, in some of these devices, such as the tokamak, the toroidal field, much larger than the poloidal field, remains essentially produced by external means. External toroidal coils then determine the plasma equilibrium and avoid instabilities. On the other hand, in other devices, the toroidal field--of comparable amplitude to that of the poloidal field--is in great part, as in RFP, or entirely, as in spheromak, produced by the plasma current itself. The equilibrium is then reached at the outcome of a self-consistent process called plasma relaxation. These may be called therefore relaxation devices. During relaxation, a plasma initially produced in an unstable state releases part of its free energy through a turbulent process till it reaches a lowest energy equilibrium state. Relaxation is a complex process of self-organization of a resistive plasma, which may involve substantial modification in its magnetic field, in particular in the topology of the magnetic surfaces. In its general behaviour, the relaxation process in relaxation devices seems to be quite well accounted for by J. B. Taylor's conjecture, Phys. Rev. Lett. 33 (1974), pp. 1139-1141. This conjecture states that very few magnetohydrodynamic (MHD) invariants from amongst the infinity of ideal MHD invariants holding for null resistivity, still hold on the time-scale of resistive relaxation. For the considered toroidal devices, the essential long-life invariant is global helicity, defined as: EQU H=.intg.A.B dV (1) the integral being performed over the total volume of the toroidal vessel. A is any potential vector of B, satisfying V.times.A=B. For open-ended devices, the definition for helicity must be substituted by a less simple one, taking into account boundary effects. Remaining invariant on a large time-scale, helicity provides therefore a central constraint, determining the final equilibrium state. If this is the unique MHD invariant on large time-scals, then the relaxing plasma decays to the lowest energy state compatible with the geometry of the vessel and the value of H. This state may be shown to satisfy the equilibrium equation: EQU .mu..sub.o J=V.times.B=.mu.B (2) where J is the current density, .mu..sub.o is the magnetic permeability at vacuum, and .mu. is a constant, independent of space, and has dimension of inverse length. The physicality of the assumption that constant helicity plays a central role in modeling of relaxation has been largely confirmed by subsequent observations on prototypes built in different laboratories: RFP, multipinch, spheromaks. In most relaxation devices, the only main additional magnetic constraint is the conservation of toroidal magnetic flux, for toroidal devices, or poloidal flux when externally imposed in open-ended devices. In such case, the stable equilibrium of the relaxed state is the solution of equation (2) with lowest .mu. among the possibly multiple solutions compatible with the values of the helicity, of the conserved flux and of the geometry of the vessel. Only that lowest energy solution, called the Taylor state, may be stable. Since no more free energy is available unless H is changed, the Taylor state is stable to ideal MHD instabilities, as well as to some resistive instabilities. However, the lowest energy solution is not necessarily the most favorable one for fusion application, in particular, as will be discussed below, when plasma pressure is taken into account. Yet the other equilibrium solutions of equation (2) are bound to decay unstably to the Taylor state, because, in present art relaxation devices, there is no additional constraint in the relaxation process to prevent this decay. In certain MHD systems, however, there may be present an additional robust invariant of topological origin. This is a homotopic invariant, implying that it is insensitive to local change of topology of the magnetic surfaces, and that it may therefore be of comparable life-time to that of global helicity having a central role in modeling relaxation. Homotopy theory is the branch of topology which deals with the continuous deformations of fields. It should be distinguished from homeomorphy, which deals with the deformation of one surface into another. Homotopy, by contrast, determines whether one field configuration can be continuously deformed into another. The set of all configurations continuously deformable one into the other is called a homotopy class. Two configurations belonging to two different homotopic classes are not continuously deformable one into the other, and therefore one will not dynamically evolve into the other, which introduces an additional constraint. Conditions can be created in MHD systems where there is more than one homotopy class for the magnetic field, each class corresponding to a different value of a homotopic invariant. Existence of such systems was proved by Finkelstein, D. and Weil, D., International Journal of Theoretical Physics, Vol. 17, No. 3 (1978), pp. 201-217. In present art plasma relaxation devices, no device takes advantage of a magnetic homotopic invariant as a topological constraint in the relaxation. Yet, as already mentioned, from all the solutions of equation (2) for a given geometry, the lowest energy one is not necessarily the most favorable one in fusion reactor context, in particular with respect to the maximal plasma pressure tolerated by the magnetic configuration. Equilibrium states obeying Equation (2) have no pressure gradient, because Vp=J.times.B. For practical purposes, real plasma must differ from Taylor state at least slightly, since real plasma must have finite pressure, and, actually, substantially high pressures are desired for fusion application. Such pressure is measured in terms of the quantity: ##EQU1## .beta. being the ratio of the mean plasma pressure to the mean magnetic pressure (here and throughout the remainder of this disclosure the system of units used is SI mks). For finite .beta., instabilities due to plasma pressure may arise, in particular the MHD interchange instabilities. The MHD stability of a magnetically confined plasma with finite pressure is dependent on the pitch of the magnetic field lines encircling the magnetic axis. In toroidal plasma devices it is customary to use instead the safety factor q where: ##EQU2## this integration being performed, for axisymmetry, along close field lines of poloidal magnetic field B.sub.p. R is the distance from major axis and B.sub.p is the toroidal magnetic component. In order to be MHD stable, toroidal plasma devices with finite pressure gradient must satisfy certain necessary conditions on q. In particular, if r is the mean minor radius of the toroidal surface, then: ##EQU3## must be large enough to satisfy relevant criteria including the Mercier criterion. s is the magnetic shear, which exerts a stabilizing effect on many classes of instabilities, particularly on MHD interchange instabilities. It has been computed (C. M. Bishop, Nuclear Fusion 26 (1986), pp. 1063-1071) that stability to these interchanges is enhanced with the presence of a divertor, and that the stability properties become better as the poloidal null-point of the divertor is moved progressively towards the inside of the torus. Thus an inner divertor on the very inside may be the best operation for a toroidal confinement plasma device. The most commonly used toroidal magnetic confinement configuration at present is the tokamak, whose principle defining characteristic is to achieve MHD stability requirements by supplying a sufficiently large toroidal magnetic field intensity B.sub.t, so as to be much higher (typically 5 to 10 times higher) than the poloidal magnetic field. The toroidal field must be provided by a large toroidal field coil system disposed around the confinement vessel. The theoretically predicted maximum .beta. is limited to be of the order of 0.10. Because of the small .beta. of the tokamak, fusion reactors based on this concept must either be large or must employ extraordinary high toroidal field strength. Reversed-Field-Pinches (RFP) devices are most readily distinguished from tokamaks, which they superficially resemble, by being relaxation devices where the toroidal field is of approximate same amplitude as that of its poloidal field. As a consequence, a RFP device can achieve the same plasma density at much lower toroidal field than the tokamak. A recent review of the RFP art has been given by Bodin, H. A. B., Krakowski R. A., and Ortolani S., Fusion Technology 10 (1986), pp 307-353. The theory of relaxation under constant helicity accounts remarkably well for the universality of the RFP equilibrium states reached after relaxation. In particular, it is observed, as predicted by the theory, that for sufficiently high current densities, so that the product of .mu. by the the minor radius of the torus exceeds the critical value of 2.4, spontaneous reversal of the toroidal field at the edge of the plasma takes place. That is, the magnetic field component sensibly parallel to the magnetic axis has a direction in the outside region of the plasma opposite to its direction in the inner region, and as a result, g(r) passes through zero and changes sign near the boundary of the plasma. In general, the magnitude of q in the RFP remains everywhere substantially smaller than 1, but the shear is relatively high and, as a consequence, the maximal .beta. achievable in RFP devices is greater than in a tokamak. .beta..sub.p may be as high as 0.4. Fusion reactors based on the RFP concept can, therefore, either be smaller or use lower magnetic fields than with tokamaks. However, the RFP device, as the tokamak, requires for its functioning toroidal field coils which link the plasma. The presence of this hard core at the center of the device introduces a most severe technological constraint in the practical design of such toroidal devices and it particularly complicates actual reactor design by requiring a toroidal blanket. In addition, the implementation of an inner poloidal divertor, considered as most suitable for enhanced stability and confinement, is rendered problematic by the presence of the hard core. In such toroidal devices, a divertor is introduced as an extraneous structure by additional coils. So far, several tokamaks have been built with poloidal divertors but none of them with an inner divertor. For RFP devices, most considered divertors divert the toroidal field, preserving the poloidal circular symmetry around the elliptic magnetic axis, and no RFP with inner poloidal divertor has been developed. The small-major radius side of these toroidal devices with inner hard core, for the RFP as well as for the tokamak, is already crowded and under high stress. An inner divertor would further complicate the design. Other relaxation devices with toroidal region of confinement have been developed which do not involve an inner hard core. These bear the generic name of spheromaks. In a spheromak, the toroidal field is produced entirely by the plasma current. This has for an advantage obviating the requirement for the toroidal field coils. Unfortunately, the spheromak does not have high shear and it has been theoretically predicted to have small maximal .beta.. There are data suggesting that interchange instability is observable in contemporary spheromak experiments (see, in particular, Wysocki, F. J., et al., Physical Review Letters, Vol. 21, p. 2457 (1988). In the spheromak, there is no reversal of the toroidal field. The spheromak has a low shear because q varies between 0.8 and 0.7 in the classical spheromak, or between 0.8 and 0 in the spheromak with a hole. Some spheromaks have plasma on open field lines, yielding some kind of divertor, but no spheromak has a unique poloidal divertor situated in the innermost part of the toroidal region. The lack of reversal and the low shear, as well as the absence of an inner divertor, are linked to the fact that the lower energy Taylor states do not satisfy these properties and that there is no additional constraint to withhold decay to these Taylor states. SUMMARY OF THE INVENTION The present invention involves a fundamentally different confinement principle, combining best advantages of spheromaks and of RFP devices in a relaxation device. The basic invention can be viewed as a RFP relaxation device in which the solid linner axial core has been replaced by a straight high-current plasma relaxation channel so as to produce a RFP with an inner divertor. A non-zero homotopic invariant is introduced, which provides an additional constraint in relaxation, provided the component of the poloidal magnetic field at the boundary of the vessel is maintained at a definite sign, which can be achieved by small currents in toroidal coils exterior to the conducting shell. The relaxation of the straight and toroidal plasma regions under the topological constraint produces an open-ended separatrix with reversed toroidal component and with one poloidal divertor, detached from the wall, and situated in the inner small major-radius side of the torus. The precise shape of the plasma can be adjusted and sustained by control of the axial current and of the poloidal magnetic field coils. Stability in the topomac (device in accordance with the present invention) is obtained by a q profile and conducting shell as in the RFP, plus the additional topological constraint. The poloidal divertor introduces high magnetic shear, and is mostly effective as the toroidal component at the divertor increases. Its innermost location is optimal to reach enhanced stability to ballooning modes which threaten to limit RFP .beta.. The topological constraint prevents the configuration to decay to a lower energy equilibrium state without inner reversed poloidal divertor, and hence with lower .beta.. In general terms, introduction of the non-zero homotopic invariant, according to the present invention, increases the maximum .beta..sub.p that can be accomodated. Like the spheromaks, the toroidal field of the topomak is essentially produced by the self-currents; moreover, the boundary poloidal fields to be produced by the external toroidal coils are small (typically one half) compared to the maximum field-amplitude created at the core of the plasma. This considerably alleviates the technical requirements concerned with having high fields in the center of the Reversed-Field-Pinch region of the plasma. The device of the present invention is distinctly different from prior art RFP, by the absence of a hard core conductor linking the plasma for providing a toroidal field, which frees it from severe engineering constraints inherent to toroidal RFP and tokamak geometries. In particular, it is distinctly different from RFP's and tokamaks involving poloidal divertor: it is distinctly different from prior art helical pinches as in T. Ohkawa's U.S. Pat. No. 4,302,284, sometimes referred to as OHTE, whose poloidal nulls are at the plasma surface rather than internal; it differs from prior art multiple pinch method, as in T. Ohkawa's U.S. Pat. No. 4,543,231, whose current channels, being surrounded by a set of nested closed magnetic surfaces are all toroidal, so that the separatrix null does not provide an open-ended divertor and the shell is toroidally closed; it is also distinctly different from the prior art doublet device, as in T. Ohkawa's U.S. Pat. No. 3,692,626, which involves tokamak current channels with large toroidal field, hence lacking reversal, and with toroidal vessel. The device of the present invention is also distinctly different from the prior art spheromaks which, while having toroidal and poloidal fields of comparable intensity, do not involve a toroidal field reversal, thus keeping .beta. low. In particular, it is distinctly different from open-ended spheromaks such as the bumpy z-pinch (Jensen T. H. and Chu, M. S., J. Plasma Physics, Vol. 25, part 3, pp. 459-464, 24 May 1980), and related spheromaks with open field-lines, which, in some of the forms discussed in literature, superficially resemble. These devices essentially adjoin a spheromak to the open field lines regions in the vessel with cylindrical topology, and as a result, there is no reversal. By contrast, the present invention, in its simplest form, adjoins to the open field lines one RFP region, hence with toroidal field reversal, allowing for high magnetic shear. This differentiation is linked to the more fundamental difference, that in the present invention there is a non-zero homotopic invariant, providing an additional constraint on the relaxation, whereas in the open-ended spheromak, there is no such invariant. Moreover, the topomak also differs from the open-ended spheromak in the respective shape of the separatrix. A spheromak has two poloidal nulls, symmetrically distant away from the midplane, whereas in the present invention, there is one unique poloidal null situated in the midplane, and in the small major-radius side of the torus. As a result, the separatrix of the topomak has the advantage that it can fulfill most efficiently the role of a divertor, that its divertor null is at optimal location for stability, and that the conducting shell can be close-fitted to most part of the boundary of the RFP region. The topomak is further differentiated from the open-ended spheromak device by their different q profiles. The open-ended spheromak profile is everywhere greater than zero, from +.infin. at separatrix down to some positive value (less than one) at elliptic axis; whereas in the topomak, q is monotonically varying from +.infin. at the separatrix down to negative values as low as -0.5 at elliptic axis (with absolute value of q less than one in most part of the toroidal region), yielding a substantially higher magnetic shear. Thus it is a primary object of the present invention to provide a toroidal Reversed-Field-Pinch (RFP) plasma within an open-ended vessel, with separatrix involving one poloidal null in the innermost part of the torus, generated by the relaxation of straight and toroidal plasma regions, using a non-zero homotopic invariant as additional constraint in relaxation. Other objects and advantages of the present invention will become evident from the consideration of the following detailed description, particularly when considered in conjunction with the accompanying drawings. |
summary | ||
summary | ||
060470372 | description | DETAILED DESCRIPTION OF THE INVENTION Referring to FIGS. 6 to 13, a control rod exchange multi-lift tool 100 according to a preferred embodiment of the present invention will be described. The multi-lift tool 100 according to the present invention is designed to save valuable outage time in a BWR by combining several lifts into one during control rod exchange or shuffle operations. This is accomplished with a single tool which combines existing technologies to lift the fuel support piece 25, the blade guide 49, and the control rod 20 all at once. When the multi-lift tool 100 of the present invention is used to exchange a control rod 20, the fuel support piece 25 and the blade guide 49 remain on the tool 100. The fuel support piece 25 forms the lower member of the tool frame as the control rod 20 is lifted from the guide tube 24. The blade guide 49 centers the whole lift and prevents hang-ups. With the multi-lift tool 100, reinsertion of the fuel support piece 25, the blade guide 49, and the control rod 20 is accomplished with a single operation. The multi-lift tool 100 also functions to unlatch the control rod 20 from the control rod drive 30 before lifting the control rod 20 from the guide tube 24. The multi-lift tool 100 comprises a frame 101 made of square electropolished stainless steel tubes 101a, 101b. The tubes 101a, 101b are connected at the top by a bolted structure 101c which houses a blade guide grapple hook 102 with its operating cylinder 103. The tool 100 also includes sheaves or rollers 104 which transfer the lift cable 105 from an off-center control rod grapple 106 through the top of the tool 100 at a point generally along the central longitudinal axis of the tool 100. The bottom of the tubes 101a, 101b of the frame 101 connect to a fuel support piece grapple 107. A control rod lifter 108 in the form of a small trolley is provided which travels the length of the tubes 101a, 101b of the frame 101 on one side of the tool 100. The control rod lifter 108 supports the control rod grapple 106 and is operable to lower the control rod 20 into its cell for latching the control rod 20 to the control rod drive 30 at the bottom of the cell. The control rod lifter 108 can also lower the control rod 20 into a storage or transfer container. The control rod lifter 108 is connected directly to the lift cable 105 and is movable on cam rollers 108a along the length of the frame 101 by raising and lowering the lift cable 105. A lowered position of the control rod lifter 108 is shown in dashed lines in FIG. 7. When the control rod lifter 108 reaches its upper limit on the frame 101, the lifter 108 abuts a stop 108s and the whole assembly of the multi-lift tool 100, along with the blade guide 49 and the fuel support piece 25, can then be raised by the lift cable 105. The control rod grapple 106 is operated by a cylinder 109 to selectively engage and disengage with the control rod lifting handle 52. A bypass arrangement 105a is connected to the lift cable 105 above the tool 100 for guiding pressure lines 109a leading to the cylinder 109 around the sheaves 104. An unlatching handle grapple 110 is provided at the lower end of the multi-lift tool 100 just above the fuel support piece grapple 107. The unlatching handle grapple 110 is operated by two cylinders 111 and 112. The first cylinder 111 positions a D-ring finger 110a into selective engagement with the unlatching handle 53 on the control rod 20. The second cylinder 112 provides a lifting force for disengaging the unlatching handle 53. The fuel support piece 25 is grappled at the lower end of the tool 100 by the fuel support piece grapple 107. The grapple 107 comprises two cylindrical members 113 having tapered lower ends. Each cylindrical member 113 has three latches 114 which are operated by a respective cylinder 115 to move into locking engagement with the fuel support piece 25. The cylinders 115, like the other cylinders 103, 109, 111, 112 of the tool 100, cannot be activated while there is a load on the support device. The weight of the total lift according to the present invention is preferably under 1,000 pounds, which is within the lift capacity of the conventional hoists 46a, 46b, 46c provided for exchanging and shuffling the control rods 20 in a BWR. Only the weight of the control rod 20 is on the hoist during unlatching (i.e., when lifting the unlatching handle 53). The multi-lift tool 100 itself weighs approximately 400 pounds. An in-vessel storage station 200 according to the present invention is shown in FIGS. 12a and 12b for storing two control rods 20 and one fuel support piece 25. The storage station 200 comprises a frame 201 which hangs from the reactor flange and is retained by hooks which go around the studs on the reactor vessel. The storage station 200 is easily lowered into position with a cable which can be attached to the handrail for easy retrieval during removal. Alternate locations outside the reactor can be arranged according to individual plant preferences. The top of the storage station 200 has three openings 202 which simulate the core support plate openings. The lower end has two cups 203 which can receive the lower end of the control rods 20. The storage station 200 is constructed of electropolished stainless steel which is welded or bolted together for ease of decontamination and movement. A plurality of pads 204 made of delrin or other suitable material interface with the reactor. A sequence of moves involved in changing or shuffling a control rod using the multi-lift tool 100 according to the present invention will now be described with reference to FIG. 13 of the drawings. With the control rod fully inserted in the control cell, two diagonally opposed fuel assemblies 26 are removed one at a time from the control cell with the main grapple and transported to storage in the spent fuel pool, to an in-vessel storage rack, or to another core location as part of a fuel shuffle. A blade guide 49 is transported on the main grapple from a storage location and inserted into the open holes of the control cell. The remaining two fuel assemblies 26 are then removed one at a time from the cell with the main grapple and are stored in the pool or another location. The operation up to this point is the same as in the conventional procedures. The multi-lift tool 100 is then placed into the cell with the control rod 20 fully inserted. The cylinders on the multi-lift tool 100 are actuated to grapple the fuel support piece 25, the blade guide 49, and the control rod 20. Video cameras are inserted into the open holes of the control cell (i.e., the holes without the blade guide 49 positioned therein) to verify the latching operations. The multi-lift tool 100 is then actuated to grapple the unlatching handle and unlatch the control rod 20 from the control rod drive 30 with the control room giving a continuous withdraw signal. The control rod drive 30 is then withdrawn to the overtravel position. The whole assembly is then lifted from the control cell and moved to a new control rod storage position. This is represented by step (1) in FIG. 13. This storage position can include, for example, the in-vessel storage station 200 or a suitable rack in the spent fuel pool. The old control rod 20 and fuel support piece 25 are then discharged, and the multi-lift tool 100 is moved to another part of the storage station and attached to a replacement control rod 20 and fuel support piece 25 for the cell. The multi-lift tool 100 then carries the assembly comprising the new control rod, the original blade guide 49, and the new fuel support piece 25 to a position above the control cell. This is represented by step (2) in FIG. 13. The multi-lift tool 100 then places the new assembly into the control cell and lowers the control rod 20, thereby seating the fuel support piece 25, the blade guide 49, and the control rod 20 in the control cell. The placement and alignment of the fuel support piece 25 onto the anti-rotation pin is verified with a camera in one of the two open holes of the control cell. The multi-lift tool 100 is then withdrawn. With the control rod 20 raised to its fully inserted position, two fuel assemblies 26 are installed in the positions not occupied by the blade guide 49. The blade guide 49 is then removed, and two more fuel assemblies 26 are installed in the positions from which the blade guide 49 was removed. A number of interlocks and safety features are built into the multi-lift tool 100 according to the present invention. For example, the control rod grapple 106 has a retaining barb 116. The operating cylinder 109 does not have the power to overcome the weight of the control rod 20 against the control rod grapple 106 with the retaining barb 116 at its outer edge. The lift cable 105 is attached directly to the control rod grapple 106 so that its function cannot be bypassed when the tool 100 is moved under load. Due to the sliding trolley arrangement, the control rod 20 cannot be lowered out of the lift assembly without the bottom end of the assembly being supported. The blade guide grapple 102 also has a barb 117 to retain the handle of the blade guide 49. While the fuel support piece 25 is attached, the blade guide grapple 102 is redundant since the fuel support piece 25 prevents the blade guide 49 from being lowered. The blade guide grapple 102 is barbed to prevent the blade guide 49 from moving and is hinged in a way which would support the blade guide 49 if the power is shut off. The multi-lift tool 100 lifts the blade guide 49 with the control rod 20. Since this assembly is never completely below the grid 51, there is a greatly reduced chance of any type of hang-up either going down through the grid 51 or in the removal process. No hoist overloads are necessary for this reason. When the tool 100 is empty, the tubes 101a, 101b of the frame 101 function as a guide to locate the tool 100 centrally in the grid opening. The unlatching handle grapple 110 can be left in its unlatched position for added lifting safety during transport if desired. The fuel support piece 25 is grappled by the two bullet nosed grapples 107. Each bullet nose 113 has three latches 114 which cannot be actuated when the weight of the fuel support piece 25 is on them. This is a double redundant system since the latches 114 must move up in order to unlatch and there are two direct support paths (i.e., two bullet noses 113), each with three latches 114. During raising and lowering of the control rod 20, the blades of the control rod 20 are guided by the blade guide 49 which is, at that time, integral with and supported by the tool 100. Thus, all surfaces of the blades of the control rod 20 are protected during movement with the tool 100 in the same manner as during operation of the BWR. Safety interlocks with the hoist are maintained for the control rod 20 withdrawal because the lift cable 105 only lifts the control rod 20 during the unlatching operation. After the control rod 20 is unlatched and the control rod drive 30 is withdrawn, the trolley 108 is raised to the hardstop 108s on the frame 101 and only then does the weight of the other members rest on the lift cable 105 of the hoist. Corrosion resistance is enhanced by the use of stainless steel parts. Where possible these parts are electropolished for ease of decontamination. Aluminum parts can be used for certain components, but must receive a hard anodized coating to resist corrosion. Loose parts are controlled predominantly by lock wiring since most of the construction of the tool 100 embodies bolting methods. In some isolated instances, a locking agent can be used to prevent loosening of parts, particularly if the part is not subjected to significant torque. Bent tab keepers can also be used to prevent loosening of parts on the tool 100. The reactor water will provide sufficient lubrication to permit smooth operation of the moving parts of the tool 100. The cylinders 103, 109, 111, 112, and 115 of the tool 100 are preferably air cylinders which will perform optimally using 90-120 psig air supplies. The end of the lift cable 105 is adaptable for use with any desired hoisting device positioned over the BWR. The core configuration for support of the tool 100 does not need to be changed after initial removal of fuel and installation of the blade guide 49. The tool 100 removes the control rod 20, the blade guide 49, and the fuel support piece 25 together. The control rod drive 30 is retracted during the unlatching of the control rod 20 within the tool 100. The installation of the control rod 20 using the tool 100 takes place in the same manner, except the control rod 20 is lowered to the control rod drive 30. The whole tool 100 is inserted into the control cell guided by the existing blade guide 49 and the control rod 20. Once installed, the top of the tool 100 is still above the grid 51 allowing ease of removal guided by the blade guide 49. The bottom of the assembly of the tool 100, the blade guide 49, and the control rod 20 is stiffened by the fuel support piece 25. Each of the operation cylinders 115 for the fuel support piece grapple 107 has a double ended shaft which can be used to visually determine the position of the latches 114. If the latches 114 are not extended, the cylinders 115 will not stroke. Visual verification is possible through the two fuel positions which are not occupied by the blade guide 49. One cell is removed completely with the fuel support piece 25. The control rod 20 is then placed in an intermediate position such as a so-called gun barrel or in-vessel storage station 200 (FIGS. 12a, 12b). A new control rod 20 is retrieved from the same intermediate position, and the assembly is returned to the original cell as a whole for relatching. The fuel support piece 25 may be released onto the same intermediate position in unusual situations, such as during replacement of the fuel support piece 25. The tool 100 is capable of placing the control rod 20 into the gun barrel, but not with the fuel support piece 25 on the tool. The fuel support piece 25 must be set down on the in-vessel storage station 200 or another gun barrel before the control rod 20 can be lowered fully. Modification of the gun barrel by cutting down the height by 20 inches or adding a spool support piece inside the barrel are alternate solutions. The multi-lift tool 100 according to the present invention solves many of the problems with conventional tools for exchanging and shuffling control rods. For example, the multi-lift tool 100 is not subject to the problem of jamming under the grid 51 because part of the tool 100 always remains above the grid 51. In addition, the blade guide 49 becomes an integral part of the tool 100 during the lift operation providing guidance in the horizontal and rotational directions at all times after the assembly enters the grid 51. Access for video cameras is possible through the two fuel locations not taken up by the blade guide 49. Slack cable is not an issue because the trolley 108 can be made to weigh the necessary amount to draw the lift cable 105 into the tool 100. The multi-lift tool 100 is suited to either of the hoists 47a, 47c available on the refuel bridge. The total weight of the tool 100 with the attached blade guide 49, control rod 20, and fuel support piece 25 is less than 1000 pounds. Therefore, the hoist used can be the one with the most accessibility for the user, thereby improving safety. Moreover, the tool 100 can be designed to be used with any of the hoists 47a, 47b, 47c available on the refuel floor by making it usable with either a rigid pole system fitting or a threaded connection on the end of the lift cable. No batteries or electrical connections are required for the verification processes associated with the multi-lift tool 100. All of the grapples 102, 106, 107 can be observed at the same time through the frame for positive verification of engagement. The position of the fuel support piece grapples 107 is observed by the position of the top of the double ended actuation cylinders 115. Therefore, it is not necessary to use sensors with the tool 100. Rotational positioning of the fuel support piece 25 is controlled at the entrance to the grid 51. After this point, there is no possibility of the fuel support piece 25 rotating due to the presence of the blade guide 49 as part of the assembly. Engagement with the grid 51 is maintained throughout the lowering and raising of the assembly. The unlatching handle 53 is grappled by the unlatching handle grapple 110 using the two cylinders 111, 112. The cylinders 111, 112 are directly connected to the frame 101 which, in turn, is stiffened by the presence of the fuel support piece 25. The two cylinders 111, 112 are operated to provide easy access and unlatching of the control rod 20. Since the tool 100 does all the operations associated with the control rod 20 changeout, no time is wasted changing out between tools, as in the conventional changeout procedures. Additional savings are realized in dose reduction. The unlatching operations are accomplished with an unlatching handle grapple 110 designed for maximum vertical flexibility. The vertical height depends on the vertical cylinder 112 operating the unlatching handle grapple 110. The unlatching handle grapple 110 is designed to sustain the weight of the control rod 20, however this is not the primary method of supporting the control rod 20. The main control rod grapple 106 is at the top of the multi-lift tool 100. When both grapples 106 and 110 are latched there is redundancy in the lift. The unlatching handle grapple 110 can be eliminated from the lift sequence if desired due to the unique application of the trolley lift. The blade guide 49 becomes an integral part of the positioning of the control rod 20 within the tool 100 and provides the necessary guidance. Two fuel positions are essentially open in the control cell to allow camera access to the entire length of the tool 100. This same access provides clearance to insert a conventional rigid pole system for remedial action should that ever be required. The multi-lift tool 100 has no unguided air hoses below the grid 51 because a portion of the tool 100 is always above the grid 51. Only the air hose cluster, the lift cable 105, and a guide rope (not shown) extend to the surface. The guide rope is used to counter rotation of the tool 100 before it enters the grid 51. The rotation is usually caused by the lift cable 105 unwinding as it is played out from the hoist. The multi-lift tool 100 according to the present invention has the potential of changing a two hour exchange of the control rod 20 into a half hour exercise without hangups. This adds a great deal of consistency to the operation. Since the unlatching of the control rod 20 takes place with the control rod 20 in the up position, some communication time can be saved with the control rod drive operation. Unlatching is allowed with the control rod 20 inserted because the blade guide 49 travels with and becomes part of the multi-lift tool 100. The following Table 1 shows a comparison of the typical times required for the control rod replacement procedures using the conventional tools and using the improved multi-lift tool 100 of the present invention. TABLE 1 ______________________________________ Time Comparison for Blade Replacement Operations Current Time Multi-Lift Operation (min) Time (min) ______________________________________ a. BG cell to hanging 5 b. FSP cell to hanging 13 c. CR cell to pool rack 36 15 d. CR pool rack to cell 27 15 e. FSP hanging to cell 20 f. BG hanging to cell 8 Total Time 109 30 ______________________________________ The savings noted in the above table results in 79 minutes per cell exchange, or approximately one hour. If this exchange is made while the BWR is on critical path at a rate of approximately $10,400 per hour, the savings is as follows: ______________________________________ 10 Blades Replaced $104,000 Savings 20 Blades Replaced $208,000 Savings ______________________________________ Thus, the cost of the new multi-lift tool 100 can be easily justified in just one outage. Similarly, a control rod shuffle can be accomplished very quickly changing a cell operation from 4 hours to around 1 hour due to the elimination of steps. The use of two multi-lift tools according to the present invention could further enhance this operation or the exchange noted above. It will be appreciated that the present invention is not limited to the exact construction that has been described above and illustrated in the accompanying drawings, and that various modifications and changes can be made without departing from the scope and spirit thereof. It is intended that the scope of the invention only be limited by the appended claims. |
056384149 | summary | BACKGROUND OF THE INVENTION The present invention relates to a method for detecting the failure of nuclear reactor fuel. It is generally available for the detection of fuel failure, providing confirmation as to whether a fuel assembly used in a nuclear fission reactor ("nuclear reactor") is failed or not and, if failed, capable of identifying failed fuel element and the scale of the failure. The invention relates in particular to a method for detecting the failure of a nuclear reactor fuel by means of emission computer tomography (ECT) utilising the radioactivity of the fuel itself. The method is available not only for fuel assemblies in fast reactors, light water reactors, heavy water reactors and other nuclear reactors but can also be extensively used for inspection to identify sources of failure. A method for identifying the failure of a nuclear reactor fuel assembly comprises the following steps: (1) to collect information on the occurrence of failure in a nuclear reactor; (2) to identify the approximate location of the failure in the reactor core; and (3) to identify failed fuel assemblies. (1) Collection of information on the occurrence of failure in a nuclear reactor (a) CG method (cover gas method) In a fast reactor, liquid sodium is used as a coolant and argon gas fills the space above the liquid sodium as a cover gas. When a fuel assembly fails, fission product (F.P) gas is released, and this will be contained in the cover gas. Therefore, it is possible to detect failure of the fuel assembly by sampling the cover gas and finding out whether the F.P gas is present in the cover gas or not. (b) DN method (delayed neutron method) When a fuel assembly fails, nuclides such as iodine, bromine, etc., which emit delayed neutrons, migrate into the liquid sodium. Thus, it is possible to detect failure of the fuel assembly by sampling the sodium with nuclides which delayed neutrons emit. (2) Identification of the approximate position of a failed assembly in a reactor core (a) FLT method (flux tilting method) A fast reactor is operated by passing a primary system coolant in a plurality of loops. When a fuel assembly fails somewhere in the reactor core, nuclides which emit neutrons reach the primary system loop. In this case, there is a difference in the duration of time taken to reach the loop which depends upon the location of the failed assembly in the core. Therefore, it is possible to identify approximately in which zone the failure has occurred by sampling the liquid sodium in each loop and finding out the time difference for the neutrons to reach the loop. (b) S/V method (selector valve method) A plurality of ducts for sampling are provided in a nuclear reactor, and by sampling liquid sodium from each of the ducts by means of a pump, it is possible to identify approximately where the fuel failure has occurred. (3) Method to identify a failed fuel assembly (a) TG method (tag gas method) Different types of special gas are placed in each of the fuel assemblies, and so, by detecting the gas coming out of a failed fuel assembly, it is possible to detect in which fuel assembly the failure has occurred. (b) Sipping method A cap is placed on the head of each fuel assembly through which liquid sodium is sipped by means of a pump, and it is possible to identify a failed fuel assembly by finding out whether the F.P gas is contained or not. By the methods (1)-(3) described above, the failed fuel assembly can be ultimately identified. To identify further in which fuel element in the fuel assembly the failure has occurred, it has been the practice in the past to disassemble each fuel assembly and to perform post-irradiation tests on each of the fuel elements. Since this must be carried out for each of the fuel elements, it is a very inefficient method and much time and labor are required before the failure is finally confirmed. SUMMARY OF THE INVENTION The present invention is proposed to solve the above problems. It is an object of the present invention to provide a method by which it is possible to identify a failed fuel element without disassembling a fuel assembly. It is another object of the present invention to provide a method by which it is possible to identify failed fuel elements and so find out the scale of the damage in terms of the number of the failed fuel elements. It is another object of the invention to identify failed fuel elements and the scale of the damage accurately and quickly. It is another object of the invention to detect failed fuel at low cost. It is still another object of the present invention to detect fuel failure without discharging radioactive waste. The method for detecting failure of nuclear reactor fuel which is the subject of the present invention attains the above objects. It is characterised by the relative rotation and translation of the fuel assembly and a detection of the gamma radiation it emits. The detector data is acquired and processed to construct and display a tomographic image of the radiation intensity distribution over the cross section of the fuel assembly. Failed fuel elements are detected by variations in the radiation intensity in the tomographic image. Alternatively, the detector is placed opposite to the gas plenum of fuel elements in a reactor fuel assembly and the radiation emitted by the FP gas nuclides is detected. In the present invention, a tomographic image of radiation intensity distribution for a fuel assembly is obtained by the ECT method using the radioactivity of the fuel itself as the radiation source to identify the position of the failed fuel element in the fuel assembly. Accordingly, there is no need to disassemble the fuel assembly as in the past and to perform post-irradiation tests on each of the fuel elements. Because the failed fuel element can be found and the scale of the damage can be identified without disassembling the fuel assembly, it is possible to detect the damage accurately, quickly and economically without discharging radioactive waste. |
summary | ||
059057718 | description | DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS Referring to FIG. 2, one type of core shroud 18 comprises a shroud head flange 18a for supporting the shroud head 28; a circular cylindrical upper shell section 18b welded to shroud head flange 18a; an annular top guide support ring 18c welded to upper shell section 18b; circular cylindrical top and bottom mid-core shell sections 18d and 18e joined at core mid-plane weld 50, with top section 18d welded to top guide support ring 18c and bottom section 18e welded to an annular core plate support ring 18f; and a lower shell section 18g welded to the core plate support ring 18f. The top and bottom sections 18d and 18e of the mid-core shell section are of equal diameter. The diameter of upper shell section 18b is greater than the diameter of mid-core shell sections 18d and 18e, which is in turn greater than the diameter of lower shell section 18g. The entire shroud is supported by shroud support 51, which is welded to the bottom of lower shell section 18f, and by annular shroud support plate 52, which is welded at its inner diameter to shroud support 51 and at its outer diameter to RPV 10. All of the aforementioned welds extend around the entire circumference of the shroud and constitute the shroud girth seam welds. Stress corrosion cracking has been found in the shroud girth seam welds or heat affected zones thereof. In accordance with the preferred embodiment of the present invention, a plurality of shroud splice bracket assemblies are arranged around the shroud circumference at the elevation of the stress corrosion cracking. The purpose of these bracket assemblies is to structurally replace the shroud girth seam welds which are potentially weakened by cracks. Brackets may be installed only at welds found to have cracking, or at all vertical weld seams to protect against future cracking. Each splice bracket is fastened to the shroud above and below the crack elevation in a manner which will prevent relative movement across the shroud girth seam welds. As seen in FIGS. 2 and 3, in accordance with the repair technique of the present invention, a plurality of bracket assemblies 60a, 60b and 60c are installed at different elevations: one for reinforcing cracks in the welds joining shroud head flange 18a to upper shell section 18b; one for reinforcing cracks in the top guide support ring 18c; and one for reinforcing cracks in the core mid-plane weld 50. Bracket assembly 60a has a bracket 62a for splicing shroud head flange 18a to upper shell section 18b when cracking occurs in the girth welds joining those sections. Preferably, bracket 62a is a curved plate having a radius of curvature approximately equal to the outer radius of upper shell section 18b. As seen in FIG. 3, bracket 62a is provided with a notch for each shroud head bolt lug 54 to be circumvented. Bracket 62a is fastened to upper shell section 18b by two tapered pins 66 and to shroud head flange 18a by two tapered pins 66', pins 66' being longer than pins 66. Bracket assembly 60b has a bracket 62b for splicing upper shell section 18b to top section 18d of the mid-core shell section when the top guide support ring is cracked. Preferably, bracket 62b is a welded assembly of curved plates, the upper curved plate having a radius of curvature approximately equal to the outer radius of upper shell section 18b and the lower curved plate having a radius of curvature approximately equal to the outer radius of top section 18d of the mid-core shell section. Bracket 62b is fastened to upper shell section 18b and to top section 18d of the mid-core shell section by respective pairs of tapered pins 66. Finally, bracket assembly 60c has a bracket 62c for splicing top section 18d to bottom section 18e of the mid-core shell section when the core mid-plane girth weld is cracked. Preferably, bracket 62c is a curved plate having a radius of curvature approximately equal to the outer radius of the mid-core shell section. The bracket 62c is fastened to top section 18d and to bottom section 18e of the mid-core shell section by respective sets of three tapered pins 66. In accordance with the preferred embodiment of the invention, each bracket is fastened to the shroud using tapered pin assemblies 64 which couple with aligned holes in the bracket and shroud. As seen in FIG. 4, the holes 72 in the bracket and holes 74 in the shroud wall are circular cylindrical and of equal diameter. Holes 74 are remotely machined in the shroud wall by a conventional electric discharge or other suitable machining technique. Preferably the holes in the shroud are mutually parallel, as are the holes in the splice bracket. The brackets may be provided with raised bearing pads (not shown in FIG. 4) which extend around the periphery of holes 72. These pads allow local machining to precisely match the contact surface of the bracket to the curved external surface of the shroud wall. FIG. 4 shows bracket 62c fastened to the top and bottom sections 18d and 18e of the mid-core shell section by tapered pin assemblies 64 in accordance with the preferred embodiment of the invention. Each tapered pin assembly comprises a threaded tapered pin 66, a split sleeve 68 and a threaded nut 70. The tapered pin has threaded portion 66b and a socket 66c on one end and an external conical, i.e., tapered, surface 66a on the other end. Each tapered pin 66 is held inside the holes 72, 74 by split sleeve 68 and threaded nut 70. When fully installed, the tapered portion of pin 66 is encased by split sleeve 68. The sleeve, shown in detail in FIG. 5, has a longitudinal slot 69 which allows the sleeve to be flexed radially outward into a configuration having an expanded diameter. The flexed sleeve 68 has an internal conical surface 68a which matches the external conical surface 66a of pin 66; an external surface 68b having a radius of curvature which matches the radius of curvature of hole 72 in the bracket and hole 74 in the shroud; and a raised flange 68c to act as an axial position stop. The tapered pin assemblies in accordance with the invention can be entirely inserted from one side of the shroud. Prior to insertion, the unflexed sleeve 68 is slid onto the tapered pin 66 and then the nut 70 is threaded onto the pin for a number of turns sufficient to hold the unflexed sleeve 68 in place. At this stage, the diameter of annular flange 68c is less than the diameter of the holes 72, 74 in the bracket and shroud wall, allowing the sleeve 68 to pass through the holes. The assembly is then pushed through the aligned holes in the bracket and shroud wall until the nut 70 abuts the bracket 62c. Once the raised flange 68c of the sleeve 68 overlaps the inner edge of hole 74 in the shroud wall 18d, nut 70 is tightened to pull tapered pin 66 back until the assembly is seated, i.e., the annular flange 68c on the sleeve latches behind the shroud wall. During this operation, the sleeve is held in place initially by a thrust plate on the tool (not shown), reacting between the nut and the sleeve, and then after some expansion, by the raised flange bearing against the inner circumferential surface of the shroud wall. Higher axial load is then applied by torquing or tensioning. This applies a contact pressure between the pins, sleeve, bracket and shroud. The nut 70 has internal threads which engage the external threads on the threaded portion 66b of pin 66. During installation of the tapered pin assembly 64, a tool is inserted into socket 66c of pin 66 to prevent pin rotation. Alternatively, the socket on the end of the tapered pin can be replaced by a slot. A tool with a hexagonal socket is coupled to the hexagonal head 70a of nut 70 and used to remotely tighten nut 70. Nut 70 further comprises a flange 70b which has a circumferential flange of radius greater than the radius of hole 72. Thus, when nut 70 is tightened, the flange 70b bears against the bracket 62c on the perimeter of hole 72, not against the end of the sleeve. The outwardly flexed sleeve 68 has an outer circular cylindrical surface 68b of radius equal to the radius of circular holes 72 and 74. The tapered portion 66a of pin 66 applies increasing pressure on sleeve 68 during pin tensioning. When pin 66 is tensioned to the desired amount, nut 70 is tack-welded to the threaded portion 66b of pin 66 to lock the assembly in place. The tensioned and tack-welded pin assembly exerts a radially outwardly directed contact pressure on the cylindrical surfaces of the aligned circular holes 72, 74 respectively formed in the bracket and shroud, to hold the assembly securely in place. A stud tensioning device can be used to apply large contact pressures, which result in a large friction force that will react loads axial to the shank assembly. Alternatively, where blind installation is not required, the sleeve flange and the head of the tapered pin could be large so that the required preload is small. A splice bracket suitable for bridging a girth seam weld at an elevation where no change in diameter occurs, such as the shroud portion shown in FIG. 4, could be a piece of plate which is curved to conform to the shroud. If the repair is needed at an elevation where a change in shroud diameter occurs, e.g., at the top guide support ring 18c or at the core plate support ring 18f, the bracket could be a casting, forging or welded plate assembly (such as assembly 62b in FIG. 2). The thickness of the plate is selected based on the available space and the structural requirements. The preferred thickness is in the range of 1 to 3 inches. The number and location of the tapered pins is selected based on the maximum structural loads that must be carried by the girth seam weld splice brackets. The preferred configuration is four pins per bracket. However, six, eight, twelve or more pins could be used. The size of the bracket in the circumferential direction is determined based on the space available. The preferred width is approximately 3 to 5 feet. The height of the brackets is approximately 1 to 5 feet. Each pin assembly has an outside diameter of between 2 and 5 inches. Referring to FIG. 6, another type of core shroud has the same structure as the shroud shown in FIG. 2, except that the top and bottom mid-core shell sections 18d and 18e are respectively welded to a middle mid-core shell section 18h. The top mid-core shell section 18d is joined to the middle mid-core shell section 18h at an upper mid-plane girth weld 50a; the bottom mid-core shell section 18e is joined to the middle mid-core shell section 18h at a lower mid-plane girth weld 50b. Each mid-core shell section (18d, 18e and 18h) consists of two 180.degree. half-shell sections which are joined at a pair of vertical seam welds--welds 56a, 56b for top mid-core shell section 18d; welds 58a, 58b for middle mid-core shell section 18h; and welds 56c, 56d for bottom mid-core shell section 18e. The vertical seam welds 58a, 58b are azimuthally staggered relative to the vertical seam welds 56a-56d. This arrangement of vertical seam welds is exemplary. Shrouds in other BWRs have different shroud section configurations, e.g., a shell comprising three 120.degree. shell sections welded together. In accordance with a second preferred embodiment of the invention, a respective shroud splice bracket assembly is arranged to bridge each respective cracked vertical seam weld. As seen in FIG. 6, in accordance with one arrangement, each vertical seam weld 56a-56d is bridged by one splice brackets 80 and each vertical seam weld 58a and 58b is bridged by a pair of splice brackets. The purpose of these bracket assemblies is to structurally replace the shroud vertical seam welds which are potentially weakened by cracks. Brackets may be installed only at welds found to have cracking. Each splice bracket 80 is fastened to the shroud to the left and to the right of the vertical seam weld in a manner which will prevent relative movement across the shroud vertical seam welds. Preferably, each splice bracket 80 is a curved plate having a radius of curvature approximately equal to the corresponding radius of the shroud shell section. The splice bracket 80 is fastened to a shroud shell section by four tapered shank assemblies 82--two on each side of the vertical seam weld. In accordance with the second preferred embodiment of the invention, each vertical seam weld splice bracket 80 is fastened to the shroud using tapered shank assemblies 82 which couple with aligned holes in the bracket and shroud. FIG. 7 shows a vertical seam weld splice bracket fastened on the interior of the shroud wall. However, the vertical seam weld splice brackets can also be fastened to the exterior of the shroud wall, in which case the direction of the tapered shank assemblies is reversed. Referring to FIG. 8, the fully installed tapered shank assembly 82 fits tightly in a hole 84 in the bracket 80 and a hole 86 in the shroud wall. Holes 84 and 86 are both circular cylindrical and of equal diameter. Holes 86 are remotely machined in the shroud wall by a conventional electric discharge or other suitable machining technique. The bracket 80 has raised bearing pads 88 (see FIGS. 7 and 8) which extend around the periphery of holes 84 in the splice bracket. These pads allow local machining to precisely match the contact surface of the bracket to the curved internal surface of the shroud wall. The assembly 82 for fastening a splice bracket 80 across a vertical seam weld in the middle mid-core shell section 18h comprises a tapered shank 90, a split sleeve 92 and a threaded shear bolt 94. The tapered shank 90 has a conical external surface 92a and a central blind threaded hole 92b which is coaxial with tapered surface 92a. The tapered shank 90 is held inside the holes 84, 86 by split sleeve 92 and threaded shear bolt 94. When fully installed, the tapered portion 90a of shank 90 is encased by split sleeve 92. The split sleeve has a longitudinal slot (not shown in FIG. 8) which allows the sleeve to be flexed radially outward into a configuration having an expanded diameter. The flexed sleeve 92 has an internal conical surface 92a which matches the external conical surface 90a of shank 90; an external surface 92b having a radius of curvature which matches the radius of curvature of hole 84 in the bracket 80 and hole 86 in the middle mid-core shell section 18h; and a raised flange 92c to act as an axial position stop. The tapered shank assemblies in accordance with the invention can be entirely inserted from one side of the shroud. Prior to insertion, the unflexed sleeve 92 is slid onto the tapered shank 90 and then the threaded shank 94a of shear bolt 94 is threaded into the central blind threaded hole 90b of tapered shank 90 for a number of turns sufficient to hold the unflexed sleeve 92 loosely on the shank. At this stage, the diameter of annular flange 92c is less than the diameter of the holes 84, 86 in the bracket and shroud wall, allowing the sleeve 92 to pass through the holes. The assembly is then pushed through the aligned holes in the bracket and shroud wall until the bolt abuts the bracket 80. Once the raised flange 92c of the sleeve 92 overlaps the inner edge of hole 86 in the shroud wall 18h, bolt 94 is tightened to pull tapered shank 90 back until the assembly is seated, i.e., the annular flange 92c on the sleeve latches behind the shroud wall. The flange 92c is designed to prevent the assembly from pulling apart axially. However, the major loads are the shear loads transmitted from the shroud to the splice plate. When higher axial load is applied by torquing or tensioning the bolt, the fastener assembly is fit tightly into the holes to form a rigid shear fastener joint. This can apply a contact pressure between the shank, sleeve, bracket and shroud. The central blind hole 90b has internal threads which engage the external threads on the threaded shank 94a of shear bolt 94. During torquing of the shear bolt, the friction between the tapered external surface 90a of shank 90 and the tapered internal surface 92a of sleeve 92 prevents shank rotation. A tool with a hexagonal socket is coupled to the hexagonal head 94b of bolt 94 and used to remotely tighten the bolt. The shear bolt 94 further comprises a flange 94c which extends axially from the bolt head. Bolt flange 94c has an inner radius greater than the radius of hole 84. Thus, when shear bolt 94 is tightened, the bolt flange 94c bears against the bracket 80 on the perimeter of hole 84, not against the end of the sleeve. The outwardly flexed sleeve 92 has an outer circular cylindrical surface 92b of radius equal to the radius of circular holes 84 and 86. The tapered portion 90a of shank 90 can apply increasing pressure on sleeve 92 during bolt torquing or tensioning. When the shear bolt 94 is tightened the desired amount, the shear bolt is locked against loosening relative to the tapered shank 90 by a ratchet lock washer 96. Referring to FIGS. 8 and 10, the ratchet lock washer 96 comprises a spring 96a, a locking tang 96b integrally joined to one end of spring 96a and a locking tooth 96c integrally joined to the other end of spring 96a. The lock washer is fabricated with the locking tang disposed horizontally. Thereafter, the tang is bent 90.degree., to the position shown in FIG. 8. In the locking position, the tang 96b extends into and through a hole 98 (see FIG. 9) formed in the bolt head 94b and the locking tooth 96c meshes with the ratchet teeth 90c formed on the endface of the tapered shank 90. The hole 98 communicates with an annular recess between the bolt shank 94a and the bolt flange 94c. The ratchet teeth are configured to allow the locking tooth 96c to slide over the ratchet teeth as the shear bolt rotates in the direction of tightening, while providing a positive lock against bolt rotation in the detorquing or loosening direction. The lock washer spring 96a consists of one turn of a flat coil. During tightening of the shear bolt, the spring is compressed so that the locking tooth engages the ratchet teeth with a preload. Because the locking assembly resides in the annular recess between the bolt shank 94a and the bolt flange 94c, the bearing area for the structural load path of the shear bolt, i.e., the area of contact between the bolt flange 94c and the splice bracket 80, is separate from the bearing area of the lock washer. As a consequence, the main bolt load path does not go through the lock washer. The lock washer is free to flex independent of the bolt, allowing it to follow or cam against the ratchet teeth contour during rotation without resulting in variation of the bolt-applied preload. In addition, the spring accommodates a certain amount of tolerance in the relative axial positions of the shear bolt and shank assembly. The outer radius of lock washer spring 96a is slightly less than the inner radius of bolt flange 94c. This snug fit causes the spring to bear against the bolt flange 94c if the coil is flexed radial outward, for instance, as a result of the shear bolt 94 being urged to rotate in the direction of bolt loosening. The interference of the bolt flange blocks further radially outward expansion of the spring and, as a consequence, blocks bolt rotation in the direction of bolt loosening. The distal end of the locking tang 96b protruding out of the shear bolt head 94b serves as an indicator that the shear bolt is locked against rotation in the direction of bolt loosening. To test the locking status, the tang distal end is pushed in. If the tang resists inward deflection with resilience, this indicates that the spring is supported between the bolt head and the ratchet lock washer. If the tang does not resist inward deflection, then the spring is loose inside the annular recess and the locking tooth is not meshed with the ratchet teeth, indicating that the shear bolt is not locked or the lock washer needs to be replaced. In accordance with an alternative lock washer construction 96' shown in FIG. 11, the locking tang 96b and locking tooth 96c are connected to the same end of the spring 96a. The locking tang 96b is provided with a recess 100 formed in a distal portion of the tang which protrudes beyond the plane of the bolt head 94b when hole 98 therein is penetrated by the locking tang. The recess 100 enables the distal end of the tang 96b to be grasped by a suitable tool. When the tang is pulled axially away from the ratchet teeth 90c, the locking tooth 96c can be disengaged from the ratchet teeth, freeing the shear bolt 94 for rotation relative to the shank in the direction of bolt loosening. The connection of the locking tang to the end of the spring which carries the locking tooth allows the bolt to be loosened without damaging the ratchet lock washer. In this alternative embodiment, however, the locked condition is indicated by resilient resistance when the locking tang is pulled axially outward. A further feature of the locking arrangement shown in FIG. 11 is that the ratchet teeth, instead of being machined into the endface of the tapered shank 90, are formed on a separate ratchet tooth washer 102. As seen in FIG. 12 , the ratchet tooth washer 102 is a flat annular ring having a multiplicity of radially oriented teeth 102a circumferentially distributed on one side thereof. The ring surrounds the entrance to hole 84 in the splice bracket. A locking pin 102b extends axially from the opposite side of the washer and is configured to fit snugly inside a blind hole 104 formed in the tapered shank 90. The ratchet tooth washer 102 is installed in the annular recess between the bolt shank 94a and the bolt flange 94c with minimal play to ensure against translation of the ratchet tooth washer 102 in a plane perpendicular to the axis of the bolt shank. The engagement of pin 102b in blind hole 104 prevents rotation of the ratchet tooth washer 102 about the shank axis. If the fastener assemblies are tensioned to exert a radially outward contact pressure, the resulting tensile stresses in the shroud could be of concern in highly irradiated steel. This concern can be mitigated by applying a noble metal (e.g., platinum or palladium) coating to the fastener assembly or by alloying appropriate noble metals with the fastener assembly materials. The noble metal will catalyze the recombination of water, thereby reducing the susceptibility of the shroud material to stress corrosion cracking. As used herein, the term "noble metal" includes platinum group metals and mixtures thereof. In accordance with an alternative embodiment, the split sleeve can be replaced by a plurality of tapered sleeve segments. The angle of the tapered sleeve segments is dependent on their number. For example, if there are two sleeve segments, then each one covers slightly less than 180.degree.. If there are three sleeve segments, then each one covers slightly less than 120.degree.. The number of sleeve segments may be two or more, so long as the sum of the angles is less than 360.degree. by an amount which allows the sleeve segments to pass through the holes in the bracket and shroud wall. Each sleeve segment has a precise internal taper which matches the external conical taper of the pin; an external surface having a radius of curvature which matches the radius of curvature of the holes in the shroud and in the splice bracket; and a raised flange to act as an axial position stop. The use of a plurality of sleeve segments, unlike the split sleeve, requires employment of a special tool to hold the sleeve segments in place during installation of the tapered pin assemblies. The preferred embodiments of the invention have been disclosed for the purpose of illustration. Variations and modifications of the disclosed structures which do not depart from the concept of this invention will be readily apparent to mechanical engineers skilled in the art of tooling. For example, it will be apparent that the lock washer and ratchet tooth washer could be installed in the annular recess between the nut 70 and the sleeve 68 of the tapered pin assembly shown in FIG. 4, with the lock washer coupled to the nut and the ratchet tooth washer coupled to the sleeve. In addition, the tapered pin assembly of FIG. 4, although disclosed in connection with repair of shroud girth seam welds, can also be used to repair shroud vertical seam welds. Conversely, the tapered shank assembly of FIG. 8, although disclosed in connection with repair of shroud vertical seam welds, can also be used to repair shroud girth seam welds. All such variations and modifications are intended to be encompassed by the claims set forth hereinafter. As used in the claims, the term "conical surface" includes a surface which is a truncated cone and a surface which is a section of a truncated cone. |
claims | 1. A projection imaging electron microscope, comprising:an electron beam source for illuminating a sample surface of a sample with an electron beam to generate a signal electron beam from the sample; anda projection image focusing optical system for forming an image of the sample surface on a detection surface of a detector, the projection image focusing optical system comprising an electromagnetic prism to direct the signal electron beam, a zoom lens, and a relay optical system provided between the zoom lens and the electromagnetic prism;wherein an aperture diaphragm, which determines an opening angle of the projection image focusing optical system, is disposed inside of the relay optical system and at a position of a second crossover of the signal electron beam in the projection image focusing optical system as counted from the sample surface. 2. The projection imaging electron microscope according to claim 1, wherein a diaphragm with a size that does not block an effective range of the illuminating electron beam and that does not block the signal electron beam passing through the aperture diaphragm of the projection image focusing optical system, is disposed at a first crossover position of the signal electron beam in the projection image focusing optical system as counted from the sample surface. 3. The projection imaging electron microscope according to claim 1, wherein a stigmator that corrects astigmatic aberration of the electromagnetic prism is disposed between the electromagnetic prism and the aperture diaphragm. 4. The projection imaging electron microscope according to claim 1, wherein the relay optical system includes a first lens which images a first intermediate image of the sample surface as a second intermediate image, and a second lens which is disposed at a position of the second intermediate image. 5. The projection imaging electron microscope according to claim 1, wherein the zoom lens consists of two lenses which are constructed to be geometrically symmetric with respect to a geometric mid-plane of the zoom lens that is perpendicular to an optical axis through the zoom lens. 6. A projection imaging electron microscope in which a sample surface is observed, comprising:an electron beam source that emits an illuminating electron beam;an electromagnetic prism;an illumination electron optical system that causes the illuminating electron beam to be incident on the electromagnetic prism;an objective electron optical system that illuminates the sample surface with the illuminating electron beam that passes through the electromagnetic prism;a detector; andan image-forming electron optical system which conducts a signal electron beam of electrons that pass from the sample surface through the electromagnetic prism to the detector, and which images a first intermediate image of the sample surface on a detection surface of the detector, the image-forming electron optical system comprising a zoom lens, and a relay optical system provided between the zoom lens and the electromagnetic prism;wherein the sample surface is illuminated with the illuminating electron beam by Koehler illumination, and the objective electron optical system is an object-side telecentric optical system; andwherein in a projection image focusing optical system, which includes the objective electron optical system, the electromagnetic prism and the image-forming optical system, and which forms an image of the sample surface on the detection surface of the detector, an aperture diaphragm, which determines an opening angle of the projection image focusing optical system, is disposed inside the relay optical system and at a position of a second crossover of the signal electron beam in the projection image focusing optical system as counted from the sample surface. 7. The projection imaging electron microscope according to claim 6, wherein a diaphragm with a size that does not block an effective range of the illuminating electron beam and that does not block the signal electron beam passing through the aperture diaphragm of the projection image focusing optical system, is disposed at a first crossover position of the signal electron beam in the projection image focusing optical system as counted from the sample surface. 8. The projection imaging electron microscope according to claim 6, wherein a stigmator that corrects astigmatic aberration of the electromagnetic prism is disposed between the electromagnetic prism and the aperture diaphragm. 9. The projection imaging electron microscope according to claim 6, wherein the relay optical system includes a first lens which images the first intermediate image of the sample surface as a second intermediate image, and a second lens which is disposed at a position of the second intermediate image. 10. The projection imaging electron microscope according to claim 6, wherein the zoom lens consists of two lenses which are constructed to be geometrically symmetric with respect to a geometric mid-plane of the zoom lens that is perpendicular to an optical axis through the zoom lens. |
|
summary | ||
050251635 | description | DETAILED DESCRIPTION In FIG. 1 the basis of the invention is illustrated by a particle of high-Z metal 10, which may be spherically shaped, being completely surrounded by a coating of luminescent material 12. When a photon of incident radiation 14 is absorbed by the high-Z metal particle, secondary electrons 18 are released in random directions as shown. Because the coating of luminescent material 12 completely surrounds the particle 10, all secondary electrons which escape from the particle encounter the luminescent coating thereby enabling the production of photons of visible light. Proper sizing of the particle will assure that substantially all of the secondary electrons will escape from the particle. For example, it can be shown that with incident X-rays of 60-80 keV, particles of about 5 microns in diameter will allow substantially all of secondary electrons to escape. Coated particles as shown in FIG. 1 can be produced in a variety of known ways. For example, the selected high-Z material can be ball milled to the proper size and subsequently slurried with a liquid mixture of the luminescent material. Alternatively, the coated particles can be produced directly by the process of spark machining schematically illustrated in FIG. 2. In this process, larger particles 20 of the selected high-Z metal suspended in a dielectric liquid 22 containing thiourea are subjected to a high potential alternating electric field applied by electrodes 23a, 23b from voltage source 24 which causes the particles to break up and form into microscopic spheres 26. The existence of thiourea and appropriate dopants, such as copper chloride, in the liquid can result in a cadmium sulfide (CdS) luminescent coating being formed on the spheres 26. Examples of suitable high-Z metals useful for particle 10 are bismuth (Bi), tungsten (W), osmium (Os) or tantalum (Ta). Examples of suitable luminescent materials useful for the coating 12 are cadmium sulfide (CdS), zinc oxide (ZnO), zinc sulfide (Zns) or CdSe. In FIG. 3, a segment of a radiographic imaging screen is shown in which a layer 30 of coated particles as described in FIG. 1 is deposited on a radiation transparent substrate 32 and held on the substrate by means of a suitable binder 34, such as urethane or polymethylmethacrylate. Preferably the binder should be transparent to both the incident radiation as well as to the visible light photons generated by the luminescent coating on the particles. It can be shown that a substantial percentage, e.g. two thirds, of incident X-rays at energy levels of 60 to 80 keV can be stopped by a layer of high-Z material 100 microns thick. Consequently, a suitable image screen can be made by depositing the coated particles on the substrate, more or less closely packed, in a layer about 100 microns in depth. Thinner layers may be employed to increase image resolution, as little as about 50 microns, however, the thinner the layer the lower the absorption efficiency of the screen. Although absorption efficiency can be further enhanced by increasing the thickness beyond 100 microns, the thickness is limited by decreasing resolution and increasing light loss as the layer is made thicker. In FIG. 4, an alternative embodiment of the radiation imaging screen is shown that does not require the precoating of the high-Z particles. The same reference numerals are used for like components from previous figures. In this embodiment, the particles 10 are slurried in a liquid mixture of the luminescent material 12 and then coated to the desired depth and dried directly onto substrate 32. The particles 10, being uniformly dispersed in the luminescent material, are completely surrounded by the luminescent material thus assuring that secondary electrons emitted from the particles in any direction encounter the luminescent material to enable production of visible light photons. In preparing the slurry, the volume packing fraction of the luminescent material and the high-Z particles should suitably adjusted to balance the absorption efficiency of the resulting layer with denser particle packing versus the light emission from the layer since the particles themselves will tend to block the light to some extent. The invention has been described in detail with particular reference to a presently preferred embodiment, but it will be understood that variations and modifications can be effected within the spirit and scope of the invention. |
040615367 | abstract | A new and improved fuel assembly is formed to minimize the amount of parasitic structural material wherein a plurality of hollow tubular members are juxtaposed to the fuel elements of the assembly. The tubular members may serve as guide tubes for control elements and are secured to a number of longitudinally spaced grid members along the fuel assembly. The grid members include means thereon engaging each of the fuel elements to laterally position the fuel elements in a predetermined array. Openings in the bottom of each hollow member serve as a shock absorber to cushion shock transmitted to the structure when the control elements are rapidly inserted in their corresponding tubular members. |
059129344 | abstract | A nuclear fuel identification system for use in nuclear power plants has an imaging system having a video camera and an array of illuminators surrounding the video camera and positioned to shine onto a nuclear fuel cell. The illuminators are sequentially activated to provide a series of images each having a different shadow pattern. These images are then digitized and stored until a complete set of the images are available. At that time, the images are superimposed and optimized for improved contrast. By viewing the distinct shadow patterns on the nuclear fuel cell, the identifying markings are readily visible. In addition to the shadow imaging system, a variety of laser devices are used to accomplish similar image generating functions. Such laser devices include a laser scanner, a distance laser device, an interferometer, a holographic interferometer, and a bi-refringent crystal prism. |
040428273 | summary | Soon after the demonstration of laser action had made the potentialities of laser devices apparent, speculation arose concerning extending amplification by stimulated emission of radiation beyond the visible light frequencies through ultraviolet light frequencies into the X-ray region of the electromagnetic spectrum. However, prior proposals for X-ray lasers have not yielded promising approaches to the realization of X-ray laser action from a practical point of view. In addition to difficulties similar to those in achieving laser action at longer wavelengths, the X-ray laser utilizing a population inversion for stimulated emission of radiation requires an inversion between levels widely separated by an energy on the order of hundreds of electron volts. Even assuming that one would first endeavor to achieve short pulses of directional X-rays generated by stimulated emission, the conditions for amplification of X-radiation by stimulated emission must never-the-less prevail for a time long enough to produce a sufficient, detectable number of stimulated X-ray photons. The present invention provides a practicable system for overcoming the inherent difficulties in the problem of directional X-ray generation by stimulated emission. In the system of the invention, there is a complementary combination of a system producing the requisite pumping energy in a directable form such as a beam, and a geometry of the amplifying region of the generator such that the active region can be scanned along the X-ray generation path at light (and X-ray) propagation velocities. As later described, the invention in preferred embodiments utilizes inverted populations in the X-ray region produced from selective inner-shell vacancies such as are obtained in intermediate energy ion-atom collisions. A beam swept at nearly the speed of light along the length of an extended interactant material provides an active region in synchronization with a resonant X-ray pulse traveling along the material. While the first system considered here is one which involves a collision between a high velocity ion and a neutral atom, there are further systems which involve the collision of one ion with another ion. It should be understood, therefore, that either a collision between an ion and an atom or a collision between an ion and another ion may equally well be the basis of a system according to the present invention. For simplicity, such collisions are referred to as ion-atom collisions, whether the second collision partner be a neutral atom or another ion. In a specific embodiment the large cross sections for selective production of atomic inner-sheel vacancies by heavy-ion bombardment at intermediate energies (.about.1 keV/amu) of metal targets are utilized to advantage. The physical process is thought to be an electron promotion mechanism that occurs at level crossings in the quasimolecule formed during the collision, and/or rotational excitation. The vacancies produced may occur in the ion or the atom or both. Thus for ions passing through a thin foil, on the downstream side of the foil a significant number of ions will contain inner-shell vacancies. By choice of collision partners as well as beam energy and foil thickness, population inversions desired for particular applications will be achieved,. Moreover, by sweeping the ion beam along the length of an extended target, such population inversions may be obtained in synchronism with a traveling wave front due to radiative decay of the states produced. Such a system will amplify this wave front advancing in the direction of sweep of the beam by stimulated emission. Systems of this nature would be useful X-ray sources when operated in a single-pass mode with no cavity. Such systems would of course also have great value when placed in a suitable X-ray laser resonant cavity. An X-ray resonant cavity in accordance with presently developed technology would likely be both cumbersome and expensive. However, advances are to be expected from proposals such as are now being investigated or as may be available at the time of instrumentation of such a cavity-including system. For ion-atom combinations such that inner-shell energy levels of interest match, ion to atom, cross sections for the selective production of vacancies in these shells are given approximately by taking for the level-crossing radius a value equal to the sum of the radii of the two electronic shells involved. As an example, the match in energy between the carbon K shell and the argon L shell allows selective vacancies to be produced in the L shell of argon. In particular, measurements show that for bombarding energies below .about. 80 KeV effects of double L-shell excitation are not observed. Furthermore, it was found that at an ion energy of .about. 50 KeV, the resulting X-ray spectra indicated the strong predominance of the 224-eV line due to a 3s-2p transition. The radiative lifetime of this transition may be taken as 2.8 .times. 10.sup.-.sup.11 sec and a fluorescence yield of 1.67 .times. 10.sup.-.sup.3 is attainable. It will be understood that, for understanding the invention in terms of an amplification phenomenon, it is expedient to consider the amplification that would be imparted to an input X-ray wave; this is probably not the most immediately useful application of the invention. Rather, it is expected that the invention will have as a first principal utility the generation of a directional X-ray beam. A highly directional beam of X-radiation (compared with previously known X-ray sources) will be generated by virtue of the sweeping of an active region for amplification by stimulated emission of radiation along an extended path at a velocity approximately equal to the velocity of light. In such case, the X-radiation amplified will be X-radiation emitted within the "input end" of the active region, by spontaneous emission for example. Reference is made to additional explanatory material in articles by R. A. McCorkle and J. M. Joyce, "Practical X-ray Amplifier," by R. A. McCorkle, Physical Review Letters, Vol. 29, page 982, October, 1972; and "Threshold Conditions for Amplified Spontaneous Emission of X Radiation," Physical Review A, Vol. 10, page 903, September, 1974; and also the the numerous references thereto appended. From the foregoing description it will be understood that the present invention provides a heretofore unavailable practicable system for producing amplification of X-radiation through the phenomenon of stimulated emission of radiation and which will provide, among other useful effects, the effect of generating X-radiation which is substantially more directional than that obtainable with previously known X-radiation sources; the generated radiation will generally also have substantial monochromaticity. Apparatus according to the invention would find many uses, for example the more precise application of X-radiation to the human body for medical purposes. In addition to providing advantages and utilities described above, it is an object of the present invention to provide apparatus in which there are produced an ensemble of excited particles in a region of predetermined geometry, such that X-radiation is amplified by stimulated emission in the region to produce a non-isotropic X-radiation emission. It is another object of the present invention to produce an ensemble of highly excited particles in a region by the scanning of an interactant material with an interactant beam at approximately the velocity of light. It is a further object of the present invention to produce an ensemble of excited particles in the manner described above, in which such particles form a medium with a population inversion, and in which the situs of the inverted population travels at approximately the speed of light so as to be in synchronism with an X-radiation pulse to be amplified by stimulated emmission. It is a still further object of the present invention to provide apparatus in which a high-current ion beam is swept at nearly the speed of light along the length of an extended foil, whereby particles with inner-shell vacancies are produced in intermediate energy ion-atom collisions in an active region traveling in synchronization with a resonant X-ray pulse traveling parallel to the foil surface. |
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.