patent_number
stringlengths 0
9
| section
stringclasses 4
values | raw_text
stringlengths 0
954k
|
---|---|---|
description | This application is a divisional of U.S. patent application Ser. No. 11/995,744 filed on Jan. 15, 2008, now U.S. Pat. No. 8,003,967 which is a National Stage Application of PCT/US2006/29056 filed on Jul. 26, 2006, which claims priority to U.S. Provisional Patent Application No. 60/702,942 filed on Jul. 27, 2005, the entire disclosures of all these applications being incorporated herein by reference. The present invention relates generally to radiation-shielding devices for radioactive materials and, more particularly, to radiation-shielding assemblies used to enclose radioactive materials used in the preparation and/or dispensing of radiopharmaceuticals. Nuclear medicine is a branch of medicine that uses radioactive materials (e.g., radioisotopes) for various research, diagnostic and therapeutic applications. Radiopharmacies produce various radiopharmaceuticals (i.e., radioactive pharmaceuticals) by combining one or more radioactive materials with other materials to adapt the radioactive materials for use in a particular medical procedure. For example, radioisotope generators may be used to obtain a solution comprising a daughter radioisotope (e.g., Technetium-99m) from a parent radioisotope (e.g., Molybdenum-99) which produces the daughter radioisotope by radioactive decay. A radioisotope generator may include a column containing the parent radioisotope adsorbed on a carrier medium. The carrier medium (e.g., alumina) has a relatively higher affinity for the parent radioisotope than the daughter radioisotope. As the parent radioisotope decays, a quantity of the desired daughter radioisotope is produced. To obtain the desired daughter radioisotope, a suitable eluant (e.g., a sterile saline solution) can be passed through the column to elute the daughter radioisotope from the carrier. The resulting eluate contains the daughter radioisotope (e.g., in the form of a dissolved salt), which makes the eluate a useful material for preparation of radiopharmaceuticals. For example, the eluate may be used as the source of a radioisotope in a solution adapted for intravenous administration to a patient for any of a variety of diagnostic and/or therapeutic procedures. In one method of obtaining a quantity of the eluate from the generator, an evacuated container (e.g., an elution vial) may be connected to the generator at a tapping point. For example, a hollow needle on the generator can be used to pierce a septum of an evacuated container to establish fluid communication between the elution vial and the generator column. The partial vacuum of the container can draw eluant from an eluant reservoir through the column and into the vial, thereby eluting the daughter radioisotope from the column. The container may be contained in an elution shield, which is a radiation-shielding device used to shield workers from radiation emitted by the eluate after it is received in the container from the generator. After the elution is complete, the activity of the eluate may be calibrated by transferring the container to a calibration system. Calibration may involve removing the container from the shielding assembly and placing it in the calibration system to measure the amount of radioactivity emitted by the eluate. A breakthrough test may be performed to confirm that the amount of the parent radioisotope in the eluate does not exceed acceptable tolerance levels. The breakthrough test may involve transfer of the container to a thin shielding cup (e.g., a cup that effectively shields radiation emitted by the daughter isotope but not higher-energy radiation emitted by the parent isotope) and measurement of the amount of radiation that penetrates the shielding of the cup. After the calibration and breakthrough tests, the container may be transferred to a dispensing shield. The dispensing shield shields workers from radiation emitted by the eluate in the container as the eluate is transferred from the container into one or more other containers (e.g., syringes) for use later in the radiopharmaceutical preparation process. Dispensing shields are generally lighter weight and easier to handle than elution shields for the dispensing process because each of the containers may be used to fill multiple containers (e.g., off and on over the course of a day) and it is generally desirable to place the shielded container upside down on a work surface (e.g., tabletop surface) during the idle periods between transfer of the eluate into one container and the next. Prior art elution shields are generally not conducive for use as dispensing shields because, among other reasons, they may be unstable when inverted. For example, some elution shields have a heavy base that results in a relatively high center of gravity when the elution shield is upside down. Further, some elution shields have upper surfaces that are not adapted for resting on a flat work surface (e.g., upper surfaces with bumps that would make the elution shield unstable if it were placed on a flat surface upside down). Radiopharmacies have addressed this problem by maintaining a supply of elution shields and another supply of dispensing shields. This solution necessitates a transfer of the container from an elution shield to a dispensing shield, which can undesirably expose a worker to radiation. The same generator may be used to fill a number of containers before the radioisotopes in the column are spent. The volume of eluate needed at any time may vary depending on the number of prescriptions that need to be filled by the radiopharmacy and/or the remaining concentration of radioisotopes in the generator column. One way to vary the amount of eluate drawn from the column is to vary the volume of evacuated containers used to receive the eluate. For example, container volumes ranging from about 5 mL to about 30 mL are common and standard containers having volumes of 5 mL, 10 mL, or 20 mL are currently used in the industry. A container having a desired volume may be selected to facilitate dispensing of a corresponding amount of eluate from the generator column. Unfortunately, the use of multiple different sizes of containers is associated with significant disadvantages. For example, a radiopharmacy must either keep a supply of labels, rubber stoppers, flanged metal caps, spacers and/or lead shields in stock for each type of container it uses, or use shielding devices that can be adapted for use with containers of various sizes. One solution that has been practiced is to keep a variety of different spacers on hand to occupy extra space in the radiation shielding devices when smaller containers are being used. Unfortunately, this adds to the complexity and increases the risk of confusion because the spacers can get mixed up, lost, broken, or used with the wrong container and are generally inconvenient to use. For instance, some conventional spacers surround the sides of the containers in the shielding-devices, which is where labels may be attached to the containers. Accordingly, the spacers may mar the labels and/or adhesives used to attach the labels to the container resultantly causing the spacers to stick to the sides of the container or otherwise gum up the radiation-shielding device. Thus, there is a need for improved radiation-shielding assemblies and methods of handling containers containing one or more radioisotopes that facilitates safer, more convenient, and more reliable handling of radioactive materials produced for nuclear medicine. One aspect of the present invention is directed to a radiation-shielding assembly that may be used to shield a radioactive material in an elution process and/or in a dispensing process. The assembly includes a body having a cavity and an opening into the cavity defined therein. The assembly also includes a cap adapted for releasable attachment (e.g., via magnetism) to the body when the cap is in a first orientation relative to the body and for non-attached engagement with the body when the cap is in a second orientation relative to the body. Incidentally, a “non-attached engagement” or the like means that first and second structures interface but are not attached. An example of a non-attached engagement would be the interface of a drinking cup disposed on a coaster. Another aspect of the invention is directed to use of a radiation-shielding assembly. In this method, a cap of the radiation-shielding assembly is releasably attached to a body of the assembly to cover an opening into the body and to limit escape of radiation from inside the assembly. The cap is removed from the body and placed on an appropriate support surface (e.g., working surface). The body is inverted and placed on top of the cap so that the cap is in a different orientation relative to the body than it was when it was releasably attached to the body, thereby causing the cap and body to be in non-attached engagement. The body may be lifted from the cap to expose the opening. Another aspect of the invention is directed to a radiation-shielding assembly that can be used to shield an eluate (e.g., solution that includes a radioisotope from a radioisotope generator). The assembly has a body at least partially defining a cavity for receiving the eluate. There is an opening through the body into the cavity at an end of the body. The body is designed/configured to limit escape of radiation emitted by the radioisotope from the elution shield through the body. The assembly also has a base that may be releasably secured to the body at a second end thereof. The base has a sidewall extension portion aligned with the circumferential sidewall when the base is secured to the body. The sidewall extension portion of the base has a relatively lighter-weight construction in comparison to the circumferential sidewall of the body. For instance, the sidewall extension portion of the base may be made of a material exhibiting a first weight density, and the circumferential sidewall of the body may be made of another material having a second weight density greater than the first weight density. Another aspect of the invention is directed to a method of making an elution shield for a radioisotope received from a radioisotope generator. A body of the elution shield includes a radiation-shielding material and is formed to have a cavity for receiving the radioisotope therein. A base of the elution shield includes a material that would be substantially transparent to radiation emitted by the radioisotope. The material of the base is a relatively lighter-weight material than the radiation-shielding material of the body. The base is formed to connect to the body and extend the overall length of the elution shield to a length greater than the length of the body. Still another aspect of the invention is directed to a radiation-shielding assembly for holding any one of a set of containers that have different heights and that may be used to contain a radioactive substance. The assembly has a body at least partially defining a cavity for receiving a container. The assembly is preferably constructed to limit the escape of radiation emitted in the cavity from the assembly. The cavity has first and second opposite ends. The assembly also has a spacer that can be at least partially disposed in the cavity (e.g. at or near the second end of the cavity). The spacer is selectively adjustable to change the amount of space between a support surface of the spacer and the first end of the cavity by translation of the support surface so the support surface positions the containers in substantially the same location relative to the first end of the cavity. Yet another aspect of the invention is directed to a method of using a radiation-shielding assembly to handle containers that have different heights and which are used to hold a radioactive substance. A first container is placed in a cavity defined in the radiation-shielding assembly. A spacer is associated with the cavity and is utilized to position the first container at a predetermined location relative to an end of the cavity. The first container is subsequently removed from the cavity. The spacer is adjusted by moving the spacer along an axis of the cavity to change the amount of space between the spacer and the end of the cavity. A second container having a different height than the first container is placed in the cavity. The adjustment of the spacer results in the second container being positioned at substantially the same predetermined location as the first container was relative to the end of the cavity. Still another aspect of the invention is direction to a radiation-shielding assembly for container holding a radioactive eluate. The assembly has a body at least partially defining a cavity for receiving the container. There is an opening through the body into the cavity. The opening is sized to permit the container to be placed into and removed from the cavity. The body of the assembly is constructed to limit escape of radiation from the radioactive material through the body. The assembly also includes a locator in the cavity opposite the opening for at least assisting in locating the container in a predetermined position in the cavity. The locator may be characterized as a guide that can interface with one end of the container and that is shaped so that, upon interfacing with the end of the container, the collar may be used to at least generally steer or direct the container to the predetermined position in the cavity. The locator may include and of a wide range of materials. For instance, in some embodiments, the locator may include or be made entirely from a material that is substantially transparent to radiation. Another aspect of the invention is directed to a method of making a radiation shielding assembly for a container containing a radioactive eluate. A body of the assembly includes shielding material capable of substantially limiting passage of radiation through the material. The body is formed with a cavity for receiving the container of radioactive eluate. A locator is formed from a material that is substantially transparent to radiation so that the locator can be received in the cavity and engage the container when placed in the cavity to locate the container in (e.g., guide or steer the container toward) a predetermined position relative to the body in the cavity. Still another aspect of the invention is directed to a radiation-shielding assembly for holding any one of a set of containers having different heights that are used for containing a radioactive substance. The assembly has a body at least partially defining a cavity for receiving a container. The assembly also has a spacer adapted to be at least partially received in the cavity. The spacer can selectively be placed in the cavity to occupy space in the cavity to adapt the assembly for use with at least one of the smaller containers or removed from the cavity to adapt the assembly for use with at least one of the larger containers. The assembly may also have a base adapted for releasable connection to the body. The base may have a stowage receptacle defined therein that can receive the spacer when the spacer is removed from the cavity. Yet another aspect of the invention is a method of using a radiation-shielding assembly to hold containers having different heights that are used for containing a radioactive substance. A spacer is placed in a cavity of the assembly to adapt the assembly for use with a first container. The first container may be substantially enclosed in the cavity. The first container is subsequently removed from the cavity. The spacer may also be removed from the cavity to adapt the assembly for use with a second container that is taller than the first container. When not in use, the spacer may be stowed in a stowage receptacle formed in the assembly. The second container may be substantially enclosed in the cavity. Various refinements exist of the features noted in relation to the above-mentioned aspects of the present invention. Further features may also be incorporated in the above-mentioned aspects of the present invention as well. These refinements and additional features may exist individually or in any combination. For instance, various features discussed below in relation to any of the illustrated embodiments of the present invention may be incorporated into any of the aspects of the present invention alone or in any combination. Corresponding reference characters indicate corresponding parts throughout the figures. Referring now to the figures, first to FIGS. 1-3 in particular, one embodiment of a radiation-shielding assembly of the present invention is shown as a rear-loaded dual-purpose radioisotope elution and dispensing shield, generally designated 101. The assembly 101 may enclose a container (e.g., eluate vial) containing a radioisotope (e.g., Technetium-99m) that emits radiation in a radiation-shielded cavity in the assembly, thereby limiting escape of radiation emitted by the radioisotope from the assembly. Thus, the assembly may be used to limit the radiation exposure to workers handling of one or more radioisotopes or other radioactive material. As shown in FIGS. 2 and 3, the illustrated assembly 101 generally has a body 103, a cap 105, a collar 107, and a base 109. The body 103 may include a circumferential sidewall 115 partially defining a cavity 117 adapted to receive a container C (shown in phantom). The cap 105 may be releasably attached to one end of the body 103 while the base 109 may be releasably attached to the other end of the body. The collar 107 may be received in the cavity 117, if desired, to help guide the container C into a desired position in the body 103 as it is loaded into the assembly 101. When assembled together, as shown in FIGS. 1 and 3, the body 103, cap 105, and base 109 may be used to enclose the container C in the cavity 117 of the assembly 101 and form a shielding unit that limits escape of radiation in the cavity 117 from the assembly 101. The sidewall 115 of the body 103 shown in the figures is substantially tubular, but the sidewall can have other shapes (e.g., polygonal) without departing from the scope of the invention. The sidewall 115 may be adapted to limit escape of radiation emitted in the cavity 117 from the assembly 101 through the sidewall. For example, in one embodiment the sidewall 115 includes a radiation-shielding material (e.g., lead, tungsten, depleted uranium or another dense material). The radiation-shielding material can be in the form of one or more layers (not shown). Some or all of the radiation-shielding material can be in the form of substrate impregnated with one or more radiation-shielding materials (e.g., a moldable tungsten impregnated plastic). Those skilled in the art will know how to design the body 103 to include a sufficient amount of one or more selected radiation-shielding materials in view of the amount and kind of radiation expected to be emitted in the cavity and the applicable tolerance for radiation exposure to limit the amount of radiation that escapes the assembly 101 through the sidewall 115 to a desired level. One end of the body 103 may define a first opening 121 to the cavity 117 and a second end of the body 103 may define a second opening 123 to the cavity 117, as shown in FIG. 3. The second opening 123 may be sized greater than the first opening 121. For example, the first opening 121 can be sized to prevent passage of the container C therethrough and yet permit passage of at least a tip of a needle (not shown) therethrough (e.g., a needle on a tapping point of a radioisotope generator). The body 103 shown in the figures, for example, includes an annular flange 127 extending radially inward from the sidewall 115 near the top of the sidewall. (As used herein the terms “top” and “bottom” are used in reference to the orientation of the assembly 101 in FIG. 3 but does not require any particular orientation of the assembly or position of component parts.) An inside edge 129 of the flange 127 defines the first opening 121, which may be a substantially circular opening. The flange 127 may have a chamfer 131 to facilitate guiding of the tip of a needle toward a pierceable septum (not shown) of the container C received in the cavity. The flange 127 may be integrally formed with the sidewall 115 or manufactured separately and secured thereto. The flange 127 may include a radiation-shielding material, as described above, to limit escape of radiation from the assembly 101. However, the flange 127 can be substantially transparent to radiation without departing from the scope of the invention. The second opening 123 may be sized to permit passage of a container C therethrough for loading and unloading of containers from the assembly 101. The cap 105 may be removed from the assembly 101 as shown in FIG. 5 so that the container C in the cavity 117 of the assembly can be fluidly interconnected with a radioisotope generator through the now exposed opening 121. Incidentally, “fluidly interconnected” or the like refers to a joining of a first component to a second component or to one or more components which may be connected with the second component, or a joining of the first component to part of a system that includes the second component so that a substance (e.g., an eluant and/or eluate) may pass (e.g., flow) at least one direction between the first and second components. The cap 105 of the embodiment shown in the figures is reversible. When the cap 105 is in a first orientation relative to the body 103 (shown in FIGS. 1 and 3), the cap may be releasably attached to the body. When the cap 105 is in a second orientation relative to the body 103 (e.g., inverted as shown in FIGS. 6 and 6A), the cap 105 may be adapted for non-attached engagement with the body 103. More specifically, FIGS. 6 and 6A show the cap in the same orientation as in FIGS. 1-3 while the body has been inverted relative to the cap and placed upside down on the cap. The configuration of the assembly 101 in FIG. 3 may be characterized by some to be convenient for carrying the container C of radioactive eluate in the cavity 117 from one place to another with less concern about the cap 105 accidentally falling off the body 103 and unnecessarily exposing people to radiation than if the cap 105 were simply set unattached on top of the assembly 101. The configuration of the assembly 101 in FIGS. 6 and 6A may be found to be convenient for storing the container C of radioactive eluate in an inverted position during idle time between the dispensing of eluate from the container C in the assembly into another container (e.g., a syringe) used downstream in the radiopharmaceutical preparation process. In addition, some users may find that orientation convenient because it allows a person to access the container C simply by lifting the body 103 off the cap 105 to expose the first opening 121. For example, the container C can be accessed by lifting the body 103 with a single hand as shown in FIG. 7, leaving the other hand free to perform another action (e.g., hold a syringe) in preparation for the dispensing process. There are a number of ways to design a cap 105 to be releasably attachable to the body 103 in the first orientation and adapted for non-attached engagement with the body 103 in the second orientation. The cap 105 shown in FIGS. 4 and 4A, for example, includes a magnetic portion 137 that attracts the body 103 when the cap is in the first orientation, thereby resisting movement of the cap 105 away from the body. In some embodiments, the body 103 may be constructed of a material (e.g., an alloy comprising one or more magnetic metals) that is attracted by the magnetic portion 137 of the cap 105. In other embodiments, the body 103 includes a material having a relatively weaker attraction or no attraction to the magnetic portion 137 of the cap 105 and an attracting element (not shown) made of a material that has a relatively stronger attraction to the magnetic portion (e.g., iron or the like) molded into or otherwise secured to the body to enable the magnetic portion of the cap to attract the body. When the cap 105 is in the second orientation, however, the attraction of the magnetic portion 137 of the cap to the body 103 is sufficiently attenuated (e.g., by an increase in distance between the body and the magnetic portion of the cap, magnetic “shielding”, etc.) so that the weight of the cap is sufficient to freely separate the cap from the body when one of the body and the cap is urged away from the other. As shown in FIGS. 3 and 6A, for example, the cap 105 may be constructed so that the magnetic portion 137 thereof is positioned adjacent (e.g. in contact with) the body 103 when the cap engages the body in the first orientation (FIG. 3) and separated from the body (e.g., by a substantially non-magnetic material 139) when the cap engages the body in the second orientation (FIG. 6A). The cap and/or the body may be equipped with detents, snaps and/or friction fitting elements or other fasteners that are operable to releasably attach the cap to the base without use of magnetism in the first orientation and which are substantially inoperable to attach the cap to the body in the second orientation without departing from the scope of the invention. The cap 105 may be adapted to limit escape of radiation emitted in the cavity 117 from the assembly 101 through the first opening 121 when the cap is releasably attached to the body 103 in the first orientation and when the cap is in non-attached engagement with the body in the second orientation. For example, the cap 105 may include one or more radiation-shielding materials (not shown), as described above. Those skilled in the art will be able to design the cap 105 to include a sufficient amount of one or more radiation-shielding material to achieve the desired level of radiation shielding. In order to reduce costs, radiation-shielding materials may be positioned at the center of the cap 105 (e.g., in registration with the first opening 121 when the cap is positioned relative to the body as shown in FIGS. 3 and 6), and the outer circumference of the cap may be made from less expensive and/or lighter-weight non-radiation-shielding materials, but this is not required for practice of the invention. The collar 107 (which, in some case, may be referred to as a container “locator” of sorts) may be placed in the cavity 117 to guide the container C into a desired and/or predetermined position as it is loaded into the cavity. For example, the collar 107 may be press fit into the cavity 117 so that the friction between the body 103 and the collar tends to hold the collar in the cavity. In other embodiments, the collar 107 may be secured to the body 103 by an adhesive or other suitable method of attachment. In yet other embodiments, the collar 107 may be an integral component of the body 103. The collar 107 may be adapted to assist in aligning the top of a container C with the first opening 121 of the body 103 to facilitate piercing of the container's septum by the tip of a needle on a radioisotope generator when the container is disposed in the cavity 117 of the body 103. In some embodiments, alignment of the top (e.g., mouth) of the container C with the first opening 121 may require the top of the container to be centered in the cavity 117, but the predetermined position to which the collar is constructed to guide the container can vary depending on the configuration of the particular assembly. In the embodiment shown in FIG. 3, the collar 107 may be position in the cavity 117 adjacent the first opening 121 and opposite the second opening 123. Referring to FIG. 3 in conjunction with FIGS. 17A-B, the collar 107 has an aperture 145 spanning between first and second sides of the collar. A first aperture opening is defined at the side of the collar 107 facing the second opening 123 of the body 103, and a second aperture opening of the collar is defined at the side of the collar facing the first opening 121 of the body. The aperture 145 may receive at least a part of a container C as it is loaded into the cavity through the second opening 123 in the body 103. The aperture 145 is shaped so that the collar 107 guides or steers the container C toward the predetermined position upon engagement of the inside of the collar 147 with the leading end of the container as it is being loaded into the cavity 117. For instance, the first opening of the aperture 145 may be greater in size than the second opening of the aperture. The aperture 145 of the collar 107 shown in FIGS. 17A and 17B is somewhat analogous to a funnel in that it is tapered. The collar 107 can have a different shape (e.g., be shaped to define a stepped or tiered aperture 145′ like the collar 107′ shown in FIGS. 18A and 18B) without departing from the scope of the invention. The top of the aperture 145 defined in the collar 107 may be shaped to engage or at least generally interface with about the top third of a cap 119a of the container C being held in the cavity 117, as shown in FIG. 3. It should be noted that other embodiments of the top of the aperture 145 may be shaped to engage or at least generally interface with more or less than about the top third of the cap 119a on the container C. As illustrated, the collar 107 is operable to align (e.g., center) a septum of the container C with the first opening 121. The portion of the container C that is engaged by the collar may be varied in size and/or location without departing from the scope of the invention. The collar 107 may be constructed of any appropriate material, such as a relatively inexpensive, lightweight, durable, low-friction material (e.g., polycarbonate). Moreover, the material may be substantially transparent to radiation. Indeed, since the body 103 of the assembly 101 generally includes radiation-shielding material, it may be undesirable to include radiation-shielding material in the collar 107 as well. In other words, the collar 107 of some embodiments may include radiation-shielding material only to the extent such radiation-shielding material is needed to attain a desired and/or required level of radiation protection for a specific application. Use of a material that is transparent to radiation for the make-up of the collar 107 may beneficially allow the weight and/or cost of the assembly to be reduced. Those skilled in the art will appreciate that the cost of machining a cylindrical cavity 117 in the body 103 may tend to be less than the cost of machining a cavity in the body shaped to form one or more positioning structures (e.g., shoulders) on the body to be used to guide containers in the same manner as the collar 107. Radiation-shielding materials can be difficult to machine and may tend to be more expensive than other materials that may be used for the collar 107. Further, the overall weight of the assembly may be reduced by making the collar 107 out of relatively lighter-weight material instead of relatively heavier-weight materials that may be used to make the body 103. It is understood, however, that the body 103 can be manufactured by any method (e.g., molding) without departing from the scope of the invention. Moreover, use of other types of locators instead of a collar is considered to be within the scope of the invention. Still further, some embodiments of the invention have collars that include radiation-shielding materials. The base 109 may be releasably secured to the body 103. As best seen in FIGS. 12 and 13, the base 109 shown in the figures includes an extension element 161, a base shielding element 163, and a spacer system 165. The extension element 161 may be a generally tubular structure having an open top end 171 adapted for making a releasable connection to the body 103 (e.g., adjacent the second opening 123) and a closed bottom end 173. The extension element 161 may be constructed of one or more relatively inexpensive, lightweight, durable materials, such as high-impact polycarbonate materials (e.g., Lexan®), nylon, and the like. The bottom end 173 of the extension element 161 may be outwardly flared to provide a wider footprint for added stability when the assembly 101 is placed base down on a work surface (as shown FIG. 1). The extension element 161 may be used to lengthen the assembly 101, including the combined length of the body 103 and the base 109. For example, the extension element 161 may include a circumferential sidewall 181 generally corresponding to the circumferential sidewall 115 of the body 103 as shown in FIG. 1. As those skilled in the art know, some radioisotope generators are designed to work with a shielding assembly having a particular minimum length (e.g., six inches). The extension element 161 may be used in combination with a body 103 that would otherwise be too short for a particular radioisotope generator to satisfy the minimum length requirement of that generator. The base extension element 161 may be transparent to radiation because other parts of the assembly 101 can be designed to achieve the desired level of radiation shielding. Use of a relatively lighter-weight (e.g., non-radiation-shielding) extension element 161 to provide the required length allows the assembly 101 to be lighter and/or less expensive compared to a similar assembly that is constructed of relatively heavier-weight and/or more expensive materials (e.g., radiation-shielding materials) along the entirety of the minimum length required by a particular radioisotope generator. There may be a void (illustrated herein as a receptacle 203) in the base for additional weight reduction. For example, in one embodiment of the invention, the overall weight is no more than about 4 pounds. In another embodiment, the weight is no more than about 3 pounds. Use of the relatively lightweight extension element 161 may also shift the center of gravity of the assembly 101 toward the end of the body 103 defining the first opening 121, making the assembly more stable when inverted for use as a dispensing shield (See, FIG. 6). The base 109 may be adapted for being releasably attached to the body 103 by a quick turn connection 191 (e.g., a connection in which the base may be secured to and/or released from the body by twisting the base relative to the body by no more than about 180 degrees) as is shown in FIG. 9. When the base 109 is twisted to release it from the body 103, the quick turn connection 191 may be adapted to provide a positive indication that the base has been twisted far enough relative to the body to permit the assembly 101 to be opened. By enabling separation of the base 109 from the body 103 by twisting the base through a relatively small angle relative to the body (e.g., about 45 degrees in the illustrated embodiment) and/or providing a positive indication that the assembly 101 can be opened by pulling the base away from the body, some embodiments of the invention may help reduce the risk of accidentally dropping the base (and perhaps letting a container filled with and/or contaminated by radioactive material fall out of the body) in the course of opening the assembly, such as might occur with a conventional shielding assembly if a worker adjusts his or her grip on the assembly to twist the base some more when, unbeknownst to the worker, the base has already been twisted far enough to release of the base from the body. Referring to the embodiment shown in FIG. 9, for example, the quick turn connection 191 attaching the base extension element 161 and body 103 may be a “bayonet” type connection. The base extension element 161 may include a plurality of connecting elements 193 (e.g., lugs, threads, or the like) adapted for establishing a connection with a corresponding plurality of connecting elements 195 on the bottom end of the body 103. In one embodiment of the invention, the contact angle “a” (FIG. 10C) between corresponding connecting elements 193, 195 may be selected to provide a secure connection that makes it unlikely that the assembly 101 will be unintentionally opened as it is jostled about during handling and/or that makes it unlikely that the quick connection 191 will jam when someone tries to open the assembly. Referring to FIGS. 10A-10C, for instance, the contact angle “a” between the lugs 193 on the base extension element 161 and the mating lugs 195 on the body 103 may range from a relatively less steep angle that is empirically demonstrated to allow separation of the base 109 from the body without jamming to a relatively steeper angle that is about equal to the arctangent of the coefficient of friction between the mating connecting elements, both of which may vary depending on the materials used to form the connecting elements. As the coefficient of friction decreases, the contact angle “a” may be made less steep. In some embodiments, the coefficient of friction may be between about 0.1 to about 0.2. In other embodiments, the coefficient of friction is between about 0.12 and about 0.15. In still other embodiments, the coefficient of friction is about 0.12. The contact angle “a” may range from about 2 degrees to about 10 degrees in some embodiments. In other embodiments, the contact angle “a” may range from about 5 degrees to about 10 degrees. It is understood that a quick turn threaded connection (e.g., a multi-start threaded connection) between the body 103 and the base 109 can be provided with substantially the same contact angles discussed with reference to the bayonet connection 191 to reduce the risk of unintentional opening of the assembly and to reduce the likelihood of jamming when someone tries to open the assembly 101. Incidentally, some embodiments of the invention may exhibit contact angles and/or coefficients of friction that fall outside of the ranges described above. The quick turn connection 191 shown in FIGS. 9-10C may provide a positive indication when the base 109 has been rotated sufficiently relative to the body 103 to permit opening of the assembly 101 by limiting further rotation of the base when the base is capable of being separated from the body. For example, the lugs 193, 195 may be adapted to function as stops when the base 109 has been rotated far enough to open the assembly 101. Referring to FIGS. 10A-10C, for example, in one embodiment, the generally trapezoidal lugs 193, 195 on the base 109 and body 103 may be sized and spaced so that the lugs on the base may pass between the lugs on the body (FIGS. 10A and 10B). The quick turn connection 191 may be established by rotating the base 109 relative to the body 103 to cause the lugs 193, 195 to engage one another as shown in FIG. 10C. As the base 109 is rotated in the opposite direction to open the assembly 101, the lugs 193, 195 reach a point at which the lugs on the base may pass between the lugs on the body. At that point (FIG. 10B), the lugs 193 on the base 109 abut the lugs 195 on the body 103, thereby limiting the amount of rotation of the base that is possible. When a person opening the assembly 101 feels the lugs 193, 195 contact (e.g., “bump into”) each other, he or she knows that the base 109 can be separated from the body 103 without any additional rotation of the base relative to the body. FIG. 10D shows another embodiment of a quick turn connection 191′ in which the lugs 193′ on the base 109′ include ribs 193a′ extending their taller side. There may be clearance between the lugs 193′, 195′ (except for the ribs 193a′), but the lugs 195′ bump into the ribs 193a′ to provide a positive indication that the assembly 101 can be opened. The base shielding element 163 may be connected (either directly or indirectly as shown in FIG. 3) to the base extension element 161 so that connection of the base extension element to the body 103 interconnects the base shielding element to the body. The base shielding element 163 may be operable to limit escape of radiation emitted in the cavity 117 from the assembly 101 through the second opening 123 when the base extension element 161 is connected to the body 103. As shown in FIG. 3, for example, the base shielding element 163 may include a plug adapted to be slidably received by the second opening 123 of the body 103 into the cavity 117. The base shielding element 163 may be adapted to absorb and/or reflect radiation over an area that is substantially coextensive with the second opening 123, for example, by being configured as a plate having substantially the same shape and size as the opening. In some embodiments of the invention, the base shielding element may be adapted to substantially cover the second opening 123 without being received therein. The base shielding element 163 may include one or more radiation-shielding materials (not shown), as described above. Those skilled in the art will know how to design a base shielding element 163 to include a sufficient amount of one or more radiation-shielding materials to limit escape of radiation from the assembly 101 through the second opening 123 to a desired level. The spacer system 165 may include an adjustable spacer 201, which may be at least partially received in the cavity 117 for selectively configuring the assembly 101 to hold a container selected from a set of containers including containers having different heights (e.g., different volumes). Referring to the embodiment shown in the figures, for example, the spacer 201 may be slidably mounted in the receptacle 203 in the base 109 (e.g., a substantially cylindrical receptacle in the base extension element 161). The receptacle 203 in the base 109 may be adjoin the second opening 123 into the cavity 117 of the body 103 when the base is secured to the body, thereby positioning the spacer 201 for slidable extension into and retraction out of the cavity 117. The base shielding element 163, which may define a support surface for the container C when it is received in the cavity 117, may be secured (e.g., by a threaded connection or other method of attachment) to or integral with the spacer 201. By selective positioning of the spacer 201 with respect to the first opening 121, the position of the base shielding element 163 relative to the first opening 121 of the body 103 can be changed to position the top of each of the containers C at substantially the same location relative to the first opening, notwithstanding their different heights. The spacer 201 can be mounted in the assembly 101 in a variety of different ways. For example, the spacer 201 shown in the figures has a substantially cylindrical surface (e.g., outer surface) having a helical channel 205 defined therein. A detent 209 received in the channel 205 may be another component of the spacer system 165. In some embodiments, like the one shown in the figures, for instance, the detent 209 is associated with (e.g., mounted on) the base extension element 161, but in other embodiments the detent may be associated with other elements of the assembly 101. The detent 209 may be substantially fixed relative to the body 103 (e.g., when it is mounted on the base 109 while it is secured to the body). The detent 209 of the embodiment shown in the figures is a ball detent plunger. The ball detent plunger may be a threaded member 211 having a loosely captured ball 213 therein. A spring (not shown) may be positioned in the threaded member 211 to bias the ball 213 to a position in which a portion of the ball projects outward from an end of the threaded member. The threaded member 211 may be screwed into the base extension element 161 so that the end of the threaded member to which the ball 213 is biased is received in the channel 205. Other detents could be used instead, however. The detent 209 might be characterized as a cam, and the spacer 201 a cylindrical cam follower. The detent 209 engages one side of the helical channel 205 upon rotation of the spacer 201, producing movement (e.g., along an axis 197 of the cavity 117) of the spacer relative to the receptacle 203 in the base extension element 161. Depending on the direction of the rotation, the spacer 201 may be moved out of or into the receptacle 203, corresponding to translation farther into the cavity 117 and out of the cavity in the assembly 101, respectively. Further, as shown in FIGS. 11 and 12, a plurality of recesses 217 adapted to engage the tip of the ball detent plunger 209 may be formed in the bottom of the helical channel 205. Only some of these recesses 217 are shown in the figures. Each of the recesses 217 may be aligned with the ball 213 of the ball detent plunger 200 when the spacer 201 is in one of the positions in which the spacer is adjusted for use with a particular one of the containers in the set. Thus, when the spacer 201 is moved into that position, the tip 213 of the ball detent plunger 209 may engage the respective recess 217 producing an audible click and/or tactile feedback to indicate that the spacer is in position. The recesses 217 may help to hold the spacer 201 in the selected position. Moreover, the spacer 201 may include markings 221 indicating the different heights of the containers positioned on the spacer relative to the helical channel 205 so that when the spacer is positioned for use with one of the containers, the corresponding marking is in a predetermined position in which it is visible while the other markings are obscured from view. In the embodiment shown in the figures, for example, a window 223 is formed in the base 109 below the ball detent plunger 209. Markings 221 are located on the outer surface of the spacer 201 at positions that are offset from (e.g., below) the respective recess 217 an amount corresponding to the amount of offset between the detent 209 and the window 223. When the ball 213 of the ball detent plunger 209 is engaged with one of the recesses 217, the corresponding marking 221 is visible in the window 223. The remaining markings 221 are covered by the base extension element 161 so workers can tell what kind of container is held in the assembly 161 by looking through the window 223 to view the corresponding marking 221, thereby obviating the need to open the assembly 101 to determine or confirm what kind of container is in the assembly. FIGS. 14A-14C and 15A-15C, for example, show a sequence of adjustment of the spacer system 165 for three containers C′, C″, C″′ having three different heights. FIG. 14A shows the spacer 201 positioned for use with a 20 mL container C′ (FIG. 15A), as indicated by the lowered position of the spacer and the marking 221 of “20” on the spacer that is visible in the window 223 through the base extension element 161. By twisting the spacer 201 relative to the base extension element 161 generally about a central longitudinal axis of the base extension element, the spacer can be raised to adapt the assembly to hold a shorter 10 mL container C″ (FIG. 15B). The spacer 201 is shown in this position in FIG. 14B, in which the marking 221 “10” is visible in the window 223 and the spacer has been raised above its position in FIG. 14A. By twisting the spacer 201 even more, the spacer rides farther upward on the ball detent plunger 209 and is thereby raised to adapt the assembly 101 for use with an even shorter 5 mL container C″′ (FIG. 15C). The spacer 201 is shown in this position in FIG. 14C, in which the marking 221 “5” is visible in the window 223 and the spacer has been raised above its position in FIG. 14B. When the spacer 201 is adjusted to the desired position, the base 109 may be connected to the body 103 to enclose a container C in the assembly 101. FIGS. 15A-15C show a 20 mL, 10 mL, and 5 mL container C′, C″, C′″ enclosed in the assembly 101, respectively, with the spacer 201 adjusted accordingly. As shown in FIGS. 15A-15C, the ball detent plunger 209 is engaged with one of the recesses 217 in the helical channel 205 at each of the three positions corresponding to one of the heights of the containers C′, C″, C′″, providing indexed movement of the spacer 201 from a position suitable for use with one of the containers to a position suitable for use with a different one of the containers. It is understood that other constructions for adapting the assembly to work with containers having different heights may be used within the scope of the present invention. Referring to FIG. 16, a second embodiment of a spacer 201′ suitable for use with the assembly 101 shown in FIGS. 1-3, may include a first helical channel 205a′ and a second helical channel 205b′. The first channel 205a′ may be calibrated for use with a first set of containers (e.g., U.S. standard containers) and the second channel 205b′ may be calibrated for use with a second set of containers (e.g., European standard containers). Recesses 217′ and markings 221′ may be provided for each of the channels 205a′, 205b′ in the same way described for the spacer 201 describe previously. This allows the same assembly 101 to be used for indexed movement of the spacer 201′ for various different sets of containers. In order to switch from one set of containers to another, the ball detent plunger 209 is removed from one of the helical channels 205a′, 205b′ (e.g., by partially unscrewing the threaded member 211 to back the detent out of the channel), the spacer 201 is repositioned to align the other helical channel with the detent, and the ball detent plunger is replaced so that it received in the other helical channel. The base 109 of the assembly 101 shown in FIGS. 1-3 may be disconnected from the body 103 to load a container C (e.g., an evacuated elution vial) into the cavity. A worker may adjust the position of the spacer 201 in preparation of the assembly 101 for use with a particular container selected from a set of containers including containers having different heights. As the spacer 201 is moved into position (e.g., by grasping and turning an exposed portion of the spacer and/or base shielding element 163), the ball detent plunger 209 may engage the corresponding recess 217, producing an audible click and/or tactile sensation indicating to the worker that the spacer is in position. The position of the spacer 201 may be confirmed by looking through the window 223 in the base extension element 161 to see which of the markings 221 is visible therein. The container C may be loaded into the cavity 117 through the second opening 123 in the body 103. The collar 107 engages the top of the container C and guides it to the predetermined position in the cavity 117 (e.g., so that the septum at the top of the container is centered under the first opening 121). Then the base 109 may be reconnected to the body 103 to enclose the container C in the cavity 117. The spacer 201, having been adjusted for the height of the container C, holds the container so that its top is adjacent the first opening 121. Those skilled in the art will recognize that it is possible in some embodiments of the invention to adjust the position of the spacer 201 in the cavity 117 after the base 109 is connected to the assembly 101 without departing from the scope of the invention. The cap 105 may be removed for an elution process. For example, after the cap 205 is removed (FIG. 5), the container C may be connected to a radioisotope generator by piercing the septum of the container C with a needle in fluid communication with the generator using the first opening 121 for access to the container. Then the eluate may flow into the container C through the needle (e.g., using a vacuum pressure in the container to draw the eluate out of the generator). The needle may be removed from the container C when the container has received a desired volume of eluate. The cap 105 may be releasably attached to the body 103 to limit escape of radiation emitted by the eluate from the assembly 101 through the first opening 121. Because the cap 105 is held onto the body 103 (e.g., by magnetic attraction between the cap and body) the cap is less likely to be accidentally knocked off the body. The container C may be carried to another location, such as a calibration station, while in the assembly with the cap releasably attached to the body 103 in the first orientation. When the eluate is ready to be dispensed into other containers (e.g., syringes or other types of containers used for subsequent processing of the eluate), the cap 105 may be removed from the body 103 and placed bottom side down on a work surface. The then body 103 and base 109 of the assembly 101 may be inverted and placed on the cap 105 as shown in FIG. 6, for example. The cap 105 engages the body 103 and limits escape of radiation emitted by the eluate. When a worker is ready to transfer some of the eluate from the container C in the assembly to a different container, he or she may simply lift the body 103 and base 109 off the cap 105 to access the container through the first opening 121. For example, the body 103 and base 109 may be lifted off the cap 105 with a single hand (as shown in FIG. 7) and held with that hand while the eluate is transferred to the other container (e.g., by piercing the septum of the container C with the tip of a needle attached to a syringe and drawing the eluate into the syringe). After a desired amount of eluate has been withdrawn from the container C in the assembly 101, the body 103 and base 109 can be replaced on the cap 105 until more eluate is needed from the container. When the container C is empty or when the eluate in the container is no longer needed, the base 109 may be rotated relative to the body 103 to open the assembly 101. A worker may manually rotate the base 109 relative to the body 103. Because of the quick turn connection 191, the worker is able to release the base 109 from the body 103 by turning the base no more than about 180 degrees, which may be accomplished without requiring the worker to release his or her grip on the body or base to rotate the base farther. In one embodiment, the base 109 may be released from the body 103 by turning the base no more than about 90 degrees. In another embodiment, the base may be released from the body by turning the base no more than about 45 degrees. Moreover, when the base 109 has been rotated a sufficient amount to release the base from the body 103, the worker receives a positive indication (e.g., a tactile sensation such as an inability to rotate the base farther) that no additional turning of the base is required to separate the base from the body. This alerts the worker to the need to keep a firm grip on the base 109 and the body 103, thereby reducing the risk that the base will accidentally separate from the body and possibly let the container C fall out of the assembly 101. When the base 109 is separated from the body 103, the container C can be removed from the cavity 117. Then another evacuated container C may be selected and the process repeated. If the new container has a different height than the previous container, the spacer 201 may be adjusted accordingly. FIGS. 19 and 20 illustrate another embodiment of a radiation shielding assembly, generally designated 501, of the present invention. Except as noted, the illustrated assembly 501 is constructed and operates the same as the assembly 101 described above. Both assemblies 501, 101 include the same body 103, cap 105, base shielding element 163, and spacer system 165. The base 509 of the assembly 501 is similar in overall shape and function to the base 109 described above. One difference is that the base 509 comprises a radiation shielding element 521 and a non-shielding element 523. The shielding element 521 may be constructed of a relatively dense radiation shielding material (e.g., a moldable tungsten impregnated plastic material) while the non-shielding element 523 may be constructed of one or more relatively inexpensive, lightweight, durable materials, such as high impact polycarbonate materials (e.g., Lexan®), nylon, and the like. The non-shielding element 523 may surround at least a portion of the shielding element 521. For example, the shielding element 521 shown in the figures has a generally tubular portion 529. A moldable plastic material may be molded over the shielding element 521 to form the non-shielding element. One end 531 of the shielding element 521 may extend from the non-shielding element and be adapted to releasably secure the base 509 to the body 103 in substantially the same manner as the base 109 of the assembly 101 described above. As shown in FIGS. 19 and 20, the tubular portion 529 of the shielding element may transition from a relatively thicker portion 535 at the end that is closer to the body 103 when the base is releasably secured to the body to a relatively thinner portion 537 at the opposite end. Moreover, the non-shielding element 523 may extend farther away from the body 103 than the shielding element 521 when the base 509 is releasably secured to the body. Consequently, the radiation shielding provided by the base 509 may concentrated in the part of the base that is adjacent the radioactive material in the container C. Further, the center of gravity of the assembly 501 is shifted toward the end of the assembly opposite the base (compared to where it would be if the entire base were made of radiation shielding material), thereby increasing stability of the assembly when it is placed on a support surface (e.g., in a manner analogous to the way the assembly 101 described above is oriented in FIGS. 6 and 6A). The non-shielding element 523 may have an internal surface defining a plurality of inwardly extending ridges 525. The shielding element 521 may have an external surface defining a plurality of outwardly extending ridges 527 such that the inwardly extending ridges 525 of the non-shielding element engage grooves 547 defined by the outwardly extending ridges and the outwardly extending ridges 527 engage grooves 545 defined by the inwardly extending ridges. The non-shielding element may be fixed to the shielding element by engagement of the grooves and ridges. One advantage of forming the non-shielding element 523 in an overmolding process is that the inwardly extending ridges 525 thereof may be formed in situ relative to the grooves defined by the outwardly extending ridges of the shielding element. It is understood that the base 509 shown in FIGS. 19 and 20 may be used with radiation shielding assemblies having configurations other than shown herein without departing from the scope of the present invention. Another embodiment of the invention is depicted in FIGS. 21-23C as a dual-purpose front loaded radiation shielding assembly, generally designated 301, which is suitable for use as elution and/or dispensing shield. As best seen in FIG. 22, the assembly includes a cap 305, a body 303 at least partially defining a cavity 317, a spacer 365, and a base 309. The assembly 301 is generally similar in construction and operation to the assembly 101 described above. The body 303 may be a two-part body including a main body 311 and a lid 313. The main body 311 may be a generally tubular structure having an open top end 333 defining an opening 323 (FIG. 22) sized to permit a container C to pass therethrough for loading and unloading of containers to and from the cavity 317 and a closed bottom end 363 adapted to limit escape of radiation emitted in the cavity 317 from the assembly 301 through the bottom of the body 303. The lid 313 is adapted to be received in the opening 323 of the main body 311. Moreover, the lid 313 defines an opening 321 that may be similar to the first opening 121 of the assembly 101 described above. The cap 305 may be similar in construction and operation to the cap 105 of the assembly 101 discussed above. The spacer 365 shown in FIGS. 22-23C may be a cylindrical sleeve having a perpendicular cross support 367 spanning the inner diameter of the spacer. The spacer 368 may be positioned as shown in 23A for use with a relatively shorter container C′″. To adapt the assembly 301 for use with a taller container C″, the spacer 365 may be inverted as shown in FIG. 23B. To adapt the assembly 301 for use with an even taller container C′ the spacer 365 may be removed from the cavity. The bottom of the main body 311 may be adapted for connection (e.g., a threaded connection) to the base 309. The base of the embodiment shown in the figures may be similar in construction to the lightweight base extension element described above. The spacer system 165 described above is not used in this embodiment and the base shielding element 163 may be omitted because it would be redundant with the closed bottom end 363 of the main body 311. The base 309 defines a stowage receptacle 385 sized and shaped for storing the spacer 365 when it is not in the cavity 317. The base 309 and/or spacer 365 may be adapted to releasably secure the spacer within the stowage receptacle 385 to prevent the spacer from falling out of the stowage receptacle. For example, the base 309 may include detents 387 (FIGS. 23A-23C and 24) adapted to engage recesses 389 in the spacer to establish a snap connection between the spacer 365 and the base 309. Other fasteners could be used instead without departing from the scope of the invention. Use of the assembly 301 is generally similar to use of the assembly 101 described above. One difference in use is the manner in which containers C are loaded into and taken out of the cavity 317. The assembly 301 can be used for elution and dispensing just like the assembly 101 described previously. The spacer 365 may be adjusted for a particular container selected from a set of containers C′, C″, C″′ having different heights. When the spacer 365 is not used (e.g., when the tallest container C′ of the set is being held in the cavity 317) the spacer may be stowed in the stowage receptacle 385 in the bottom of the base 309, as shown in FIGS. 23C and 25. For example, the stowage receptacle 385 may be sized and shaped to permit the spacer 365 to be inserted into the stowage receptacle so that the spacer is in close fitting relationship with the sides of the receptacle. By inserting the spacer 365 into the receptacle 385, the user may engage a snap fit (as shown in the figures), a friction fit, or another suitable means of securing the spacer in the receptacle. The user may secure the spacer 365 in the receptacle 385 after it is already in the receptacle (e.g. by using a separate fastener, for example) without departing from the scope of the invention. Those skilled in the art will recognize that the radiation-shielding assemblies 101, 301 described above can be modified in many ways without departing from the scope of the invention. For example, the cap may be a non-reversible cap releasably attached to the body by a bayonet connection, a threaded connection, a snap connection or other suitable releasable fastening system without departing from the scope of the invention. The collar may be omitted if desired. The assembly can be modified to accommodate virtually any style of container. Likewise, the assembly can be modified for use with other styles of radioisotope generators. An assembly may be used only for elution or only for dispensing without departing from the scope of the invention. In view of the above, it will be seen that the several objects of the invention are achieved and other advantageous results attained. When introducing elements of the present invention or the illustrated embodiments thereof, the articles “a”, “an”, “the”, and “said” are intended to mean that there are one or more of the elements. The terms “comprising”, “including”, and “having” and variations of these terms are intended to be inclusive and mean that there may be additional elements other than the listed elements. Moreover, the use of “top” and “bottom” and variations of these terms is made for convenience, but does not require any particular orientation of the components. As various changes could be made in the above assemblies and methods without departing from the scope of the invention, it is intended that all matter contained in the above description and shown in the accompanying figures shall be interpreted as illustrative and not in a limiting sense. |
|
description | Reference is made first to FIG. 1, which schematically depicts a first representative embodiment of an X-ray projection-exposure apparatus 100. The depicted apparatus 100 comprises a source 41 of X-ray light, an illumination-optical system 42 situated downstream of the source 41 so as to direct the X-ray beam (dashed line) from the source 41 to a mask 2, a mask stage 3 for holding the mask 2, a projection-optical system 1 situated so as to receive a beam 11a of patterned X-ray light reflected from the mask 2 and to direct the patterned beam 11b to a wafer 4, a wafer stage 5 for holding the wafer 4, a mark-position-detection system 6 associated with the wafer 4, and a mark-position-detection system (not shown, but similar to the system 6) associated with the mask 2. The X-ray source 41 can be, for example, a discharge-plasma X-ray source. The illumination-optical system 42 comprises multiple lenses, filters, and the like for forming the beam from the source 41 into a hollow beam that is directed to the mask 2. The mask 2 is a reflective mask including a multilayer-film reflective surface on which a pattern is defined. The pattern defined on the mask 2 is intended for pattern transfer (e.g., at unitary magnification or demagnification) onto the wafer 4. The projection-optical system 1 has a ring-shaped exposure-image field, and comprises multiple multilayer-film reflective mirrors and the like. Since the mask 2 is reflective, the projection-optical system 1 is non-telecentric on the mask side. The illuminated portion of the mask pattern is focused onto a corresponding location on the surface of the wafer 4 by the projection-optical system 1. Meanwhile, as both the mask 2 and wafer 4 are scanned synchronously at a constant velocity, a pattern area of the mask 2 is illuminated selectively so as to be exposed on (transferred to) the surface of the wafer 4. Since X-rays having a wavelength in the range of 1 to 30 nm are heavily attenuated by the atmosphere, at least the X-ray trajectories of the FIG. 1 apparatus are maintained at subatmospheric pressure (notably high vacuum) or in a suitable He atmosphere. (Most desirably, the atmosphere is high vacuum, as established in a vacuum chamber (not shown) containing the X-ray optical system.) The mark-position-detection system 6 is situated and configured to detect a position of a mark (not shown) on the wafer stage 5 or a mark 4a on the wafer 4. The mark-position-detection system 6 includes an optical system that directs and utilizes a light beam 12 for mark detection. Based upon data, obtained by the mark-position-detection system 6, concerning the position of the wafer 4, a wafer coordinate is obtained for use in driving the wafer stage 5, thereby ensuring that the mask pattern is exposed onto the desired location on the wafer. FIG. 2 depicts certain details of an embodiment of the mark-position-detection system 6. Specifically, this embodiment is configured as an optical microscope. The wafer stage 5 is positioned such that the wafer mark 4a is located just downstream of the optical axis 6a of the mark-position-detection system 6. The point of intersection of the optical axis 6a with the surface of the wafer 4 is termed the xe2x80x9cdetection center.xe2x80x9d The system 6 includes a detector 21, such as a charge-coupled device (CCD) for detecting an enlarged image of the mark 4a . Upon detection of the mark 4a , the actual position of the mark is determined by execution of an image-processing routine. The mark-position-detection system 6 also comprises a light source (not shown), an illumination-optical system (not shown), and a detection-optical system (comprising lenses 22, 23). The light source produces a beam of light having a wavelength to which the photoresist on the wafer surface is not lithographically sensitive. The illumination-optical system of the system 6 directs the beam of light from the source onto the mark 4a as the lenses 22, 23 of the detection-optical system project an enlarged image of the illuminated mark onto the detector 21. The detection-optical system has a numerical aperture sufficiently large to form the mark image with high contrast, thereby allowing the position of the mark 4a to be detected with high accuracy and precision. The mark-position-detection system 6 also comprises a position-adjusting device 24, discussed later below. FIG. 3, providing a plan view as viewed from above, depicts positional relationships of the exposure-image field 31 of the projection-optical system 1 with the detection centers of the mark-position-detection system 6 in the embodiment of FIG. 1. In FIG. 3 the exposure-image field 31 is shaped as a sector of an annulus (ring) formed in the image plane of the projection-optical system 1. The exposure-image field 31 encompasses an area situated between two arcs 33, 34. The arcs 33, 34 have respective radii and subtend a certain angle centered at a cross-point 32 situated at the intersection of the center axis (which may or may not be the optical axis) of the projection-optical system 1 with the image plane (an x-y plane) of the projection-optical system. The sector-shaped exposure-image field 31 solves the difficulty of having to provide a wide image field in the vicinity of the center axis of a reflective optical system. The detection center (e.g., point 35a, see FIG. 3) of the mark-position-detection system 6 for the wafer is laterally displaced, as shown in FIG. 2, a predetermined distance from the center axis 1a of the projection-optical system 1. The predetermined distance reflects space limitations caused by mechanical interference between the mark-position-detection system 6 and the projection-optical system 1. Normally, the mark-position-detection system 6 is mounted on the side wall of the optical column of the projection-optical system 1. The distance between the center axis 6a (corresponding to the detection center) of the mark-position-detection system 6 and the center axis 1a of the projection-optical system 1 is equal or nearly equal to the sum of the radius r (e.g., 50 mm) of the optical column of the mark-position-detection system 6 plus the radius R (e.g., 300 mm) of the optical column of the projection-optical system 1. As indicated in FIG. 3, regarding the cross-point 32 as an origin, an x-y coordinate system is established such that the center 36 of the exposure-image field 31 is located at a position x on the x-axis, wherein x less than 0, and the detection center 35a of the mark-position-detection system 6 is located within a region denoted by xxe2x89xa60 (namely, the area 39 denoted by hatching). In other words, establishing a line connecting the cross-point 32 (corresponding to the center axis of the projection-optical system 1 and serving as an origin) with the center 36 of the exposure-image field 31 as an x-axis, the x-coordinate of the center 36 is at a respective xe2x88x92x value, relative to the cross-point 32. Similarly, the detection center 35a also has a respective xe2x88x92x coordinate. Thus, the detection center 35a is located in the xe2x88x92X-direction relative to the exposure-image field 31 and relative to the center axis 1a of the projection-optical system 1. This x-axis is parallel to or coincident with the axis along which the wafer stage 5 is moved during scanning exposure. By situating the detection center 35 in the area 39 as discussed above, the base line BL (namely, the distance between the detection center 35 and the center 36 of the exposure-image field) can be made shorter than conventionally. As a result, the distance over which the wafer stage 5 is moved from the alignment position to the exposure position can be reduced, thereby correspondingly improving the stability of the base line. More specifically, and by way of example, whenever the detection center is situated at the point 35a on the x-axis, the stability of the base line is improved. Similarly, by situating the detection center at a point 35b or a point 35c on a straight line (namely, a line extending parallel to the y-axis) passing through the center 36 of the exposure-image field, coordinates are established in a similarly simple manner that improves the stability of the base line. The mark-position-detection system 6 cannot be located, even within the hatched area 39, where it may interfere with the optical column of the projection-optical system 1. However, the mark-position-detection system 6 desirably is located at a position separated from the cross-point 32 by a distance greater than (R+r) (FIG. 2) (namely, the radius of the optical column of the projection-optical system plus the radius of the mark-position-detection system). The shortest length of the base line BL is such a case is established when the detection center 35a is located on the x-axis (FIG. 3). A dimension of the base line BL in this case is obtained by subtracting a distance F (between the origin 32 and the center 36 of the exposure-image field) from the sum (R+r). Whereas visible light, infrared light, or ultraviolet light can be irradiated as detection light onto the mark 4a on the wafer 4, the mark-position-detection system 6 can be configured to detect detection light reflected, scattered, and/or diffracted from the mark and having a wavelength in any of these ranges. By employing an optical detection principle, higher detection accuracy is obtained. In particular, by increasing the wavelength band of the detection light, a decreased interference effect of light within the resist on the wafer 4 is achieved, which improves detection accuracy. Especially in embodiments in which the mark-position-detection system 6 is situated adjacent the projection-optical system 1, at least a portion of its optical system can be situated within the vacuum environment used for making microlithographic exposures. As a result, the optical elements of the optical system (which have refractive indices typical of glass) have refractive indices that are relative to the refractive index of vacuum, which is a desirable refractive-index difference for achieving high-resolution detection of mark position. On the other hand, if any portion of the optical system of the mark-position-detection system 6 is situated at atmospheric pressure, then that portion can be adjusted easily without having to manipulate or release the vacuum established in the vacuum chamber. However, optical systems designed to exhibit an optimal degree of aberration correction under vacuum conditions usually do not exhibit optimal aberration correction under atmospheric-pressure conditions. For example, changing the pressure from vacuum to atmospheric or vice versa typically produces a change in focal length. Hence, it is desirable that the optical system of the mark-position-detection system 6 include a mechanism for correcting focal position. Providing a focal-position-correction mechanism allows accurate detection of mark position even under atmospheric conditions and allows easy adjustment of the mark-position-detection system 6. An embodiment of a mark-position-detection system 6 including a focal-point-correction mechanism as described above includes an optical system comprising multiple lenses. A subset (one or more) of the lenses is movable by the focal-point-correction mechanism along the optical axis of the optical system. All the lenses of the optical system can be located in the vacuum chamber so as to be in the vacuum environment during use. Alternatively, a subset of the optical elements can be situated within the vacuum chamber while another subset of the optical elements is situated outside the vacuum chamber. The more desirable configuration has all the optical elements located in the vacuum environment, as shown in FIG. 2, in which a position-adjusting device 24 (e.g., an actuator such as a motor that moves at least one lens and thus, in combination with the at least one lens, serves as the focal-point-correction mechanism) is associated with at least one of the optical elements in the vacuum environment and is configured so as to adjust the lens 23 as required for optimal resolution of mark detection. The position-adjusting device 24 can be remote-controlled. Alternatively, the position-adjusting device 24 can be situated outside the vacuum chamber, which may be desirable because of the typically lower cost of such an arrangement. The mark-position-detection system 6 is not limited to having an optically based detection system (i.e., an optical system based on visible, IR, or UV light). Alternative embodiments can utilize an electron beam or other charged particle beam, or an X-ray beam irradiated onto a mark. The mark-position-detection system 6, in such alternative embodiments, detects electrons, charged particles, or X-rays, respectively, that are reflected, scattered, or discharged energetically from the mark 4a , or that pass through the mark. If the projection-exposure apparatus utilizes an X-ray beam for exposure, the exposure environment is typically a high vacuum, which is an ideal environment for the electron beam, charged particle beam, or X-ray beam of the mark-position-detection system 6 (because all these types of beams are readily absorbed and attenuated by air). FIG. 4 depicts an X-ray projection-exposure apparatus 110 corresponding to a second representative embodiment. The apparatus 110 includes an X-ray source (not shown), an X-ray illumination-optical system (not shown), an X-ray projection-optical system 1, a mask stage 3 for holding a mask 2, a wafer stage 5 for holding a wafer 4, a mark-position-detection system 6 associated with the wafer 4, a mark-position-detection system (not shown) associated with the mask 2, a vacuum chamber 7, a base member 8 for supporting an optical column, and a vibration-damping base 9 for supporting the base member 8. The X-ray light source in this embodiment is a laser-plasma X-ray source. X-rays generated by this are irradiated via the illumination-optical system onto the mask 2. An exemplary X-ray wavelength produced by the source is 13.5 nm, which requires that the mask 2 be an X-ray-reflective mask. The X-ray beam 11a reflected from the mask 2 pass through the projection-optical system 1 and, now as the beam 11b, is projected onto the wafer 4. By way of example, the mask pattern is transferred, with demagnification, onto the surface of the wafer 4. The projection-optical system 1 of this embodiment comprises six reflective mirrors, has a demagnification ratio of xc2xc, and has a ring-shaped exposure-image field having a width of 2 mm and a length of 30 mm. The six reflective mirrors are supported within an optical column made of Invar or analogous material to suppress thermal deformations of the projection-optical system 1. Each of the reflective mirrors has an aspherical reflective surface on which a respective Mo/Ru -Si multi-layer film has been applied so as to render the reflective surfaces highly reflective to incident X-rays. The Mo layers and Ru layers are formed alternatingly with respect to Si layers in a superposed manner and under conditions in which the internal stress exhibited by the multi-layer film desirably is no greater than 30 MPa. During exposures, the mask 2 is moved in a scanning manner by the mask stage 3 as the wafer 4 is moved in a scanning manner by the wafer stage 5. If the projection-optical system 1 has a demagnification ratio of xc2xc, the scanning velocity of the wafer 4 is continuously synchronized at xc2xc the scanning velocity of the mask 2. The mark-position-detection system 6 associated with the wafer 4 is an optical microscope in this embodiment. The optical microscope forms an enlarged image of the mark 4a, formed on the wafer 4, and the enlarged image is detected by a CCD or the like of the mark-position-detection system 6. The mark image is digitally processed to obtain data concerning the position of the wafer 4. As indicated in FIG. 3, a detection center of the mark-position-detection system 6 associated with the wafer can be situated at the point 35a on the x-axis. In this position, the mark image can be observed readily. The mark-position-detection system 6 also is arranged such that the base line BL (FIG. 3), between the center 36 of the exposure-image field and the detection center 35a, is minimized in length. The mark-position-detection system 6 is mounted to the base member 8, which is mounted on the vibration-attenuating base 9. The vibration-attenuating base 9 is configured to prevent propagation of vibrations (e.g., from the mask stage 3 and/or wafer stage 5) to the base member 8 and hence to the projection-optical system 1 and the mark-position-detection 6. In addition, a shock-absorber 10 such as a bellows or the like is situated between the vacuum chamber 7 and the base member 8. Thus, the positional relationships of the projection-optical system 1 and the mark-position-detection system 6 relative to each other are maintained substantially constant, thereby allowing the position of a mark on the wafer to be detected to within 10 nm or less with high accuracy. Such accurate detection also provides a base-line stability of 10 nm or better. With such accuracy in mark detection and stability of the base line achieved using the apparatus of FIG. 4, microlithographic exposures of fine patterns are performed with extremely good overlay accuracy. For example, the apparatus 110 can produce transferred patterns, in the resist on the wafer 4, having a minimum feature size of 0.07 xcexcm over the entire die area (area of a single semiconductor chip as formed on the wafer) with good shape fidelity of the transferred pattern elements, at high yield and high throughput. An exemplary exposure of a resist-coated wafer, using the apparatus of FIG. 4, is performed as follows. First, multiple marks formed on the surface of the wafer 4 are detected, in advance of exposure, by the mark-position-detection system 6. From these positional data, the respective intervals between the marks are determined and compared to respective as-designed data. Any differences from as-designed data serve as the bases for calculating respective magnification-correction data. The magnification-correction data are used to correct the positions of the mask 2 and/or wafer 4 (in the direction of the optical axis of the projection-optical system 1) during exposure so as to achieve optimal exposure at each location on the wafer. Next, a baseline, corresponding to a distance between the center of an exposure-image field and a detection center, is measured. In a similar manner, respective marks defined on the wafer surface and on the mask surface are detected by the respective mark-position-detection systems. As required, and based on data obtained by these systems, the wafer stage 5 and mask stage 3 are driven so as to adjust the wafer position relative to the mask position. Thus, on the wafer 4, a projected pattern is formed on the previously formed circuit pattern at a desired overlay accuracy, based on upon the previously obtained data concerning the base line. Thus, for example, a resist pattern having a minimum feature size of 0.07 xcexcm or less can be obtained over the entire die area on the wafer, at an overlay accuracy of 10 nm or better. The X-ray projection-exposure apparatus and methods described above can be used for manufacturing a microelectronic device. For example, the microelectronic device can be a 16-GB (gigabytes) DRAM. Typically, these devices comprise approximately 22 layers, of which at least 15 layers have sufficiently narrow linewidths to require exposure by X-ray projection-exposure. The remaining 7 layers have pattern linewidths of at least 0.15 xcexcm, which allow them to be exposed by excimer-laser microlithography. The microlithography steps are accompanied by respective steps of resist-coating, doping, annealing, etching, and metal depositions, as required. Completing formation of all the requisite layers completes formation of the respective microelectronic devices on the wafer. The wafer is cut up (xe2x80x9cdicedxe2x80x9d) into individual xe2x80x9cchips,xe2x80x9d and each chip is encased in a package of, e.g., ceramic. As described above, X-ray projection-exposure apparatus as described above provide enhanced base-line stability. As a result, during microlithography performed using the apparatus, increased accuracy of layer overlay is obtained, even with wafers exhibiting large deformation. Such increased accuracy is realized without sacrificing throughput. Whereas the invention has been described in connection with several representative embodiments, it will be understood that the invention is not limited to those embodiments. On the contrary, the invention is intended to encompass all modifications, alternatives, and equivalents as may be included within the spirit and scope of the appended claims. |
|
description | The present invention relates generally to grazing-incidence collectors (GICs), and in particular to cooling systems and methods for GICs used in extreme ultraviolet (EUV) lithography. EUV lithography is anticipated to be the lithographic process of choice for producing future generations of semiconductor devices having linewidths on the order of 32 nm and smaller. The wavelength of the EUV radiation is nominally 13.5 nm, which calls for the use of specialized optics to collect and image the EUV radiation. One type of EUV optical system used to collect the radiation from the light source is a grazing incidence collector (GIC). A GIC typically comprises one or more concentrically arranged shells configured to receive light from the EUV source at grazing incidence and reflect the light to form a focused illumination beam that first forms an intermediate focus and then creates an illumination region in the far field that is preferably uniform to within a specification set by the overall system optical design. The light sources being considered for EUV lithography include a discharge-produced plasma (DPP) and laser-produced plasma (LPP). The conversion efficiency of these sources is only a few percent so that most of the energy used to generate the EUV radiation is converted to infrared, visible and UV radiation and energetic particles that can be incident upon the collector mirror. This radiation causes a substantial thermal load on the GIC mirror. Each GIC mirror shell therefore needs to be cooled so that the heat absorbed by the mirror does not substantially adversely affect GIC performance or damage the GIC. Essentially all GICs for EUV lithography have been used to date only in the laboratory or for experimental “alpha” systems under very controlled conditions. As such, there has been little effort directed to GIC cooling systems for use in a commercially viable EUV lithography system. In fact, the increasing demand for higher EUV power also increases the thermal load on the GIC. Consequently, more efficient and effective thermal management and cooling systems must be implemented to minimize the optical distortion due to the thermal load. An aspect of the invention is a cooling system for an EUV GIC having at least one shell with a back surface and a central axis. The cooling system includes a plurality of spaced apart substantially circular cooling lines arranged in substantially parallel planes that are substantially perpendicular to the shell central axis. The cooling lines are in thermal contact with and run around a corresponding circumference of the back surface. The system also has input and output cooling-fluid manifolds respectively fluidly connected to the plurality of cooling lines at spaced apart input and output locations to flow a cooling fluid from the input cooling-fluid manifold to the output cooling-fluid manifold over two paths for each cooling line. In one example, the cooling-line paths are substantially semicircular. Another aspect of the invention is an EUV lithography system for illuminating a reflective mask. The EUV lithography system includes a source of EUV radiation and a GIC collector having the above-described cooling system, wherein the GIC collector is configured to receive EUV radiation and form collected EUV radiation. The system also includes an illuminator configured to receive the collected EUV radiation and form condensed EUV radiation for illuminating the reflective mask. Another aspect of the invention is a method of cooling a GIC shell having a back surface and a central axis. The method includes providing a cooling fluid to a plurality of cooling fluid input locations adjacent the shell back surface. The method also includes guiding the cooling fluid over a portion of the shell back surface via a plurality of separate pairs of substantially semicircular paths in substantially parallel planes that are substantially perpendicular to the central axis and in thermal contact with the shell back surface to a corresponding plurality of cooling fluid output locations adjacent the shell back surface and located substantially 180° from the cooling fluid input locations. Another aspect of the invention is a method of forming a GIC shell having a backside and a central axis. The method includes providing the shell on a mandrel, and then providing a cooling assembly having a plurality of substantially circularly configured cooling lines arranged in substantially parallel planes that are substantially perpendicular to the shell central axis. Each cooling line has a pair of substantially semicircular sections defined by cooling fluid input and output locations. The method also includes disposing the cooling assembly such that the cooling lines contact the shell back surface. The method then involves electroforming the cooling lines to the shell back surface, and then removing the shell and the attached cooling assembly from the mandrel. Another aspect of the invention is a method of collecting EUV radiation from an EUV radiation source. The method includes arranging, relative to the EUV radiation source, a GIC mirror system having at least one GIC shell. The method also includes cooling the at least one GIC shell with the cooling method as described above and as described in greater detail below. The method further includes using the GIC mirror system to reflect the EUV radiation from the EUV radiation source to an intermediate focus. It is to be understood that both the foregoing general description and the following detailed description present embodiments of the invention, and are intended to provide an overview or framework for understanding the nature and character of the invention as it is claimed. The accompanying drawings are included to provide a further understanding of the invention, and are incorporated into and constitute a part of this specification. The drawings illustrate various embodiments of the invention and together with the description serve to explain the principles and operations of the invention. The various elements depicted in the drawing are merely representational and are not necessarily drawn to scale. Certain sections thereof may be exaggerated, while others may be minimized. The drawing is intended to illustrate an example embodiment of the invention that can be understood and appropriately carried out by those of ordinary skill in the art. FIG. 1 is a schematic diagram of embodiment of a GIC shell cooling system (“system”) 10 for cooling a GIC shell 20. Multiple GIC shell cooling systems 10 along with their corresponding GIC shell 20 can be combined to form a cooled GIC mirror system (“GIC mirror”) 240 as described in greater detail below. GIC shell 20 has an inner surface 21 (see FIG. 2 and FIG. 3), a back surface 22 and a central axis AC. A Cartesian coordinate system is shown in FIG. 1 and subsequent Figures for the sake of reference. GIC shell 20 is typically axially symmetric so that back surface 22 typically has an associated radius R22 that varies with position along central axis AC, as illustrated in the partial schematic view of FIG. 2. System 10 includes a plurality of spaced apart cooling lines 30 that are disposed relative to GIC shell back surface 22 so as to be in thermal communication therewith. In an example embodiment, cooling lines 30 include nickel (e.g., nickel tubing) and can have a round or non-round (e.g., oblate or oval) cross-sectional shape. An example cooling line outside diameter is in the range from about 5 mm to about 6 mm. In example embodiments, at least some of cooling lines 30 have a different diameter. Cooling lines 30 are arranged in substantially parallel planes PL that are substantially perpendicular to shell central axis AC so that each cooling line traverses the circumference of the GIC shell 20 at a given radius. FIG. 3 is a perspective view of an example GIC shell 20 with cooling lines arranged on back surface 32, and FIG. 4A is a schematic diagram of an example cooling line 30 without GIC shell 20. Cooling line 30 has a center AL and first and second substantially semicircular sections 32A and 32B that define semicircular cooling fluid flow paths. Cooling line 30 also includes respective input and output sections 34 and 36 located substantially 180° apart from each other and that define the first and second cooling line substantially semicircular sections 32A and 32B. In an example embodiment, input and output sections 34 and 36 each consist of an alloy brazed connection rather than sections of cooling line. Input and output sections 34 and 36 define respective input and output locations where cooling fluid 100 is inputted and outputted from the corresponding cooling line 30. System 10 further includes input and output cooling-fluid manifolds 44 and 46 arranged adjacent shell surface 22. Input and output cooling-fluid manifolds 44 and 46 are configured to connect to multiple input and output sections 34 and 36 associated with the multiple cooling lines 30 for the given GIC shell so that cooling fluid can flow from the input manifold to the cooling lines and then to the output manifold. In an example embodiment, a given GIC shell 20 may have two sets of input and output cooling fluid manifolds 44 and 46, with one set connecting to “even” cooling lines 30 and the other set connecting to “odd” cooling lines. In one example, the two sets of input and output cooling-fluid manifolds 44 and 46 are located about 90° from each other. This configuration is used, for example, when there are a relatively large number of tightly spaced cooling lines 30. FIG. 4B is similar to FIG. 4A and illustrates an example cooling line 30 and input and output cooling-fluid manifolds 44 and 46 that might be located adjacent the cooling line and input and output cooling-fluid manifolds of FIG. 4A, with the input and output cooling-fluid manifolds 44 and 46 of the adjacent cooling lines being located 90° apart while the input and output cooling-fluid manifolds 44 and 46 associated with each cooling line 30 are located about 180° apart. Input and output cooling-fluid manifolds 44 and 46 can be thought of as “secondary” manifolds that are respectively connected to input and output main cooling-fluid manifolds 54 and 56 via respective input and output feeder lines 64 and 66. An example outside diameter of the feeder lines is 11 mm. Input and output main manifolds 54 and 56 are configured to connect to multiple input and output feeder (connecting) lines 64 and 66 for the different GIC shells 20 of a multi-shell GIC mirror system, as described below. Cooling lines 30, input and output sections 34 and 36, secondary manifolds 44 and 46, input and output feeder lines 64 and 66, and input and output main manifolds form a sealed cooling fluid flow path through which a cooling fluid 100 flows in the direction from input main manifold 54 to output main manifold 56. A preferred cooling fluid 100 is water, and more preferably is deionized water. The flow of cooling fluid through cooling lines 30 is described below. In an example embodiment, securing members 80 are provided on the input and output connecting lines 64 and 66, on input and output manifolds 44 and 46, or at the interface thereof, for securing GIC shell 20 to a stand-off device 250 (introduced and discussed below in connection with FIG. 11 and FIG. 12) that maintains multiple GIC shells in a separated configuration. Also in an example embodiment, GIC cooling system 10 includes one or more support struts 90 arranged generally perpendicular to cooling lines 30 and that are fixed to the cooling lines on the side opposite GIC shell back surface 22 (see FIG. 1 and FIG. 3). Support struts 90 are configured to maintain cooling lines 30 in a select configuration as GIC cooling system 10 is moved about and ultimately arranged on the GIC shell back surface 22 and thermally contacted thereto, as described below. Enhanced Thermal Contact of Cooling Fines to GIC Shell Cooling lines 30 need to be in good thermal contact with GIC shell back surface 22 to provide efficient cooling. In one example embodiment illustrated in the partial cross-sectional views of FIG. 5A and FIG. 5B, the thermal contact is enhanced by covering at least a portion of the plurality of cooling lines 30 and the shell back surface 22 with a substantially conformal metal layer 110. FIG. 5A shows cooling line 30 completely covered with conformal metal layer 110. FIG. 5B is similar to FIG. 5A and shows an example where conformal metal layer 110 only partially covers cooling line 30 where the cooling line contacts the GIC shell back surface 22. The conformal metal layer 110 enhances thermal contact between cooling lines 30 and shell back surface 22 because the metal layer provides better thermal conductivity than the air or vacuum that would otherwise occupy the space occupied by the metal layer. In an example embodiment, conformal metal layer 110 is formed by electroforming cooling lines 30 to GIC shell 20. An added benefit of this electroforming is enhanced structural support of the assembly formed by the cooling system 10 and GIC shell 20. In an example embodiment, GIC shell 20 is formed as an electroformed shell made of nickel or a nickel alloy, wherein the electroforming process is carried out on a mandrel until the GIC shell reaches a certain thickness (e.g., 1 mm). The GIC shell 20 is left on the mandrel and cooling system 10 (except for the main input and output manifolds 54 and 56) is interfaced with the shell, with cooling lines 30 disposed on back surface 22 as described above. GIC shell 20 can also be formed using other electroformable metals, such as copper, silver and gold, as well as alloys of nickel (e.g., nickel-cobalt) and gold alloys. Nickel and nickel alloys are generally preferred due to their useful inherent material properties. The entire assembly is then electroformed to form a nickel or nickel alloy metal layer 110 over all or part of cooling lines 30, as shown in FIG. 5A or FIG. 5B. In an example embodiment, metal layer 110 has a general thickness anywhere from 1.5 mm to 4 mm. The entire electroformed assembly is then removed from the mandrel. This final assembly is relatively stiff due to the enhanced structural support provided by metal layer 110. Cooling lines 30, input and output cooling-fluid manifolds 44 and 46, and input and output feeder lines 64 and 66 preferably have a coefficient of thermal expansion close to that of nickel, or alternately are not themselves very stiff so that some difference in thermal expansion can be accommodated. Exemplary preferred materials for one or more of these components include nickel and nickel alloy, which are compatible with both semiconductor processes and nickel-based electroforming processes. Cooling Line Density Generally, it is desirable to have cooling lines 30 be as close to each other as possible. Yet, electric field proximity effects during the electroforming process prevent the cooling lines from being packed too close to one another. FIG. 6 is a cross-sectional view of two adjacent cooling lines 30 as disposed on GIC shell back surface 22. Cooling lines 30 have an outside diameter DO, a center-to-center spacing S1 and an edge-to-edge spacing S2. In an example embodiment that involves electroforming cooling lines 30 to the GIC shell 20, center-to-center spacing S1 of equal to or greater than about 3×DO, and in another example embodiment, edge-to-edge spacing S2 of equal to or greater than about 2×DO, in order to avoid detrimental electric field proximity effects during electroforming. In an even more efficient design, center-to-center spacing S1 is equal to or greater than about 2×DO and edge-to-edge spacing S2 is equal to or greater than about 1×DO. Cooling Fluid Flow An improper design of a GIC mirror cooling system can lead to the formation of surface ripples in the GIC shell due to the uneven cooling effects—specifically, local expansion and contraction of the GIC shell caused by thermal gradients. Such surface ripple can cause an expansion and/or distortion of the collector focal spot that can compromise the uniformity of the collected EUV radiation at the GIC mirror focus. An aspect of GIC cooling system 10 is that it reduces the formation of detrimental thermal gradients and the attendant mirror deformations such as surface ripples. FIG. 7 is a schematic diagram of an example prior art cooling line 30P with a configuration having input and output lines 34P and 36P located adjacent one another and connected to a combined input/output cooling-fluid manifold 45. Locations A and B are locations on GIC shell 20 associated with input and output lines 34P and 36P. As the cooling fluid 100 flows from input line 34P, it has a relatively cool initial temperature TA. The cooling fluid 100 is heated as it flows around cooling line 30P due to the thermal contact with the relatively hot GIC shell 20 (not shown; see FIG. 1). The heated cooling fluid 100 with raised temperature TB exits cooling line 30P at output line 36P. The difference in temperature between locations A and is B is defined at ΔTP=TB−TA and represents a thermal gradient between the two locations. This type of thermal gradient can result in the local deformation of the GIC shell 20, which in turn degrades the focusing performance of the GIC shell, i.e., it reduces the GIC shell's ability to focus the collected light. FIG. 8A is similar to FIG. 7, except it shows the configuration of a cooling line 30 of the present invention, wherein the input and output sections 34 and 36 are located substantially 180° apart. In this configuration, the cooling fluid 100 at temperature TA is provided from input main cooling-fluid manifold 54, up through input feeder line 64 to input cooling-fluid manifold 44 and into input section 34. Cooling fluid divides up at input section 34 such that substantially half of the cooling fluid 100A enters cooling line semicircular section 32A and substantially half of the cooling fluid 100B enters cooling line semicircular section 32B. The two cooling fluid portions 100A and 100B traverse their respective semi-circular cooling line sections 32A and 32B and arrive at output line 36 where they are recombined. The recombined cooling fluid 100 then flows through output cooling-fluid manifold 46, through output feeder line 66 and into output main manifold 56. In this configuration, locations A and B are input locations and locations A′ and B′ are output locations. Because the flow paths of cooling fluid portions 100A and 100B in cooling lines 30 are substantially symmetrical over GIC shell back surface 22, the two cooling fluids arrive at output section 36 having substantially the same temperature TB. Thus, at input locations A and B, as well as output locations A′ and B′, the corresponding temperature gradients ΔTAB and ΔTA′B′ are essentially zero. This serves to preserve the local shape of GIC shell 20 and thus preserve its focusing performance. The cooling line configuration of FIG. 8A also allows for better temperature control because cooling fluid 100 divides up and only flows over respective halves of the circumference of GIC shell 20 for each cooling line 30. In an example embodiment, temperature uniformity over GIC shell 20 is controlled by controlling the flow rate of cooling fluid 100 for each cooling line 30. It is desirable to have moderately turbulent flow of cooling fluid 100 within the cooling lines 30 to maximize the coefficient of thermal exchange between the internal surface of the cooling lines and the cooling fluid. The configuration of cooling lines 30 allows for a relatively high rate of flow because there are two separate flow paths around GIC shell 20. FIG. 8B illustrates an example embodiment where cooling line input section 34 includes a flow-control device 35 (e.g., a flow-control valve) for controlling the flow of cooling fluid in the corresponding cooling line 30. In an example, at least one flow-control device 35 is used to control the flow of cooling fluid 100 in at least one cooling line 30. Note also that the cooling line configuration of FIG. 8A obviates the need to have a gap between input and output cooling lines that are on the same side of GIC shell 20. Such a gap generally leads to the aforementioned temperature gradient and attendant local shape deformation of GIC shell 20. Cooling Line Configuration for Segmented GIC Shell FIG. 9A is a partial cross-sectional view of an example GIC shell 20 having two segments 23A and 23B, with a leading edge 26 on segment 23A and a trailing edge 27 on segment 23B. A EUV light source LS that emits light rays LR is shown for the sake of reference. In an example embodiment, the different shell segments 23A and 23B are defined by a discontinuity in the otherwise smoothly varying surface curvature of GIC shell 20. The discontinuity represents the transition between two different mathematical equations (e.g., two different ellipsoidal equations or between an ellipsoidal equation and a hyperboloidal equation) that respectively describe the surface curvature of the two segments. The discussion below is directed to a GIC shell mirror having two segments but is applicable to a GIC shell mirror having only one segment or more than two segments. GIC shell segments 23A and 23B are arranged so that an EUV light ray LR from EUV light source LS first reflects from segment 23A and then reflects from segment 23B. Because the first segment is closer to the light source, it is subjected to a greater thermal load than the second segment of the GIC shell 20. Since shell segment 23A experiences a larger thermal load than shell segment 23B, the latter shell segment does not require as much cooling capacity as the former. Thus, cooling lines 30 on the first and second shell segments 23A and 23B are configured to provide respective first and second amounts of thermal cooling that correspond to the first and second thermal loads these shell segments experience in operation. The thermal load generally will vary with distance from EUV light source LS so that the front of the first segment 23A will get more thermal load than the middle of the first segment, etc. Thus, in an example embodiment, cooling lines 30 are also configured to provide varying amounts of thermal cooling over a given shell segment corresponding to the expected thermal load variation over the shell segment. In an example embodiment of the two-reflection GIC shell 20 shown in FIG. 9A, shell segment 23A includes more cooling capacity than shell section 23B. This involves, for example, using cooling lines 30 with a larger diameter on shell segment 23A than on shell segment 23B. In another example embodiment, shell segment 23A and shell segment 23B include cooling lines having the same diameter except that they are more closely spaced on shell segment 23A than on shell segment 23B, or that there are more cooling lines on shell segment 23A than on shell segment 23B. Also, the diameter of the cooling lines can decrease in size with distance from the light source. Finally, the flow rate of the cooling fluid in each cooling line “ring” 30 can be set to a desired level by means of appropriate orifices or restriction of the cooling-line cross section (e.g., via flow-control device 35 of FIG. 8B) to match the thermal load on the section of the mirror corresponding to the location of the cooling line. Note that in an example embodiment the flow-control device 35 of FIG. 8B can be considered as being located in cooling line 30. Also in an example embodiment, leading shell edge 26 is provided with an extra large cooling line (labeled “30LE”) since this leading edge is closest to EUV light source LS and is heated by light rays LRE as well as particles and plasma from the source. In the absence of cooling line 30LE, leading edge 26 will cool somewhat by radiating some of the absorbed heat into the adjacent space SP, which in operation is a vacuum. This radiative cooling is ineffective as compared to the thermal conduction to bulk portion of GIC shell 20. Such an ineffective radiation-only cooling strategy can lead to a sharp thermal gradient at leading edge 26, which can locally distort GIC shell segment 23A and cause the leading edge shape to distort leading to poor focusing of the EUV radiation. Note that in the example embodiment shown, cooling line 30LE has an oblate cross section and so has a larger carrying capacity than the other cooling lines 30 of shell segment 23A while also having a reduced profile as compared to a circular-cross-section cooling line with the same fluid carrying capacity. In an example embodiment, trailing edge 27 is provided with a cooling line 30TE to compensate for the inefficient radiative cooling into surrounding space SP. Note that when placing a cooling line 30 at a shell edge, there is the risk that the cooling line can block EUV radiation (schematically shown as EUV light rays LR) from reaching the next outer adjacent GIC shell or emerging from the next outer GIC shell in the GIC mirror system. Accordingly, an oblate cross-sectional shape for cooling line 30 is particularly desirable at a front or leading edge location such as leading edge 26 to not block EUV light from reaching the next outer adjacent GIC shell, and trailing edge 27 to not block EUV light emerging from the next outer adjacent GIC shell, as illustrated in FIG. 9A. The thermal load on segmented GIC shell 20 can vary over each segment 23A and 23B as well as between segments. Thus, with reference to FIG. 9B and FIG. 9C, cooling lines 30 can vary with diameter and/or spacing over each shell segment 23A and 23B in a manner corresponding to the thermal load variation for the segment. Forming the Cooling System With reference now to FIG. 10, in an example embodiment, a portion of cooling system 10 consisting of cooling lines 30, support struts 90, input and output cooling-fluid manifolds 44 and 46, input and output feeder lines 64 and 66 constitutes a “mirror cooling assembly” or MCA 200 that is formed prior to being interfaced with GIC shell 20. The cooling lines 30 along with support struts 90 constitute a cooling line assembly (CLA) 201. GIC shell 20 is shown in phantom for the sake of illustration. Once MCA 200 is so formed, it is interfaced to GIC shell 20 (including optionally electroformed) with CLA 201 in contact therewith, and then the MCA is connected at input and output feeder line ends 67 and 69 to input and output main cooling-fluid manifolds 54 and 56. MCA 200 includes a number of connections 206 that need to be vacuum tight. In an example embodiment, connections 206 are formed by brazing. In order to form the brazed connections and also to electroform MCA 200 and GIC shell 20, the MCA needs to be very clean. In an example embodiment, MCA 200 is initially assembled and then put through a cleaning process. In an example embodiment, the cleaning process includes a “green firing” to burn off contaminants such as organics, oils, liquids, dirt, etc. An example green firing process includes subjecting MCA 200 to a temperature of 800° C. in vacuum for 4 hours. At this point, MCA 200 needs to be handled with gloves and other clean environment considerations. The cleaning process may also include, for example, vapor degreasing, ultrasonic cleaning, etc., prior to the green firing step. At this point, connections 206 are not yet formed. Thus, in an example embodiment, connections 206 are formed to be vacuum tight by forming alloy joints using a hydrogen retort and brazing process. An example of this process includes placing MCA 200 in a vacuum chamber and pumping the chamber down to a suitable vacuum. Hydrogen is added to the chamber, and the chamber interior is brought up to temperature. Because the environment is oxygen-free, no oxygen gets into the joints, which reduces the chances of joint oxidation. The braze material making up the alloyed joints melt and gets wicked into the joints. The processed MCA 200 is then removed from the vacuum chamber using clean-handling procedures and the MCA is checked for leaks. The clean-handling procedures are continued while MCA is transported to and interfaced with GIC shell 20, which in one embodiment is left on the mandrel on which is was formed to provide structural support during the second electroforming process. Interfacing MCA 200 with GIC shell 20 includes in one embodiment providing (which includes leaving) the shell on a mandrel, and then the CLA 201 is disposed thereon so that it contacts shell back surface 22. A separation layer is typically used to facilitate the shell removal process. The entire structure—that is, GIC shell 20 and MCA 200 is then electroformed described above. In an example embodiment, GIC shell 20 and MCA 200 are rotated within the electroforming tank (not shown) to enhance the uniformity of the electroforming process. Once the electroforming process is completed, the electroformed GIC shell 20 along with the attached MCA is then removed from the mandrel. The input and output feeder lines 64 and 66 are then respectively connected to main input and output cooling-fluid manifolds 54 and 56. GIC shell 20 is also coated on its inner surface 21 with a reflective coating (not shown) to improve the mirror reflectivity at the given wavelength and over the range of expected radiation angles. For forming a GIC mirror 240 having multiple GIC shells 20 such as shown in FIG. 11, a stand-off device 250 is used to hold GIC shells 20 in a spaced apart configuration. In an example embodiment, GIC shells 20 are laser welded or epoxied to stand-off device 250. FIG. 12 shows a face-on view of an example stand-off device 250 that has inner and outer circular support rings 254 and 256 and a number of radial spokes 258 connecting the two support rings. Radial spokes 258 include two sets of indents 260 located and sized to accommodate trailing edge 27 of the inner and outer GIC shells 20. Once GIC mirror 240 is assembled, then input and output feeder lines 64 and 66 of MCA 200 are connected to input and output main manifolds 54 and 56. FIG. 13 is a perspective view of an example GIC mirror 240 that includes three GIC mirrors 20 arranged in a nested configuration and held in place using a stand-off device 250. FIG. 14 is a perspective view of an example GIC mirror 240 that includes nine GIC mirrors 20 arranged in a nested configuration using a stand-off device 250. EUV Lithography System with Cooled GIC Mirror FIG. 15 is an example EUV lithography system (“system”) 300 according to the present invention. Example EUV lithography systems are disclosed, for example, in U.S. Patent Applications No. US2004/0265712A1, US2005/0016679A1 and US2005/0155624A1, which Applications are incorporated herein by reference. System 300 includes a system (optical) axis AS and EUV light source LS, such as a hot plasma source, that emits working EUV radiation 302 at λ=13.5 nm. EUV radiation 302 is generated, for example, by an electrical discharge source (e.g., a discharged produced plasma, or DPP source), or by a laser beam (laser-produced plasma, or LPP source) on a target of Lithium, Xenon or Tin. EUV radiation 302 emitted from such a LPP source may be roughly isotropic and, in current DPP sources, is limited by the discharge electrodes to a source emission angle of about θ=60° or more from optical axis AS. It is noted that the isotropy of the LPP source will depend on the mass of the target pellet. For relatively high mass targets, the emission is anisotropic, with most of the emerging radiation headed back toward the laser beam due to absorption in the forward direction by the target mass. For a low mass LPP target, which is almost entirely ionized by the laser, the emission is much closer to isotropic. System 300 includes a cooled EUV GIC mirror 240 such as that described above. EUV GIC mirror 240 is arranged adjacent and downstream of EUV light source LS, with central axis AC lying along system axis AS. EUV GIC mirror 240 collects EUV working radiation 302 (i.e., light rays LR) from EUV light source LS located at a source focus and the collected radiation forms intermediate source image IS at an intermediate focus. An illumination system 316 with an input end 317 and an output end 318 is arranged along system axis AS and adjacent and downstream of EUV GIC mirror 240 with the input end adjacent the EUV GIC mirror. Illumination system 316 receives at input end 317 EUV radiation 302 from source image IS and outputs at output end 318 a substantially uniform EUV radiation beam 320 (i.e., condensed EUV radiation). Where system 300 is a scanning type system, EUV radiation beam 320 is typically formed as a substantially uniform line of EUV radiation at reflective reticle 336 that scans over the reticle. A projection optical system 326 is arranged along (folded) system axis AS downstream of illumination system 316. Projection optical system 326 has an input end 327 facing illumination system output end 318, and an opposite output end 328. A reflective reticle 336 is arranged adjacent the projection optical system input end 327 and a semiconductor wafer 340 is arranged adjacent projection optical system output end 328. Reticle 336 includes a pattern (not shown) to be transferred to wafer 340, which includes a photosensitive surface in the form of a photosensitive coating (e.g., photoresist layer) 342. In operation, the uniformized EUV radiation beam 320 irradiates reticle 336 and reflects therefrom, and the pattern thereon is imaged onto photosensitive surface (coating) 342 of wafer 340 by projection optical system 326. In a scanning system 300, the reticle image scans over the photosensitive surface to form the pattern over the exposure field. Scanning is typically achieved by moving reticle 336 and wafer 240 in synchrony. Once the reticle pattern is imaged and recorded on wafer 240, the patterned wafer 340 is then processed using standard photolithographic and semiconductor processing techniques to form integrated circuit (IC) chips. Note that in general the components of system 300 are shown lying along a common folded axis AS in FIG. 15 for the sake of illustration. One skilled in the art will understand that there is often an offset between entrance and exit axes for the various components such as for illumination system 316 and for projection optical system 326. It will be apparent to those skilled in the art that various modifications and variations can be made to the present invention without departing from the spirit and scope of the invention. Thus it is intended that the present invention cover the modifications and variations of this invention provided they come within the scope of the appended claims and their equivalents. |
|
summary | ||
048213063 | description | FIG. 1 shows a system for slit radiography embodying the ideas underlying the present invention. Reference numeral 1 designates a source emitting X-radiation, which radiation passes through a diaphragm 2 and the schematically shown body of a patient to be incident on an X-ray detector tube 3. Source 1 is mounted for rotation about its axis, while diaphragm 2 and tube 3 are caused to perform a continuous, linear movement during the rotation of source 1 so that a portion of the body of the patient is irradiated by a fan X-ray beam extending normal to the plane of the drawing, with the radiation transmitted being incident on tube 3. Instead of performing a linear movement, the diaphragm may rotate about the axis of rotation of the source. For a more detailed explanation of the operation of the system shown, reference is made to U.S. patent application No. 06/648,707, filed on Sept. 7, 1984. In accordance with the invention, a filter 4 is mounted near diaphragm 2, which filter intercepts a portion of the beam emanating from source 1 to block the relatively soft X-radiation in this beam and pass the harder radiation. In principle, the filter may be of any material known to suit this purpose but materials such as copper or lead are preferred. Tube 3 is evacuated and, during operation, an electrical field is established between cathode 5 and anode 6. In FIG. 1a, cathode 5 is shown on an enlarged scale and includes a cathode support 7 having its rear face coated with a layer 8', 8" of, for example, CsI. In layer 8', 8" the X-radiation is converted into a visible image, which image releases electrons in the photocathode 9 mounted on the rear face of layer 8', 8", which electrons are projected onto the anode and can there be converted in known per se manner into an intensified visible image. The strip-shaped portion 8' of the CsI layer is relatively thin and the strip-shaped portion 8" is of considerably greater thickness, while the system is so dimensioned that the radiation passed by filter 4, i.e. the hardened radiation, is incident on the thicker portion 8" of the CsI layer, so that an image essentially formed by hard X-radiation is formed on the rear face of strip 8". This image causes electrons to emanate from photocathode 9, which electrons are released by the relatively hard, high energy X-radiation. The unfiltered radiation is incident on the thinner strip 8' of the cathode, in which strip predominantly the softer radiation is absorbed, so that in strip 8' an image is formed by the essentially soft X-radiation, whereby electrons are released in the photocathode, of which electrons the percentage caused by soft radiation is considerably higher than the percentage of electrons emanating from the rear face of strip 8" as a result of soft radiation. The above will be elucidated with reference to FIGS. 2 and 3. When determining the graphs of FIGS. 2 and 3, the X-ray source was operated at a constant voltage of 130 kVp and CsI was used as the detection material for layer 8', 8". In FIG. 2, curve A is the product curve of the emission of the X-ray tube, the transmission of a patient (150 mm H.sub.2 O) and the absorption of a CsI layer 8' of 0.05 mm thickness, as a function of the keV value of the X-radiation. Curve B differs from curve A as the radiation is additionally filtered by filter 4, which filter consists of Cu of 2 mm thickness, and as absorption occurs in layer 8" of CsI, which layer has a thickness of 0.3 mm. Both curves are standardized at a peak value 100. The "center of gravity" of curve A is at 57 keV and that of curve B at 85 keV. The light yield of the unfiltered, relatively soft radiation (A) is approximately 2.7 times higher than that of the filtered, relatively hard radiation (B). If this should present a problem when processing the images, the ratio of the widths of the beams and detector strips can be so modified by appropriately locating filter 4 and detector strips 8' and 8" corresponding therewith, on which strips he respective X-ray beams are incident, that the period of time during which strip 8" is exposed to radiation exceeds the period of time during which strip 8' is exposed. Also the curves C and D show the effect of filtering on the keV value of the X-radiation. Also these curves are standardized at a peak value 100. The difference between these curves and those shown in FIG. 2 is that the unfiltered radiation C is absorbed by a CsI layer 8' having a thickness of 0.1 mm instead of 0.05 mm, and that the filtered radiation is filtered by a Cu filter 4 having a thickness of 1 mm instead of 2 mm. The "center of gravity" of curve C is now at 58 keV and that of curve D at 78 keV. The distance between the "centers of gravity" has become smaller, mainly as a result of the lesser filtering. The light yield of the unfiltered radiation (C) is about 2 times higher than that of the filtered radiation (D) and about 1.8 times higher than the light yield obtained when using a CsI detection strip of 0.05 mm thickness, as this is done in the case of curve A. If also a CsI detection strip of 0.3 mm thickness is used for the unfiltered radiation, the "center of gravity" will be at 61 keV and the light yield will be a good 4 times higher than that of the filtered radiation, as shown in curve D. If a CsI detection strip of 1 mm thickness is used for the filtered radiation, the "center of gravity" will be at 88 keV and the light yield will be approximately twice as high as that obtained when using CsI of 0.3 mm thickness. However, for the present the manufacture of a CsI detection layer of 1 mm thickness presents insurmountable technological problems. In the system according to the invention, the processing of the image formed by means of the detection layer 8', 8" should self-evidently be so performed that two images are produced, namely a first image corresponding with the upper part and a second image corresponding with the lower part of the image formed on the anode of the image intensifier tube. This can be realized in different manners. The anode 6 may be composed of two strips 16 and 16' which are each of a different phosphor, so that the electrons emanating from the thicker section of the detector produce light of a color different from that produced by the electrons emanating from the thinner section. It is also possible to use one type of phosphor which is externally coated with two types of filtering material having mutually different spectral transmissivities. Furthermore, it is also possible to employ filters causing the polarization state of the light from the two strips to differ from each other. The difference in color or polarization state renders it possible to split up the light path by means of color- or polarization-sensitive splitter mirrors to two detectors, films or so-called diode arrays. In the case of color information, it is also possible to directly record the information provided by the anode on color film without additional splitting. Furthermore, a conventional anode may be used, in which case the light path can be split up by means of a splitter mirror for application through two parallel objectives to two diode arrays or two films. In the latter case, a shield or knife-edge travelling in front of the film should be employed to ensure that only one strip of the detector is imaged on each film. Finally, the anode may be a glass fiber anode on which diode arrays are provided for scanning the different regions of the anode. This is schematically shown in FIG. 4, where reference numeral 10 designates an X-ray image intensifier tube including a cathode 11, for example of similar structure as cathode 5 of FIG. 1, and an anode 13 mounted on a glass fiber plate 12. Additional glass fiber plate elements 14 and 14' are mounted on glass fiber plate 12, which elements support diode arrays 15 and 15' at their ends remote from plate 12. In this arrangement of the anode, the structure disclosed in Dutch patent application No. 84,01105 may further be used to advantage. Instead of the straight configuration shown, the glass fiber plate elements 14 and 14' may have a slightly curved shape to the effect that, seen in FIG. 4, element 14 is curved in downward direction and element 14' in upward direction, resulting in a less critical mounting of the diode arrays on the ends of elements 14 and 14'. As elements 14 and 14' are composed of glass fibers, the realisation of the curved shape does not present a problem while the light transmission is not impaired thereby. To obtain a proper separation of the two images on the strips, such as 8' and 8", of the cathode, an inactive region can be provided between the two CsI strips, which region is fully non-responsive to X-radiation. |
description | The present patent application is a Continuation of application Ser. No. 11/149,893 filed Jun. 10, 2005, which is a Division of application Ser. No. 10/391,956 filed Mar. 19, 2003, now U.S. Pat. No. 6,919,952, which claims the benefit of U.S. Provisional Application No. 60/365,843, filed Mar. 19, 2002. All of these applications are hereby incorporated by reference in their entireties. The present invention relates to a mask-less or direct write lithography system. Current lithography systems are mostly all optical, deep UV systems. These systems use light in the deep UV region, i.e. 193 nm. Due to the fact that these systems are all optical, the resolution is limited. One way of realizing smaller resolutions is by using particle beams, especially electron beams. A known system uses masks just like all optical systems. The masks are situated between a substrate and an electron source in order to blind off parts of the electron beam. In that way, patterns are transferred to a resist. The system, however, has its drawbacks. First, the details on the mask have to be very small, about 100 nm, making these masks very difficult to produce. Furthermore, as electron beams are more energetic than light beams, the mask heats up. Another way of increasing the resolution is disclosed in WO 98/54620. In this system, a conventional optical system using a mask is combined with an electron beam system. A light source produces a light beam, preferably in deep UV. The light beam impinges on a micro lens array having a plurality of lenses. The micro lens array divides the light beam into light beamlets. In practice, there may be as many as 107-108 light beamlets. The lenses of the micro lens array focus the light beamlets on a mask. The light leaving the mask passes a de-magnifier. The demagnifier focuses the light beamlets on a converter plate having a plurality of converter elements, Each converter element arranged for converting impinging light into an electron beam. The spot size of each electron beamlet is 100 nm or smaller, making the lithography system capable of writing details smaller than 100 nm. This system uses a mask and a complex optical system. The distance between two adjacent converter elements is in general larger than the width of an electron beam resulting from a converter element. A method of transferring a pattern onto a wafer, is scanning the mask with the light beamlets and simultaneously scanning the wafer with the electron beamlets. The mask is moved in one direction and at the same time, the wafer is moved in the opposite direction. The lithography system disclosed in WO 98/54620 uses a system of demagnifying optics, micro lens array, UV beam and mask to activate converter elements. An alternative to these systems is a mask-less lithography system or so called ‘direct write’ system. Many direct write systems, in particular direct write systems using electron beams, are known in the art. A very simple embodiment uses one cathode producing an electron beam with a very small diameter, less than 100 nm. By scanning this beam over a substrate and switching it on and off, a pattern can be transferred to the substrate. This is called raster scanning. Such a system using raster scanning method is very slow. Alternatively, a system using line of cathodes is known. Using a line of electron beams, an entire strip of a pattern can be transferred at the same time. Still this system is not fast enough for transferring an entire pattern onto a wafer fast enough for mass production purposes. Another system, for instance disclosed in WO 98/48443, comprises an array of cathodes. By switching individual cathodes on and off, all at the same time, a first part of a pattern is created. Using electron lenses, this part of the pattern is reduced in size in its entirety as if the electron beams were one single beam, and the part of the pattern is transferred to the substrate. After this step, a second part of the pattern is created by switching other cathodes on and off. This second part is subsequently transferred to the substrate, and so on, until a complete pattern is transferred to the substrate. One disadvantage of this method is that the electron beams are very close together. Due to aberrations of the electron lenses, a lot of distortion occurs. Furthermore, as the beams have to be focused, use is made of lenses causing the beams to converge at one point along the beam path, causing even more problems due to coulomb interactions. Furthermore, processing time is a problem, because the writing field is small, which necessitates many movements of the wafer stage. Yet another known system is described in U.S. Pat. No. 5,969,362. This system requires a multitude of cathodes, very closely spaced: 600 nm or less. The cathodes are electrically activated using a grid of wires. The system thus requires complex electrical systems for controlling a large number of cathodes. It is difficult to prevent crosstalk between the electrical systems as they are very close together. An entire pattern is transferred by moving the wafer in the X-Y plane using the wafer stage, putting a heavy burden on the mechanical system. Another known system is described in U.S. Pat. No. 6,014,203. In this system, a field emission array comprising as many as 107 cathodes per square cm is used as an electron beam source. The field emission array is provided with photodiodes. These photodiodes are optically activated and on their turn electrically activate the cathodes. A pattern is transferred by projecting a multitude of LCD displays subsequently onto the photodiodes of the field emission array, requiring a complex optical and mechanical system. The system further comprises a focusing magnet and a steering magnet. Using the steering magnet, each electron beam is scanned in the X- and Y direction. All the electron beams are scanned simultaneously. In order to realize a high data rate, a multitude of LCD screens are one by one projected on the field emission array, requiring a complex optical and mechanical system. And even using very many LCD screens, it is still not possible to realize the data rate needed for the economical feasible production of chips. Still another approach concerns a system which splits one electron beam up into a plurality (for instance 64×64) of small electron beams. Each small beam has its own electrostatic lens system reducing the size of each small beam. Furthermore, the lens system scans each beam over an area of, e.g., 4×4 microns. Furthermore, a blanking aperture array is provided and a deflector for each small beam. The deflector is capable of deflecting a small beam out of the aperture area, thus blanking the small beam when needed. The system uses wafer stage scanning to transfer a complete pattern. With this system, however, it is not possible to obtain high productivity, because the writing field is small, which also necessitates many movements of the wafer stages. It is an object of the present invention to overcome the disadvantages of prior art direct write systems by providing a lithography system using a plurality of simultaneous scanning electron beams which can be individually activated by light. The direct write lithography system of the present invention comprises a converter comprising: an array of light controllable electron sources, each electron source being arranged for converting light into an electron beam and each electron source having an activation area; a plurality of individually controllable light sources, each light source arranged for activating one electron source; controller means for controlling each light source individually; and focusing means for focusing each electron beam on an object plane and with a diameter smaller than the diameter of an individually controllable light source. Using individually controllable light source, each field emitter can be switched on and off very fast. Furthermore, a light source can be switched on and of, or modulated, very fast. If needed, light sources can be modulated at GHz speeds. This makes it possible to transfer a complete pattern to a wafer very fast. To illustrate the complication of writing patterns with sufficient speed, one needs to realize that in current mask-based optical systems it is possible to write 25 mm×25 mm patterns in less than 1 second. If the patterns need to consist of 50 nm wide lines, such a 25 mm×25 mm pattern has 0.25×1012 squares of 50 nm×50 nm. Using a direct write system, each square has to be written with (or built up using) 9 to 25 dots, which leads to at least about 2×1012 to 6×1012 dots to be written within 1 second, in order to obtain the processing speed of a sample of a mask-based system. Each dot needs to have the correct gray-level, chosen from i.e. 8 or 32 gray levels. A single beam can write typically 0.25×109 dots per second. A fast direct write system of the current invention would thus require about 103 to 105 separate, simultaneously operating beams. In an embodiment of the invention, the lithography system further comprises first scanning means for scanning the electron beams from the field emitters in a first scan direction. This makes it possible to transfer a pattern fast without the need for very fast wafer movement. In an embodiment of the lithography system of the invention, the system additionally comprises displacement means for moving the object plane and the converter with regard to each other in a second scan direction which second scan direction is at an angle between 0 and 180 degrees with the first scan direction. This makes it possible to transfer a pattern very fast. Especially in combination with the embodiment above, using the scanning means in combination with the displacement means, it is possible to reduce the number of field emitters needed to transfer a pattern. In a further embodiment, the converter is arranged for being activated by individual light sources, capable of producing a light spot with a diameter of 200-2000 nm on the converter and having elements arranged for producing individual electron beams, each with a diameter smaller than 100 nm, in a further embodiment smaller than about 40 nm. In this way, the illumination can be relatively large compared to the electron beams, reducing the mechanical complexity of the lithography system, while still being able to obtain a high resolution and high speed. Because of its larger size, it allows crosstalk reduction of electrical wiring systems. In a further embodiment, the first scan direction is substantially perpendicular to the second scan direction. In this embodiment, the first scanning means are adapted to scan the electron beams in a first scan direction substantially perpendicular to the second scan direction. In an embodiment, the first scanning means comprise magnetic means for sweeping the electron beams in the first scan direction. These means can sweep all the electron beams simultaneously and displace the beams with the same amount. In another embodiment, each electron source comprises a system of electrostatic lenses. These two embodiments can also be combined to obtain maximum freedom of design. In a further embodiment, the electron sources form an array with columns and rows of field emitters, and the second scan direction is at an angle unequal to zero with one of the columns and rows. In this way, it is possible to write a complete pattern covering an entire area. In an embodiment thereof, said angle is about 0.1 to 15 degrees. In an embodiment of the current invention, the individually controllable light sources are an array of light emitting diodes (LEDs). These LEDs can be switched on and of individually, on independently from the other, even from its direct neighbor. In another embodiment, the light sources are lasers, like semiconductor lasers. These light sources can be switched very fast. These light sources are furthermore easily arranged in an array for instance an array corresponding to the electron sources. In a further embodiment, the lithography system further comprises means for directing the light from each light source to one activation area of the converter plate. In this way, it is possible to locate the light sources away from the converter plate, even outside the vacuum in which the converter plate will be located. In an embodiment thereof, each individually controllable light source comprises an optical fiber, having a first end directed to a converter element and a second end arranged for receiving light. In this way, the light sources can be at a location away from the converter plate. Furthermore, it is possible to reduce crosstalk between the light sources, by making sure that each light source illuminates only one activation area, and thus activates only one electron source. In another embodiment, each light source further comprises a semiconductor laser, each semiconductor laser arranged for coupling its light into one of the optical fibers. In another embodiment of the invention, the plane of the electron sources is imaged onto the object with a set of conventional electron lenses as if the electron beams were one single beam. The plane with electron sources may be imaged with a magnification of 1, or with a magnification different from 1. The advantage of this embodiment is that the object does not need to be placed inside a magnetic field. In a further embodiment, the electron sources are semiconductor field emitters. These sources are easy to produce. The invention further relates to a method of producing a pattern on a substrate, wherein data is retrieved from a data storage means on at least one computer system, said data representing the pattern to be produced on said substrate, said data is processed in said computer and converted into signals activating and deactivating individually controllable light sources, and said individually controllable light sources are projected on a converter comprising a plurality of electron sources arranged for converting light into an electron beam, each electron source having one activation area, each individually controllable light source producing a light spot on one activation area. The invention further relates to a direct write or mask-less lithography system comprising: a converter comprising an array of light controllable field emitters, each field emitter being arranged for converting light into an electron beam, the field emitters having an element distance between each two adjacent field emitters, each field emitter having an activation area; a plurality of individually controllable light sources, each light source arranged for activating one field emitter; controller means for controlling each light source individually; focusing means for focusing each electron beam from the field emitters with a diameter smaller than the diameter of a light source on an object plane. The invention further relates to a semiconductor element, processed using a lithography system according to the current invention, and to a method for processing a substrate, for instance a semiconductor wafer, using a lithography system according to the current invention. FIG. 1 shows a direct write or mask-less lithography system 1. The system comprises converter plate 2, for instance a field emission array, in an embodiment for instance a semiconductor field emission array. Such a field emission array comprises a two-dimensional array of cathodes 3. In case the field emission array is a semiconductor field emission array, the cathodes are tips or needles of semiconductor material, like silicon tips. An example of a usable field emission array is described in PCT/NL00/00657 and PCT/NL00/00658. Each tip is capable of emitting an electron beamlet 6. Each tip has an activation area 4 on the side of the field emission array opposite to the cathodes 3. This activation area 4 is much wider than the electron beamlet 6: usually, the activation area 4 is about 2 microns wide, and the cross section of an electron beamlet is less than 100 nm, and can even be as small as 10 nm. Each electron beamlet 6 is projected onto a substrate 7, usually a semiconductor wafer. This kind of electron sources converts light into an electron beam. The cathodes 3 of the converter plate 2 are activated by light, falling onto the activation area 4. In order to avoid cross talk (activation of a neighbor cathode), the cross section of a light beam activating a cathode has to be smaller than the activation area 4. Furthermore, for further reducing cross talk, each light beam should be well aligned with an activation area. In one embodiment, each activation area 4 is illuminated by an optical fiber 5. Thus, when using for instance a converter plate with about 104 cathodes, about 104 optical fibers are needed to activate all the cathodes. Light for activating a cathode is thus transported to the activation area using optical fibers. This light is generated by a plurality of individually switchable light sources, for instance semiconductor lasers. In this embodiment, each optical fiber is connected to a semiconductor laser, and electronic or optical means for coupling light, generated by the light sources, into each optical fiber. The light on the activation area 4 can be switched on for instance by switching each light source on and off. Another way of generating light on the activation area is using an optical switch to couple light from LED's of semiconductor lasers into and out of the optical fibers. The light sources (or optical switches controlling the light sources) are controlled using one or more computer systems 8. In these ways, a very high data rate can be obtained: light can be modulated at GHz rates, making it possible to attain 1013 pixels per second. In this way, a 25 mm×25 mm square can be written each 0.3 seconds. The electron beamlets 6 are accelerated towards the object 7. A coil 31 provides the magnetic field for focusing the electron beamlets. Alternatively, each beamlet is focused by a miniature electrostatic lens. The beamlets 6 are collectively scanned by the magnetic field produced by coils 32 and 32′. The scanning magnetic field is typically much weaker than the focusing field, i.e. in the order of 10−4 Tesla compared to in the order of about 1 Tesla for the focusing magnetic field. In a further embodiment, the scanning coils 32, 32′ consist of current carrying plates, positioned very closely above and below the beamlets 6. One of the current carrying plates can even be combined with the aperture plate described in PCT/NL02/00541. In this embodiment, current flows through the aperture plate and the converter plate, parallel with regard to the substrate 7. This results in a magnetic field only between the converter plate and the aperture plate. The aperture plate is depicted in FIG. 3, but can also be applied in the other embodiments. The optical system of optical fibers, computer systems, light sources and, if needed, optical switches, and other optical components, all comprise components known from the field of optical telecommunication. In order to reduce the amount of fibers running from the computer system to the converter plate, known methods of multiplexing and demultiplexing, known from the field of telecommunication, can be used. Specifically, the light used to activate the electron sources can be in the visual light range, for instance red (about 700-600 nm). FIGS. 2A-2C show several ways a pattern can be transferred. A substrate or the converter plate is moved in scan direction SS using for instance a wafer stage. At the same time, using for instance a magnetic field, each electron beamlet having a footprint 9 is scanned at a direction SM, substantially perpendicular to scan direction SS. In this way, the footprints 9 follow the trajectory P1 and P2. The pattern 11 in FIG. 2B can be obtained by activating the cathodes at the right instances. Specifically, to realize trajectories P1 and P2, the scan direction SM is at an angle with SS as indicated in FIG. 2A. FIG. 2C shows and alternative way of scanning, which can avoid the effect of stitching. In this embodiment, three beams follow trajectories P1, P2 and P3. FIG. 3 shows an alternative embodiment of the direct write lithography system of the current invention. Here, the optical fibers end in one plane. In a specific embodiment, each fiber is provided with a micro lens 43 at its tip. The micro lenses 43 focus a light beam from an optical fiber in a small spot of typically 200-2000 nm in the plane 15. This plane 15 is subsequently projected, using demagnifier 14, onto the converter plate 2. The demagnifier can be a 1:1 projector, or may be capable of projecting at a reduced size, for instance 1:4. In FIG. 3, furthermore, an aperture plate 40 and electrostatic deflection strips 41 are shown. The electrostatic deflection strips 41 are connected to a power source 42. In this embodiment, the scanning of beamlets 6 is performed by electrostatic means. The electrons are first accelerated towards aperture plate 40. In the second part of their trajectory, after passing the aperture plate 40, the electron beamlets are deflected by strips 41 which carry voltages, alternatively positive and negative. The combination of the focusing magnetic field and the electrostatic field deflects the electrons in a direction perpendicular to both the magnetic (vide FIG. 1) and electrostatic field. Another embodiment of the current invention, shown in FIG. 4, uses an array of light sources close to or directly on top of the converter plate 2. This array of light sources can for instance be an array of light emitting diodes (LED's) 2. The light sources are switched on and off using computer system(s) 8. The light sources are connected to the computer systems 8 by (electronic) data cables 20. In order to reduce cross talk, an optical fiber plate can be placed between the array of light sources and the converter plate 2. In another embodiment, the array of light sources is projected onto the converter plate 2 using a demagnifier, in the way already described in FIG. 3. This allows the array to be bigger in size, which gives for instance more room for electrical systems. In the embodiment of FIG. 4, the aperture plate and electrostatic lenses of FIG. 3 can also be used. It is to be understood that the above description is included to illustrate the operation of the preferred embodiments and is not meant to limit the scope of the invention. The scope of the invention is to be limited only by the following claims. From the above discussion, many variations will be apparent to one skilled in the art that would yet be encompassed by the spirit and scope of the present invention. |
|
description | The present invention relates generally to protective equipment for an individual's body, for protecting against blows imparted upon the body during athletic competition. Body protective equipment is commonly worn by participants of contact sports for the purpose of preventing injuries. In these contact sports, various situations may cause injuries. Examples of these situations include tackling or otherwise bumping into other players, falling to the ground, being struck by another player's equipment, or being struck by a game ball itself. Of course, body protective equipment may reduce or prevent injuries resulting from various other circumstances, including those not associated with contact sports. Existing body protective equipment utilize a relatively significant amount of foam padding for absorbing the energy of blows delivered to the body. Moreover, a rigid hard shell cover typically made of hard plastic, usually overlays the foam padding so as to distribute the force of the blow across a larger area of the foam padding. As is known in the art, distributing the force in this manner permits the foam padding to absorb only a portion of the energy associated with the blow. A drawback of using a rigid hard shell cover is its limited ability to absorb and displace energy and its lack of flexibility to the user. Another drawback is that the combined use of the foam padding and the rigid hard shell cover adds relatively significant weight to the protective equipment. Since absorbing and displacement of energy is needed to prevent injury and flexible lightweight athletic equipment are known for allowing players freedom of movement, the ridged hard shell cover and its lack of energy absorbing and displacing properties and its lack of flexibility and the added weight are all undesirable results. Therefore, a need exists for body protective equipment that can absorb and displace the energy from a powerful blow, is flexible, and is relatively lightweight. Protective equipment also exists to protect other parts of the body from injury during contact athletic events. Such protective equipment includes shin guards, shoulder pads, kneepads, elbow pads, and hip pads. This protective equipment like the athletic shin-guard described above, is typically comprised of foam padding with a plastic cover and thus suffers from the same deficiencies discussed above. Therefore, a need also exists for protective equipment for any part of the body that can absorb and displace the energy from a powerful blow, is flexible, and is relatively lightweight. It is therefore an object of the present invention to provide protective equipment with improved protection for the body of an athlete. It is another object of the present invention to provide protective equipment that is durable and can withstand a substantial number of blows over a significant period of time. It is another object of the present invention to provide protective equipment that can absorb and displace the energy from blows. It is yet another object of the present invention to provide protective equipment that is flexible, lightweight, and allows a user greater freedom of movement and to expend less energy carrying the equipment. In accordance with the above and other objects of the present invention, a protective athletic shin-guard is provided for protection of blows imparted upon the body of a user. The protective athletic shin-guard includes an inner rigid band-shaped member that follows the curve of the shin bone and provides protection thereto and a outer flexible web-shaped body made of a softer rubber like material and works as a locator and supporter of the rigid band-shaped member, combined the structure works like a spring keeping the inner, rigid band-shaped member, in proper location away from the user allowing for compression. The combination of these elements allows for the absorption and displacement of the energy of a blow delivered to an individual's body. One advantage of the present invention is that a user is protected from harmful forces that may injure his shin, knee, and elbow, as well as other parts of the body. Another advantage of the present invention is that it has a minimized weight for permitting a user to expend more energy participating in an ongoing activity. Yet another advantage of the present invention is that it is flexible and allows a user greater freedom of movement. Other advantages of the present invention will become apparent when viewed in light of the detailed description of the preferred embodiment when taken in conjunction with the attached drawings and appended claims. This description relates to the general comments herein, as well as the figures referred to above. As noted, FIG. 1 is a front perspective view of the energy absorbing and displacing structure for athletic protective equipment therein. In FIG. 1, the structure is incorporated into an athletic shin-guard 10. As illustrated, guard 10 comprises a middle portion 12 and an outer portion 14 which are connected together by a plurality of ribs 16. Middle portion 12, outer portion 14 and ribs 16 together define a plurality of voids 18. In the depicted embodiment, two securing tabs 20 are positioned near the top of guard 10 and allow a suitable structure to be connected to guard 10 in order for it to be held in place on a user. FIG. 2 is yet another perspective view there of. Next, consistent with all of the foregoing, FIG. 3 is a cross-sectional view of the shin guard 10 taken along line 1-1 of FIG. 2, illustrating the impact and energy absorbing structure. In the illustrated embodiment, middle portion 12 comprises of a rigid member 30 and an outer layer 32. In one embodiment, rigid band shaped member 30 is over-molded with the flexible web-shaped body to provide enhanced impact and energy absorption. As depicted, the structure is pre-formed with the rigid band shaped member 30 made of a hard resilient material like polycarbonate, and the flexible web-shaped body, defined by outer portion 14, ribs 16 and the outer layer 32 of middle portion 12, is made of a rubber like material, each is combined in an over mold process to make a complete structure. The webbed like structure is utilized to locate and support the rigid band shaped member 30, also unlike the prior art. As noted, this configuration provides the utmost in impact and energy absorption. In the preferred mode, a flexible web-shaped body is used to hold a rigid band-shaped member 30 in the desired location. The inner rigid band-shaped member 30 follows the contour of the desired area to protect. The outer flexible web-shaped body is made of a flexible rubber like material and works as an exoskeleton to hold the inner rigid band-shaped member 30, in the desired location. In an effort to make the most efficient use possible of the rigid band shaped member 30. In addition, FIG. 4 illustrates that the rigid band shaped member 30 is kept to a minimum and is only as big as the area it is to protect. The length and width will very in size and is dictated by the desired area to protect. For the purposes of example, a flexible web-shaped body defined by outer portion 14, ribs 16 and the outer layer 32 of middle portion 12 together with the rigid band shaped member (2) work like a spring and provide energy absorption and dissipation. Combined as an assembly it creates a system for great distribution and spreading of forces, thereby reducing the adverse effects of impact in a manner previously unattained. Importantly, the flexible web-shaped body with its spring like design and rubber like properties working in conjunction inhibits the structure from bottoming out, or reaching their full capacity of energy absorption. Furthermore, the assembly provides for complete memory, which is instantaneous upon release of the force exerted. In addition, the structure functions to allow the outer layer 32 of middle portion 12 of the assembly to receive primary forces, the plurality of ribs 16 of the flexible web-shaped body direct and distribute the forces to the outer portion 14 and the user. As such, the assembly compresses in a unique manner to absorb the force and displace the energy of impact received. FIG. 4 illustrates the assembly separated into its components. The combined structure allows for the rigid band shaped member 30 and the flexible web-shaped body defined by outer portion 14, ribs 16 and the outer layer 32 of middle portion 12 to work in unison, as opposed to a single rigid structure attempting to absorb all forces received. This simply allows for a far greater amount of energy absorbed by the assembly of the present invention. Thus, regarding the present invention embodied within an athletic shin-guard, the webbed structure will mitigate the incidence of pain and injuries. The depiction of the invention within an athletic shin-guard is for example purposes only, as the impact and energy absorbing structure may also be utilized on items such as shoulder pads, knee pads, elbow pads, hip pads and other athletic protective equipment. It should be noted that when two separate devices, each incorporating the assembly of the present invention, collide with one another, the level of energy absorbed and dissipated by the present invention is even greater than the already beneficial result received through usage of just a single such device. Knee to knee or elbow-to-elbow type collisions are common in many contact sports such as lacrosse, soccer, football and hockey, and usage of the present invention by all players within a game will only reduce the incidence of injury by that much more. Regarding the present invention and its applications of usage, it is important to distinguish the present invention from prior art structures wherein athletic protective equipment, rather than providing for flexibility and freedom of movement, are rigid, restrictive, and hinder movement. It is the purpose of the present invention to absorb and displace energy for the purpose of injury prevention and user safety while allowing for uninhibited freedom of movement. As such, the present system meets all rules and regulations of all major sports, rendering the same available for any physical activity. In all such cases, the rigid band shaped member is manufactured in a variety of materials and sizes previously determined to render them effective for multiple previously determined sporting events and hazardous activities. Thus, the assembly may be utilized for protective devices in activities such as diving, swimming, ice hockey, roller hockey, roller skating, skateboarding, field hockey, soccer, lacrosse, football, arena football, gymnastics, baseball, auto racing, motorcycle racing, cycling, and track and field events. It is imperative to note that the rigid band shaped member of the present invention may be tailored to absorb and dissipate foreseeable forces of humans and objects coming in contact with the assembly. As such, allowing for far greater adaptability to particular needs than traditional pads constructed of hard shell and foam and the like. It is intended that the rigid band shaped member width also be variable according to particular needs. In all instances, the rigid band shaped member will vary in size in accordance to the area of desired protection, constantly allowing for a secure fit for each application. Moreover, the impact and energy absorbing structure may be manufactured in a variety of previously determined sizes, functioning to render the assembly effective for multiple previously determined sporting events and hazardous activities. In any such instance, the use of the impact and energy absorbing structure will significantly reduce the quantity of padding and material needed, thus reducing weight to achieve the desired protection. With regards to all descriptions and graphics, while the present invention has been illustrated and described as embodied, it is not intended to be limited to the details shown herein, since it will be understood that various omissions, modifications, substitutions and changes in the forms and details of the device illustrated, and in its operation, can be made by those skilled in the art without departing in any way from the spirit of the invention. Without further analysis, the foregoing will so reveal the gist of the present invention that others can readily adapt it for various applications without omitting features that from the standpoint of prior art, constitute characteristics of the generic or specific aspects of this invention. What is claimed as new and desired to be protected by Letters Patent is set forth in the appended claims. |
|
claims | 1. An underwater nuclear fuel rod inspection apparatus, comprising:a test container configured to be submerged in a water-filled pool, the test container comprising a first chamber and a second chamber;a spectroscopic measuring device in the first chamber;an insertion opening in the second chamber, the insertion opening configured to insert a fuel rod into the second chamber;at least one inlet opening and at least one outlet opening configured to provide gas flow through the test container and to disperse water from the second chamber; anda channel connecting the first and second chambers, the channel comprising a valve configured to place the first and second chambers in fluid communication and place the spectroscopic measuring device in the first chamber in operable communication with the fuel rod in the second chamber. 2. The device according to claim 1, wherein the at least one inlet opening leads into the first chamber of the test container. 3. The device according to claim 1, wherein the at least one outlet opening comprises a first outlet opening in the first chamber and a second outlet opening in the second chamber. 4. The device according to claim 3, wherein the at least one inlet opening comprises a second inlet opening that leads into the second chamber of the test container. 5. The device according to claim 1, wherein the test container has an exit opening situated opposite the insertion opening, the exit opening and the insertion opening being aligned along an axis passing through the respective centers of the exit opening and the insertion opening. 6. The device according to claim 5, further comprising at least one guide channel, formed by a wall of the test container, which adjoins one or more of the insertion opening or the exit opening. 7. The device according to claim 6, further comprising a sealing device configured to selectively close off the second chamber from the water-filled pool. 8. The device according to claim 7, in which the sealing device includes at least one sealing element that completely encompasses the at least one guide channel. 9. The device according to claim 7, in which the sealing device includes at least one additional test housing that completely covers the fuel rod and at least a portion of a handling or actuating tool outside the test container. 10. The device according to claim 1, wherein the spectroscopic measuring device comprises an infrared measuring device. 11. The device according to claim 1, further comprising a second test device that is situated in the second chamber of the test container. 12. The device according to claim 11, further comprising a light source that is situated in the second chamber. 13. The device according to claim 11, in which one or more of the spectroscopic measuring device or the second test device is enclosed by a shielding device. 14. The device according to claim 1, further comprising at least one mirror that is situated in one or more of the first chamber or in the second chamber of the test container. 15. The device according to claim 1, further comprising a handling tool for inserting the fuel rod into the test container and for moving and rotating the fuel rod. 16. A method for inspecting an underwater nuclear plant using an underwater nuclear fuel rod inspection apparatus comprising:a test container configured to be submerged in a water-filled pool, the test container comprising a first chamber and a second chamber;a spectroscopic measuring device in the first chamber;an insertion opening in the second chamber, the insertion opening configured to insert a fuel rod into the second chamber;at least one inlet opening and at least one outlet opening configured to provide gas flow through the first chamber and to disperse water from the second chamber; anda channel connecting the first and second chambers, the channel comprising a valve configured to place the first and second chambers in fluid communication and place the spectroscopic measuring device in the first chamber in operable communication with the fuel rod in the second chamber;the method comprising:inserting the fuel rod into the second chamber of the test container through the insertion opening;with the valve closed, supplying a fluid via the at least one inlet opening, andopening the valve and checking the fuel rod with the spectroscopic measuring device. 17. The method according to claim 16, further comprising maintaining the supplying of the fluid during the checking of the fuel rod. 18. The method according to claim 16, further comprising opening the valve as soon as a sealing device closes off the second chamber from the water-filled pool. 19. The method according to claim 16, further comprising checking the fuel rod with a second test device with the valve closed, the fuel rod being one or more of moved up and down along a direction or rotated about a longitudinal axis during the checking of the fuel rod with the second test device. |
|
abstract | Water rod segments are provided in a nuclear fuel bundle and releasably connected one to the other at joints within openings through the spacers. A lowermost water rod segment passes through a number of the spacers, with water rods segments above the lowermost segment being screwthreaded one to the other forming joints therebetween within the spacer openings. Capture flanges are provided immediately above and below the spacers on each of the segments such that the spacer is captured between adjoining segments. Interior passages through the segments communicate water along the water rod to an upper region of the fuel bundle. Swirler vanes are disposed about the water rod segments and terminal ends of the swirler vanes may form the capture flanges, retaining the spacer between adjacent segments. |
|
summary | ||
abstract | A channel-cut monochromator has at least two kinds of reflecting surface pairs processed on a common single crystal block. Each reflecting surface pair has a first and a second reflecting surfaces between which X-rays are reflected even-number times. The channel-cut monochromator can be rotated around an axis of rotation perpendicular to a reference plane so as to switch the reflecting surface pair which reflects X-rays. An X-ray beam incident on any reflecting surface pair or its extension line is tangent to a common imaginary circle whose center coincides with the axis of rotation. With this structure, the switchover of the reflecting surface pair is accomplished by only the rotation of the channel-cut monochromator around its axis of rotation, so that various X-ray beams reflected by various Miller indices can be taken out selectively. The channel-cut monochromator may have a direct path through which an X-ray beam passes in no contact with any reflecting surface. The channel-cut monochromator may be made of silicon or germanium single crystal and may have preferably five or more kinds of reflecting surfaces, for example, for {220}, {400}, {422}, {511} and {111} reflection. Further, at least one of reflecting surface pair may have one or two asymmetrical reflecting surfaces. |
|
abstract | A multilayer film reflector capable of simply correcting a wavefront phase and a method of forming the reflector are disclosed. |
|
047048018 | description | DESCRIPTION OF PREFERRED EMBODIMENT FIG. 1 shows the device as a whole, indicated generally by reference 1, in a position for making measurements inside the internal equipment of a nuclear reactor, showing only those members thereof which enable the checking device 1 to be installed. FIG. 1 shows only the upper plate 2 of the upper internal equipment, the upper core plate 3 and the lower core plate 4. Plates 2 and 3 form part of the upper internal equipment and plate 4 forms part of the lower internal equipment. Plate 2 of the upper internal equipment has openings 5 in the region of each of the guide tubes, which it supports with its upper part. This plate 2 also has vertical centering pins 7, only the axes of which are shown in FIG. 1. The flange supporting the upper part of the guide tube has corresponding openings which engage on the centering pins 7 to ensure accurate positioning of the upper part of the guide tube above the upper plate 2 of the upper internal equipment. The upper core plate 3 has an opening 9 in the region of each of the guide tubes whose bottom part it supports. The openings 5 and 9, respectively, permit the control bars to pass from the upper part to the lower part of the guide tube and from the lower part of the guide tube to the fuel assembly. The upper core plate 3 also has two holes whose axes 10 are shown in FIG. 1, on either side of the opening 9. These holes 10 can engage split pins which are integrally fixed to the flange supporting the lower part of the guide tube. The lower core plate 4 carries the positioning device in the form of an assembly bottom fitting resting on the plate 4 and consisting of two pins for centering the assembly, whose axes 12 are shown in FIG. 1. In FIG. 1 it can be seen that the checking device 1 is introduced into the upper and lower internal equipment of the nuclear reactor through the openings 5 and 9 which correspond to a guide tube and is fixed in position by means of the members 7 and 10 and is centered relative to the assembly position corresponding to the guide tube by means of the centering pins 12, in a manner which will be described later. The checking device 1 consists of a tubular body 14 comprising an upper part 14a and a lower part 14b. At its upper part, the upper part 14a of the tubular body is integrally fixed to a flange 15 which has openings intended to engage on the center pin 7 fixed to the upper surface of the upper plate 2 of the upper internal equipment. The lower end of part 14a of the tubular body is connected to an intermediate flange 16 which is centered on the guide tube position, by means of the holes 10 in the tube plate, in a manner which will be described later. The upper end of the tubular body part 14b is connected to the lower part of the intermediate flange 16 and the lower end of the tubular body part 14b is integrally fixed to the lower end member 17. A tubular member 18, whose axis is vertical, which is funnel-shaped at the top and is fixed on a flange 19, forms the means for receiving the lower end member 17 of the tubular body. The flange 19 has openings which enable it to be centered on a position of an assembly bottom fitting, by means of the pins 12. A fitting 20 which can move in two perpendicular directions in the horizontal plane is mounted on a tubular support 21 fixed above the flange 15. Also fixed to the upper part of the support 21 is a gantry 22 having vertical columns and a sling bar 23 for handling the checking device 1. A stainless steel wire 24 with diameter of about 0.5 mm is fixed at its lower end to the central lower part of the end member 17 and at its upper end to the gantry 22 after passing through a wire guide 25 mounted on the movable fitting 20. The device will now be described in greater detail with reference to FIGS. 2, 3, 4, 2a, 3a and 4a. FIG. 2 shows the upper flange 15 of the checking device whose lower part is engaged in the upper part of the opening 5 in the upper plate 2 of the upper internal equipment; in its peripheral part, this flange 15 has two openings 26 intended to cooperate with the centering pins 7 on the plate 2, to achieve a positioning of the flange 15 which is similar to the positioning of the lower flange of the guide tube situated above the opening 5. An assembly flange 27 is fixed to the lower face of the flange 15, inside the opening 5, by means of screws 28 which enable the tubular body part 14a of the device to be coupled up by means of screws 29 fixed in the flange 27, the heads of which are engaged in oblong holes 30 passing through the wall of the tubular body 14a. This assembly permits a degree of adaptability in respect of the vertical alignment and the vertical position, between the tubular body 14a and the flanges 27 and 15. The tubular support 21 whose diameter is larger than the diameter of the body 14 is welded to the top face of the flange 15 and has vertical strengthening members 31 and access parts such as 32 which allow, in particular, access to an electrode carrier block 33, which will be described in detail later. A support 36, which is shared by the gantry 22 for suspending the wire 24 and by the movable fitting 20 which carries the wire guide 25, is fixed to a flange 35, welded to the upper part of the tubular support 31. The gantry 22 comprises two columns 37 and a cross-beam 38, in the middle of which is fixed the device 39 for adjusting the tension of the wire 24. The movable fitting 20 comprises a set of two tables 40 and 41, each comprising two members which can move relative to each other by means of ball bearing travellers such as 42. The relative movements of the table components 40 and 41 are determined by micrometer screws such as 44, which enable the movements executed by either of the tables 40 and 41 to be determined with very high accuracy. These tables allow movements in two perpendicular directions in the horizontal plane, and the wire guide 25, in which the wire 24 is engaged with very little clearance, is fixed rigidly to a small plate 45 fixed integrally to the movable fitting of the upper table 41, which is itself carried by the movable fitting of the lower table 40. Thus, the movement of the wire guide 25 and of the upper end of the wire 24 is produced in two perpendicular horizontal directions in such a manner that the movements of this wire may be known with a high degree of accuracy. As can be seen in FIG. 2a, the electrode carrier block 33, arranged in the inner bore of the flange 15 has two pairs of radial housings, such as 46, which are offset along the height of the carrier block 33 and each of which contains a radially directed electrode 47 which is electrically insulated from the carrier block 33. Each of the electrodes is supplied with electric current by a conductor 48 by virtue of a measuring circuit which is closed by means of the body of the device 1 being in electrical contact with the wire. When the wire 24 comes into contact with one of the electrodes 47, a signal is produced in the monitoring circuit by the closing of this circuit. FIG. 3 shows the intermediate flange 16 of the checking device 1, resting on the upper core plate 3 and connected, at its upper part, to the tubular body 14a and, at its lower part, to the tubular body 14b. The connections between the flange 16 on the one hand, and the tubular bodies 14a and 14b, on the other hand, are made by means of axles such as 50 which pass through the tubular body 14a (or 14b), are engaged in the flange 16 and are held in place by a stainless steel wire 51. A fixing method of this type permits a slight misalignment of the parts 14a and 14b of the body 14 relative to the vertical axis of the flange 16 at the time when the device 1 is installed in the internal equipment of a reactor. The flange 16 is fixed on the upper core plate 3 by means of pins 52 and 53 which engage in the openings 10 in the upper core plate 3. The pin 52 is a simple pin which is fixed in the flange 16 by means of a screw 54 engaged in a groove in the pin. The pin 53 is a double pin, as can be seen in FIG. 3a, an elastic deformation of this pin making it possible to engage it and to hold it in the opening 10 by means of an elastic deformation of both of these pins. This pin is similar to the pins for fixing the lower parts of the guide tubes to the upper core plate. The flange 16 also has two sets of passage holes 56 situated in each other's extension, at two different levels and in directions which are at 90.degree. to each other. Each of the passage holes 56 contains an electrode carrier 57, held in position in the passage hole 56 by means of a locking screw 58. In each of the electrode carriers 57 there is an insulated electrode 60 which is connected by a wire 59 to an electrical monitoring and supply circuit. The contact ends 60a of the four electrodes 60 are arranged at 90.degree. intervals around the wire 24, as can be seen in FIG. 3a. When the wire 24 comes into contact with the end 60a of one of the electrodes 60, the monitoring and supply circuit is closed and a signal is emitted. FIG. 4 shows the lower core plate 4 which forms part of the lower internal equipment of the reactor and is responsible for supporting the core fuel assemblies. The assembly bottom fittings are fixed in position on the lower core plate 4 by means of the centering pins 12. To make use of the checking device 1, a centering tube 65, which is integrally fixed to a support plate 66 which has openigns enabling it to be centered on the pins 12 is placed in an assembly position. At its upper part, the tube 65 is connected to a funnel-ended guide member 67 which permits the lower end member 17 to be introduced into the tube 65. The end member 17, welded to the lower part of the tubular body 14b, comprises a tube 17a for coupling it to the tubular body 14 and the end member proper attached with screws to the end of the tube 17a. The end member 17 will now be described with reference to FIGS. 4 and 4a. The end member 17 is generally tubular in shape and has a cross-section which decreases from its top part to its bottom part. The lower part of the end member is machined to form a support surface 68, bearing on which is a diaphragm 69, held by screws 70. The screws 70 pass through the diaphragm 69 within holes which make it possible to adjust the position of the diaphragm 69 radially. Four adjusting screws 72 enable the position of the diaphragm to be adjusted in the transverse direction of the end member. The diaphragm 69 carries a calibrated wire guide 74 and, through the intermediary of a spacer 73, a clamp 75. The wire 24 passes through the wire guide 74 and is fixed at its lower part in the clamp 75. The orientation of the end member inside the guide 65 is fixed, when it is introduced, by means of an axle 76 introduced into a housing provided in the support plate 66 for the tube 65 and locked in place with a screw 77. The end member 17 has a flat portion in a position corresponding to the position of the axle 76 which acts as a polarizing device to ensure a specified orientation of the end member. The position of the diaphragm 69 and, as a result, the position of the wire guide 74 are also fixed with high accuracy, so that the wire 24 may be directed precisely along the axis of the assembly position of which the support plate 66 is placed. The operation of the checking device will now be described, with reference to all the figures. The checking is done on the inner equipment in the reactor vessel which is open and filled with water. To check the alignment of new upper internal equipment which has just been arranged in the vessel, on the irradiated lower internal equipment, the checking devices are mounted beforehand, dry, in the new internal equipment, on a specially arranged stand. In particular, a set of devices for controlling the alignment, such as the device 1 which has just been described, is mounted on this new internal equipment. Four devices for controlling alignment are preferably mounted in guide tube positions situated on two axes at 90.degree. and in the vicinity of the periphery of the upper internal equipment, from which a certain number of guide tubes have been removed and, in particular, the guide tubes which are substituted by the devices for checking alignment 1. These devices are placed in a first position, which is slightly higher than their working position in the internal equipment. The new upper internal equipment, equipped with its checking means, is carried and deposited on the irradiated lower internal equipment retained in the vessel. FIG. 1 shows the position 80 of the water level in the vessel when the internal equipment and the checking devices 1 have been installed. The lower end member 17 of the checking device is introduced into the tube 65, and the flanges 15 and 16 are positioned relative to the plates 2 and 3 by the use of means which have already been described. The checking device is then lowered completely into the internal equipment so that it is placed in its measuring position, as shown in FIG. 1. The lower end of the wire 24 is then accurately centered in respect of the position of the assembly bottom fitting on the lower core plate 4. The initial position of the upper end of the wire is then determined. To do this, the electrodes 47, arranged at the centering flange 15, which is situated on the plate 2, are first adjusted so as to surround the wire 24 with a small clearance. Using the micrometer screws 44, the upper end of the wire is displaced so that it comes into contact with the ends of each of the electrodes 47 in succession. The middle point between the two contact positions, for each of the electrode directions at 90.degree., is chosen as the origin. The micrometer screw positions corresponding to the wire being placed at this middle point are noted. The electrodes 47 are then moved apart so as to allow the wire 24 some freedom of transverse movement. The measurement of alignment as such is then carried out by moving the wire from its initial position to bring it successively into contact with each of the electrodes 60, 60a, situated in the flange 16 which is placed on the upper core plate 3. The movements which are required in the two directions corresponding to each of the micrometer screws and to the alignment direction of the electrodes 60, to bring the wire into contact with the electrodes, are carefully recorded, the contact being perceived as a signal in the monitoring circuit. The electrodes are connected to this circuit 90 by the conductors 59 which pass through the flanges 16 and 15. The wire 24, the lower end of which is placed in the center of the assembly bottom fitting and the upper end of which is placed on the axis of the guide tube which corresponds to this assembly, is then directed towards the origin, with high accuracy, along the direction of travel of the control rods. The electrodes 60 are arranged, furthermore, so that they are at equal distances around the axis of the lower part of the guide tube, at the level of the upper core plate 3, this axis being defined by the holes in the plate 3 which are entered by the pins of the centering flange 16. Comparison of the degrees of movement of the wire required to bring it into successive contact with the electrodes 60 makes it possible, therefore, to determine with great accuracy the quality of the alignment of the upper internal equipment relative to the lower internal equipment, which defines the direction of the vertical and rectilinear travel of the control rods. By comparing the measurements obtained with the four checking devices 1 situated in different places at the periphery of the upper internal equipment it is possible to determine unambiguously the errors in the positioning of the upper internal equipment relative to the lower internal equipment. It can be seen, therefore, that the device according to the invention makes it possible to carry out, quickly and safely, checking of the alignment of the upper internal equipment and of the lower internal equipment, situated underwater in the vessel of a nuclear reactor. During the measurement as such, the device according to the invention does not require any interference with the internal eqipment, and only a displacement of the upper end of the wire, which is perfectly accessible and arranged above the water level is necessary, the contact between the measuring wire and the electrodes in the region of the lower core plate being detected by a signal in a measuring circuit arranged in the vicinity of the upper part of the checking device. The invention is not restricted to the embodiment just described. Thus, other methods for fixing or moving the upper end of the wire can be employed, although these movements must, nevertheless, be measured carefully. It is also possible to use other ways of constructing the electrodes, and the monitoring circuit may assume any suitable form in order to provide the operator responsible for the checking with a contact signal. Lastly, the device according to the invention may be used not only for checking the alignment of new internal equipment on the irradiated internal eqipment in the reactor vessel and underwater, but also for checking the alignment of new upper internal equipment on lower internal equipment which is also new, when the nuclear reactor is being constructed. |
claims | 1. A fuel assembly for a pressurized water nuclear reactor, the fuel assembly forming a geometric array having a periphery, the fuel assembly comprising:a top nozzle;a bottom nozzle disposed opposite and distal from the top nozzle;a plurality of fuel rods disposed intermediate the top nozzle and the bottom nozzle;a plurality of guide thimbles;at least one instrumentation tube;at least one structural support replacement rod; anda plurality of grids that are structured and arranged in a tandem array to support the fuel rods, the guide thimbles, the at least one instrumentation tube, and the at least one structural support replacement rod in a spatial relationship in the geometric array such that the at least one structural support rod does not engage adjacent fuel rods or guide thimbles,wherein the guide thimbles and the at least one structural support replacement rod are anchored to the grids,wherein at least one of the at least one structural support replacement rod is disposed at or about the periphery of the geometric array in order to provide enhanced structural stability to the fuel assembly, andwherein said at least one structural support replacement rod is not connected to either of said top nozzle or said bottom nozzle together or individually. 2. The fuel assembly of claim 1, wherein the at least one structural support replacement rod is made from zirconium alloy. 3. The fuel assembly of claim 1, wherein the at least one structural support replacement rod is solid. 4. The fuel assembly of claim 1, wherein the fuel assembly includes between three and six structural support replacement rods. 5. The fuel assembly of claim 1, wherein the fuel assembly includes 306 fuel rods, 18 control rods, 18 guide thimbles, 1 instrumentation tube, and 6 structural support replacement rods. 6. The fuel assembly of claim 1, wherein the geometric array is non-circular. 7. The fuel assembly of claim 6, wherein the geometric array is selected from the group consisting of a hexagon and a square. 8. The fuel assembly of claim 1, wherein the periphery of the geometric array has a plurality of corners; and wherein the at least one structural support replacement rod is disposed at or about a corresponding one of the corners. 9. A pressurized water nuclear reactor comprising:a pressure vessel; anda plurality of a fuel assemblies housed by the pressure vessel, each of the fuel assemblies forming a geometric array having a periphery and comprising:a top nozzle,a bottom nozzle disposed opposite and distal from the top nozzle,a plurality of fuel rods disposed intermediate the top nozzle and the bottom nozzle,a plurality of guide thimbles,at least one instrumentation tube,at least one structural support replacement rod, anda plurality of grids that are structured and arranged in a tandem array to support the fuel rods, the guide thimbles, the at least one instrumentation tube, and the at least one structural support replacement rod in a spatial relationship in the geometric array such that the at least one structural support rod does not engage adjacent fuel rods or guide thimbles,wherein the guide thimbles and the at least one structural support replacement rod are anchored to the grids,wherein at least one of the at least one structural support replacement rod is disposed at or about the periphery of the geometric array in order to provide enhanced structural stability to the fuel assembly, andwherein said at least one structural support replacement rod is not connected to either of said top nozzle or said bottom nozzle together or individually. 10. The nuclear reactor of claim 9, wherein the at least one structural support replacement rod is made from zirconium alloy. 11. The nuclear reactor of claim 9, wherein the at least one structural support replacement rod is solid. 12. The nuclear reactor of claim 9, wherein the fuel assembly includes between three and six of said structural support replacement rods. 13. The nuclear reactor of claim 9, wherein the geometric array is non-circular. 14. The nuclear reactor of claim 13, wherein the geometric array is selected from the group consisting of a hexagon and a square. 15. The nuclear reactor of claim 9, wherein the periphery of the geometric array has a plurality of corners; and wherein the at least one structural support replacement rod is disposed at or about a corresponding one of the at least one corners. |
|
abstract | A diagnostic imaging system includes a generation unit which generates a fluoroscopic image of X-rays that irradiate a subject, a setting unit which, when displaying the fluoroscopic image superimposed on a field image of a head mounted display, sets the display ratio of the field image and the fluoroscopic image in accordance with a display condition, an image composition unit which generates a composite image by superimposing the fluoroscopic image on the field image on the basis of the ratio set by the setting unit, and an image display unit which displays the composite image on the display unit of the head mounted display. |
|
052079759 | description | DETAILED DESCRIPTION OF PREFERRED EMBODIMENTS Preferred embodiments of the present invention will be described in detail hereinafter with reference to the drawings. FIG. 1 shows a piston portion 21 of a control rod driving system 20 formed in accordance with the present invention. The basic construction of the control rod driving system 20 is the same as those shown in FIG. 5 and FIG. 6 which have been described previously, and includes a cylinder fitted vertically to a reactor vessel cover (not shown) and a driving shaft 3 which extends into the cylinder 2 while penetrating through the reactor vessel cover. The piston portion 21 is formed at an upper end of the driving shaft 3, and the driving shaft 3 is moved vertically by regulating the fluid pressure above and under the piston portion 21, thereby to put a control rod cluster (not shown) coupled with the lower end of the driving shaft 3 in and out a reactor core. According to the present invention, a plurality of steps 23 each consisting of a cylindrical upper part 22a and a cylindrical lower part 22b are provided axially on the piston portion 21 at the upper end of the driving shaft 3. In each step portion 23, the lower part 22b is arranged adjacent to the lower side to the upper part 22a, and the outside diameter of the lower part 22b is smaller than the outside diameter of the upper part 22a. Further, in each step portion 23, radial clearances 24 and 25 are provided between the inner wall of the cylinder 2 and the upper part 22a and the lower part 22b of the piston portion 21. Furthermore, circumferential grooves 26 are provided for partitioning the step portion 23 in each step. In such a construction, the fluid flows from the high pressure side A under the piston portion 21 to the low pressure side B on the upper side through radial clearances 24 and 25 in respective step portions 23 between the piston portion 21 and the cylinder 2 and circumferential grooves 26 partitioning respective step portions 23 while a reactor is in operation. The operation of respective step portions 23 at this time will be described hereinafter with reference to FIG. 2 showing a model in which only one step portion 23 is provided in the piston portion 21. When the piston portion 21 runs out of the central axis of the cylinder 2 in one direction (left direction in the figure) as shown in FIG. 2 in a state that the fluid is flowing, the pressure distribution in the radial clearances 24 and 25 changes. Now, when it is assumed that the eccentric side is named C and the opposite eccentric side is named D, the size h.sub.ci of the radial clearance 25 on the high pressure side of the eccentric side C and the size h.sub.co of the radial clearance 24 on the low pressure side thereof become smaller than the size h.sub.di of the radial clearance on the high pressure side and the size h.sub.do of the radial clearance on the low pressure side of the opposite eccentric side D, respectively. Therefore, the relationship of the ratio of clearance on the high pressure side to the low pressure side becomes as follows. EQU h.sub.ci /h.sub.co >h.sub.di /h.sub.do Since the bigger the ratio is, the smaller the ratio of pressure drop in the radial clearance 25 on the high pressure side becomes smaller as described previously, radial pressure distribution on the eccentric side C and the opposite eccentric side D appears as shown in FIG. 3. In FIG. 3, a point E corresponds to a portion E where the outside diameter of the piston portion 21 changes, and the rate of pressure drop changes at the point E. As it is realized from FIG. 3, the sum of radial fluid pressure on the eccentric side C becomes bigger than the sum of the fluid pressure on the opposite eccentric side D, and a force is applied to the piston portion 21 in the direction that the eccentricity with respect to the cylinder 2 becomes smaller by pressure difference between them, thus maintaining the piston portion 21 always in a concentric state. Thus, a non-contact state is maintained between the piston portion 21 and the cylinder 2. The operation and effects are equivalent also in the case that one or two or more step portions 23 are provided as the construction of the present invention. On the other hand, it is required to reduce the flow quantity in the radial direction flowing in the radial clearance between the piston portion 21 and the cylinder 2 in order to stabilize vertical actuation of the driving shaft 3 of the hydraulic control rod driving system 20. For such a purpose, it is thinkable to make the length l of the cylindrical upper portion 22a and the cylindrical lower portion 22b in FIG. 1 of each step portion 23 longer so as to increase the resistance of the passage in the axial direction. When the resistance of the passage in the axial direction is increased, however, the flow quantity flowing through radial clearances 24 and 25 between the piston portion 21 and the cylinder 2 is reduced. When the magnitudes of passage resistances both in the axial direction and the circumferential direction are compared with each other, it is found that the passage resistance in the axial direction is larger than the passage resistance in the circumferential direction. Therefore, the fluid flows in the circumferential direction. Thus, with reference to FIG. 3, the difference between the pressure distribution in the radial clearance on the eccentric side C and the opposite eccentric side D becomes small. Accordingly, the force (restoring force) for maintaining the piston portion 21 in a concentric state becomes smaller, and non-contact state between the piston portion 21 and the cylinder 2 is apprehended. Further, the restoring force of the piston portion 23 per one step depends on the configuration of the piston. As the configuration parameters, there are a ratio of l to d in FIG. 1, a ratio of the clearance 24 to the clearance 25 in FIG. 1, and a ratio of the axial length 22a to 22b in FIG. 1. Further, a value showing an optimum value of the restoring force exists with respect to each parameter. In particular, the parameter which exerts a big influence upon the restoring force is l/d. When the clearance ratio, the length ratio, the cylinder diameter and the cylinder differential pressure which are parameters other than l/d are made constant, the restoring force becomes small in the region where l/d is small as it is seen from the fact that BA in FIG. 3 becomes shorter since the axial length becomes shorter and the area of the location showing the restoring force in FIG. 3 becomes smaller. Further, in the region where l/d is big, the pressure distribution on the eccentric side and the opposite eccentric side is equalized (the side C and the side D in FIG. 3 approaching to each other) because of the fact that the axial length gets longer but a circumferential flow is produced. With this, the restoring force becomes smaller due to the fact that the area of the location showing the restoring force in FIG. 3 becomes smaller. With the foregoing, it is comprehended that l/d has a point where the restoring force reaches the maximum. FIG. 4 shows the result of computing that value. As it is seen from FIG. 4, l/d where the restoring force reaches the maximum is at 0.5 to 1.0. According to the present invention, a plurality of step portions 23 are formed. Accordingly, it is possible to set l/d of each step portion 23 at 0.5 to 1.0, to control the flow flowing through the clearance between the piston portion 21 and the cylinder 2 in the circumferential direction, and to maintain the maximum restoring force. Moreover, since the total length of the piston portion 21 can also be made long, it is possible to reduce the flow quantity flowing through the clearance in the axial direction so as to aim at stabilization of vertical movement of the piston portion 21. As described above, according to the present invention, it is possible to produce hydrostatic bearing effects on the piston portion by forming the configuration of the piston portion into a step-shaped configuration composed of an upper part having a large diameter and a lower part having a small diameter. Furthermore, by forming the step-shaped configuration into a plurality of steps and providing circumferential grooves which partition the step portions, it is possible to set the ratio of the length of each step portion to the maximum outside diameter of the piston portion at 0.5 to 0.1 and to maximize the restoring force of the piston portion. Thus, the piston portion is always maintained in a concentric state with respect to the cylinder, thus preventing contact between both of them. Therefore, there is no fear that the piston portion comes in contact with the internal surface of the cylinder and damages it, and vertical movement of the driving shaft is also performed smoothly. Thus, it is possible to aim at improvement of actuation characteristics of the control rod driving system. In addition, since it is possible to reduce the flow quantity flowing in the axial direction through the radial clearance between the piston portion and the cylinder, it is possible to stabilize all the more vertical movement of the driving shaft. |
059986905 | summary | BACKGROUND OF THE INVENTION Solutions containing boric acid and/or borates are mainly produced during the running of pressurized water nuclear power stations. Because these solutions are radioactive, solidification treatment is necessary to change them into chemically and physically stable solid bodies in order to ensure nuclear power safety. For solidification treatment of these radioactive solutions, currently the frequently used mainly are the three methods of cement solidification, plastic solidification and bitumen solidification. Among the three methods, the cement solidification has the lowest solidification volume efficiency and as a result, although its operation is of the simplest and the cement solidified bodies are generally considered to possess a long-term safety, however, because the expenses for final disposal of solidified bodies of the radioactive wastes are counted by volume, the cement solidification process will gradually be replaced in a state when expenses for final disposal increase day by day. Both plastic solidification and bitumen solidification methods, on the other hand, use organic materials as the solidification agents. Although higher volume efficiency may be obtained by the two methods, the bitumen solidified bodies are burnable and have a low strength, there have also been instances of burning during the process of operation of bitumen solidification in foreign countries. Many countries in Europe have already banned use of bitumen solidification process and in many other countries except for those bitumen solidification systems that have been established earlier and still continue to be in use and for export to relatively under-developed countries, there is almost no newly built system to join in. The fact that the bitumen solidification process is being gradually eliminated is almost certain. As for the plastic solidification process, its use remains still a subject of dispute; even though newly built systems are continuously joining in, people, who hold a negative point of view, consider that the plastics is a material susceptible to ageing and since the history of use of plastics by mankind lasts merely about 50 years, it has not been possible to ascertain that the quality of plastic solidified bodies of the wastes remain stable for more than 300 years and would not change in substance and therefore, in many countries in Europe the plastic solidification process is no longer used. Generally speaking, the future of use of the plastic solidification process is mainly linked to whether the volume efficiency in solidification of the inorganic solidification agent can be raised to allow the ultimate handling expense to be lowered to an acceptable level. Otherwise, at the pressure of an ultimate handling cost, it may be expected that the plastic solidification process will continue to be adopted because of its excellent volume efficiency in solidification. Speaking from the current situation, research on enhancing the solidification volume efficiency of the inorganic solidification agent so that on the already available basis that the quality of inorganic solidified body is able to ensure a long-term stability, the reduction in volume of the solidified body enables the inorganic solidification method to also possess an advantage on volume efficiency, is a major direction on the current researches on solidification of low radioactive wastes. The conventional cement solidification technique is also a kind of inorganic solidification method. When the method is used in solidification of borate wastes, generally boric acid is regulated to be alkaline by using sodium hydroxide and after boric acid is concentrated to a solution containing 21,000 ppm, lime and cement are added into it and the solution is sufficiently mixed and is then left motionless to allow it to be solidified. Because there is presence of an impediment effect on cement hydration hardening by boric acid, the content of borate wastes to be added into the cement slurry must not be excessive. Also, the content of boric acid in the borate wastes solidified body produced by the unimproved conventional solidification method is generally suitably not exceeding 5 wt %, if not, there will be problem as to the grade. Adding of lime is an improvement in relation to the conventional cement solidification method, which causes boric acid to form insoluble calcium borate crystals, avoids it from impeding hydration hardening action of the cement and thus helps in enhancing volume efficiency of the solidification. Such a conception has exactly been used in the so-called advanced cement solidification process developed by the Japanese firm, JGC Corporation, in which lime is first added into the liquid borate wastes and the solution at 40-60.degree. C. is agitated for about 10 hr. to allow calcium borate to age and grow crystal. The solution is next filtered to obtain calcium borate crystals and finally, the calcium borate crystals are solidified with cement. By this process, it is said that 190 gal of liquid borate waste containing 21,000 ppm of boron can be solidified into a 55 gal barrel of solidified body. Compared with the conventional method, the volume efficiency of solidification shows a significant improvement, the operation is however tedious and the process is slightly complicated, while the equipment investment is also relatively high. There still remain many methods for solidification of borate wastes performed with inorganic solidification agents, for instance, in U.S. Pat. No. 4,293,437 or French Patent FR-A-2,423,035, the borate solution is neutralized with alkalizer barite (baryta) having precipitation effect to form a concentrated suspension slurry containing barium borate precipitate. After further adding alkaline silicate acting as a suspension agent, finally cement and bitumen emulsion are again added into the suspension slurry to solidify the slurry. In this process, the boron content is increased by menas of production of a suspending liquid of barium borate precipitate and is finally solidified with cement and bitumen emulsion. It is said that the final solidified product of the process contains 233 g/l of the borate equivalents and the solidification volume efficiency has a higher solidification volume efficiency than the conventional cement solidification process. In the process disclosed in U.S. Pat. No. 4,210,619, lime is added into the solution containing 11% boric acid and after boric acid is converted into insoluble calcium borate, cement is next added into the slurry obtained and mixed for solidification. In U.S. Pat. No. 4,800,042, lime is also added into the borate solution to convert boric acid into calcium borate and in a further step after calcium borate is filtered and separated it is solidified with cement to obtain a higher solidification volume efficiency than U.S. Pat. No. 4,210,619. The principle of this process is entirely the same as the advanced cement solidification process of the Japanese JGC. Next, in the U.S. Pat. No. 4,620,947, magnesium oxide or magnesium hydroxide powder is first added into the borate solution to form magnesium borate, into which cement is then added and the mixture is agitated. Finally, before colloids are formed calcium oxide or calcium hydroxide is added for solidification. Following the conditions used in this patent, the concentration of boric acid in the liquid waste is about 10 wt % and weight of the lime, cement, magnesium hydroxide and calcium oxide added is several times the weight of the boric acid. Hence, the volume efficiency is very low and the compressive strength of the solidified bodies produced is also very low, the highest reaching only 22.5 kg/cm.sup.2. On the other hand, U.S. Pat. No. 4,664,895 discloses a process for solidification of the liquid borate waste by adding sodium metasilicate into a high concentrated borate solution. The boric acid concentration used in this process reaches as high as over 30 wt % of the liquid waste and the process is thus capable of obtaining a relatively high volume efficiency. The compressive strength of its solidified bodies however, lies between 500 psi to 700 psi (35 to 49 kg/cm.sup.2) only, which is not high enough. Most importantly, the solidified product generated in this process is in the state of silicic acid and the water resistance property is not satisfactory. U.S. Pat. No. 4,906,408 discloses a process for solidification of liquid borate waste and waste resin containing boric acid and according to the process the emphasis is on converting boric acid into calcium boroettringite and calcium monoboroaluminate to avoid any unsatisfactory reaction occurring between borate and cement or water that leads to expansion and cracking in the solidified bodies. According to this process, borate solution with a very low concentration is used and also into each unit volume of the borate solution, 1.75 time volume of the cement and silicon additive must be added. Hence, one can well imagine that the solidification volume efficiency according to this process is also very low. In the above-mentioned prior art, a majority has adopted a technique of adding alkaline precipitating agent in converting borates into insoluble borides and then adding the solidifying agent, cement or bitumen for solidification, for example, the addition of alkaline barite to cause formation of a suspending liquid of barium borate precipitate in U.S. Pat. No. 4,293,437; addition of lime to cause conversion of borate into insoluble calcium borate in U.S. Pat. Nos. 4,210,619, 4,800,042 and 4,906,408; addition of magnesium oxide or magnesium hydroxide to form magnesium borate in U.S. Pat. No. 4,620,947. In these ways although there is improvement in solidification volume efficiency of the liquid borate waste, from the point of view of the present invention however, such solidification ways are unable to produce adequately the volume efficiency of solidification of boric acid, the reasons being that: (1) the alkaline precipitating agent added has basically increased the amount of the wastes and (2) borates are still regarded as wastes needed to be embedded, the weight percentage of borates within the solidified body is therefore subject to considerable limitation and the solidification volume efficiency can not be enhanced greatly. SUMMARY OF THE INVENTION Accordingly, the present invention has used a solidification mechanism completely different from the aforesaid process. In the present invention, borate itself no longer is only a waste to be embedded, it is a reactant in solidification. For boric acid to be able to take part effectively in the solidification, boric acid must be in the dissolving state, therefore, in view of the requirement for a quality on solidified bodies, boric acid in the dissolving state in the solution must maintain at above a certain degree of concentration, although there may be presence in the solution of insoluble borides. Accordingly, borates are preferably in the form of a salt of high solubility, in which the most suitable form is with sodium borate and other highly aqueous soluble borates, such as: potassium borate, lithium borate and ammonium borate can also be used. Therefore, in accordance with the present invention the target for solidification is not to be limited to the form of sodium borate. Also, in considering the use of additives every effort must be made not to cause precipitation in borides. Boric acid is an intermediate aqueous soluble crystal and the liquid borate wastes produced in a nuclear power plant is, generally, regulated to be alkaline with sodium hydroxide. From the solution, sodium hydroxide and boric acid can be formed into various compounds of xNa.sub.2 O.yB.sub.2 O.sub.3. zH.sub.2 O series, for instance, Na.sub.2 O.B.sub.2 O.sub.3.4H.sub.2 O (sodium metaborate); Na.sub.2 O.2B.sub.2 O.sub.3.4H.sub.2 O, Na.sub.2 O.2B.sub.2 O.sub.3.5H.sub.2 O and Na.sub.2 O.2B.sub.2 O.sub.3.1OH.sub.2 O (disodium tetraborate): NaB.sub.5 O.sub.8.5H.sub.2 O (sodium pentaborate); and NA.sub.2 O.4B.sub.2 O.sub.3.4H.sub.2 O (disodium octaborate). Because in the aqueous solution sodium borate changes much in chemical form, it is therefore, for convenience sake, to use generally the ppm concentration of boron in the solution for indication. In water, solubility of sodium borate varies greatly following changes in its chemical form and is also subject to the manipulation and control of pH value in the solution. In fact, the pH value is the main factor that has influence on the chemical form of sodium borate in the solution. Basically, speaking on sodium borate solution, the level of pH value represents a level of molar ratio of sodium: boron in the solution: the higher the molar ratio of sodium: boron, the higher will be the pH value. The results of experiment show that sodium borate has a high solubility when pH is within 7 to 9, and the content of boron in the dissolved state even can reach higher than a level of 135,000 ppm in the solution at a temperature of 40.degree. C. when pH is within 7 to 8. Such superhigh level of solubility is obtained mainly as a result of borates forming a fairly stable temporary oversaturated solution. Concentration of the dissolved boron drops distinctly when molar ratio of sodium: boron is too high. It has also been discovered by the invention that in a high molar ratio of sodium: boron, concentration of the dissolved boron is effectively raised by regulating down the pH value with phosphoric acid. In addition, by raising the temperature of the solution, it is also possible to increase markedly the concentration of the dissolved boron; however, the higher the temperature, the faster will be the rate of the hardening reaction, which will possibly lead to drawbacks, such as: insufficient time of mixing or temperature running too high. However, the temperature can be higher, if the solution, after mixing, is appropriately cooled, but when adding a hardener it is most preferable that the temperature of this solution is still below 100.degree. C. In the light of discovery of the invention, a borate solution of high concentration possesses a strong tendency to polymerization and with the raise in concentration the degree of polymerization also increases. The results of experiment show that in a sodium borate solution with molar ratio of sodium: boron of 0.3028, the density and the sodium borate concentration in the solution maintain from the beginning to the end in the relation of a linear direct proportion. The viscosity of the solution appears to be in the relation of a linear direct proportion only when the concentration is low, and when boron concentration reaches 80,000 ppm, the viscosity starts to increase quickly and distinctly and after reaching about 100,000 ppm the viscosity rises high even more quickly showing that the higher the concentration the stronger will be its tendency to polymerization. Experiments of the present invention prove that such a polymerization action has a very important effect on the quality of the hardened products of sodium borate. It has been discovered that when borate solution has a higher concentration, borates of a higher degree of polymerization will be formed and the strength of the solidified products will also be higher when a borate having a higher degree of polymerization is reacted with the solidification agent of the present invention. This constitutes a very useful excellent recycling rendering the process according to the invention to achieve at the same time a superhigh volume efficiency and a superhigh quality of solidified body, and is thus characteristic of the present invention. The process for solidifying wastes with a hardenable slurry prepared by mixing homogeneously the cementitious material, pozzolanic material and some additives with borate solution of a high concentration is disclosed in U.S. Pat. No. 5,457,262. In the present invention, more appropriate materials for solidification agents will be disclosed to further raise the quality of the solidified products of the process. Based on experiments of the invention it is discovered that materials suitable to be the solidification agents for the aforesaid borates of high concentration include, in addition to the cementitious material, pozzolanic material disclosed in the patent and the indicated additives, all other materials capable of reacting with boric acid or borates to form insoluble or hardly soluble solids. All these can be used as solidification agents. Considering the quality that a solidified product must possess, it is preferable, however, that the materials for solidification agents be the materials that are capable of providing excellent compressive strength, water resistance and durability to the solidified bodies and of rendering structure of the solidified bodies to be fine and dense and having small and less pores, and are capable of obstructing the exit of the moisture. As a result of tests, among such materials oxides and hydroxides of metals of bivalence or above as well as salts of metallic silicate, phosphate and carbonate or composite salts thereof are found to be most appropriate. In selecting the materials, consideration must be on the structure stability of the solidified products formed by these materials with boric acid or borates and also on heating effect during the solidification. Ideal solidification products must possess the least expansibility or contractibility; whereas, the lower the heat of release the better will also be the solidification reaction. The material of said solidification agents when used alone also has a solidification effect, however, speaking generally, it is appropriate relatively to use a compound solidification agent formed in composition from different materials so that the solidification products possess all the good qualities. For instance, reaction between magnesium oxide and boric acid produces solidified bodies having excellent water resistance. However, when excess magnesium oxide is used, contractibility in the solidified bodies gets relatively large and the bodies become fragile and easily breakable. This is disadvantageous to the stability in structure of the solidified bodies. The amount of magnesium oxide used, therefore, should not be too excessive, if not, there is likely that the solidified bodies develop a cracking phenomenon. Again, when, for example, silica is used as the material for solidification agents, although there is relatively less heat of release in the solidification reaction, the compressive strength of the solidified bodies is however low and the water resistance is also unsatisfactory. The amount of silica used, therefore, should not be excessive too. The materials used are not to be limited to those that are capable of producing solidification reaction with boric acid or salts thereof directly, the use of some materials is for enhancing the solidification of components other than boric acid in the liquid wastes or for compensating insufficiencies in the other solidification agent components in contribution to the quality. To take an example, when liquid wastes are in the sodium salt state of boric acid, because generally after solidification the sodium salt in the solidified bodies dissolves relatively easily leading possibly to an unsatisfactory water resistance in the solidified bodies, it is necessary, therefore, to take remedial measures to overcome the difficulty. A feasible way is to add an appropriate amount of silicic acid, and impel sodium in the state of sodium silicate to react with other metallic oxides, hydroxides or salts and form insoluble salts of sodium silicate to avoid sodium salt from dissolving out. Oxides, hydroxides or salts of barium, zirconium and titanium are also excellent solidification agent components and can be used as reactivity material for solidification agent or as filler to increase the stability in the structure. Experiments according to the present invention prove that when the amount of solidification agent used is higher, the viscosity of the slurry mixed becomes higher and temperature of heating also becomes higher. Under the condition when mixing is excellent, the solidified bodies are also better in quality. However, if the amount of solidification agent used is excessive and trouble has been caused to the process of mixing such that a homogeneous mixing effect is not achieved, inhomogeneity will probably arise in the structure of the solidified bodies and thus leads to undesirableness in quality. Generally speaking, for each kg of solution it is suitable to use a solidification agent of below 0.7 kg and 0.3 to 0.5 kg is most preferred. In the following, the solidification process and preparation of the solidification agents according to the present invention will be described by way of examples, which are merely embodiments of portions of the invention and shall not represent the entire scope of utilization and it is thus not intended that the scope of the present invention be limited. |
051732173 | summary | This invention relates to a method and an apparatus for containing wastes, and more particularly to radioactive wastes. According to one aspect of the present invention, there is provided a method of containing wastes comprising placing the wastes in a container and introducting a grout slurry into the container to fill voids in the container, thereby to embed the wastes into a solidified mass upon setting of the grout slurry, the method including closing the container with the wastes therein, piercing the container with a hollow cutting means, introducing the grout slurry into the container through the cutting means, and retaining the cutting means in the container. According to another aspect, the invention provides an apparatus for containing wastes, the apparatus comprising, a closable container for enclosing the wastes, hollow cutting means for piercing the container and for introducing a grout slurry therethrough into the container, the cutting means being detachable so as to be retained in the container after the grout slurry has been introduced therein. |
claims | 1. A scintillator panel comprising:a supporting substrate which transmits a radiation ray;a reflective resin sheet formed directly on top of one face of the supporting substrate, the reflective resin sheet having a single layer made from foamed resin; anda phosphor layer which is formed directly on top of the reflective resin sheet disposed on the one face of the supporting substrate and converts the radiation ray into visible light. 2. A radiation detector comprising:an image sensor having a plurality of photoreceptor elements arranged thereon; andthe scintillator panel according to claim 1 in combination of the image sensor. 3. A scintillator panel comprising:a supporting substrate which transmits a radiation ray;a reflective resin sheet formed on at least one face of the supporting substrate, the supporting substrate being made of carbon fiber-reinforced plastic (CFRP) impregnated with thermosetting resin, the reflective resin sheet having a single layer, the single layer being an expanded resin layer or dispersed with a grain of heterogeneous substance different from the resin in the resin sheet; anda phosphor layer which is formed on the reflective resin sheet on one face of the supporting substrate and converts the radiation ray into visible light,wherein the reflective resin sheet is bonded by resin, which is originally impregnated in a base material of CFRP before heat curing, to the CFRP supporting substrate. 4. A radiation detector comprising:an image sensor having a plurality of photoreceptor elements arranged thereon; andthe scintillator panel according to claim 3 in combination of the image sensor. |
|
summary | ||
claims | 1. A tracer delivery system which comprises of a melamine formaldehyde resin (MFR) polymer wherein the polymer is doped with one or more tracers and the polymer releases tracer after placement in a liquid system; andwherein the amount of tracer is about 5-20% by weight based in the total weight of the system and wherein the doping of the polymer comprises of mixing the tracer with a MFR condensate solution comprising of melamine, formaldehyde, methanol and water prior to hardening the solution with a hardener. 2. The system of claim 1, wherein the tracer is a radioactive tracer. 3. The system according to claim 2, wherein the radioactive tracer is 3H or 14C. 4. The system of claim 1, wherein the tracer is a non-radioactive tracer. 5. The system according to claim 4, wherein the non-radioactive tracer is naphthalenesulphonic acid, amino naphthalenesulphonic acid, fluorinated benzoic acid or salts thereof. 6. The system of claim 1, wherein the system may further comprise fillers, plasticizers, stabilizers and/or colorants. 7. A method of verifying fluid flow of a liquid system which comprises applying a tracer delivery system of claim 1 to an upstream location of the liquid system and detecting the amount of tracer released into the liquid system at a downstream location of the liquid system. 8. The method of claim 7, wherein the tracer delivery system has an amount of tracer is about 5-20% by weight based in the total weight of the system, the tracer is a radioactive tracer which is 3H or 14C and may further comprise fillers, plasticizers, stabilizers and/or colorants. 9. The method of claim 7, wherein the tracer delivery system has an amount of tracer is about 5-20% by weight based in the total weight of the system, the tracer is a non-radioactive tracer which is naphthalenesulphonic acid, amino naphthalenesulphonic acid, fluorinated benzoic acid or salts thereof and may further comprise fillers, plasticizers, stabilizers and/or colorants. 10. The method of claim 7, wherein the polymer releases tracer for up to about one year after placement in a liquid system. 11. A method of verifying fluid flow at multiple locations of a liquid system which comprises applying a tracer delivery system of claim 1 to each desired upstream location of the liquid system and detecting the amount of tracer released into the liquid system at each downstream location the liquid system which corresponds to the respective desired upstream location. 12. The method of claim 11, wherein the tracer delivery system has an amount of tracer is about 5-20% by weight based in the total weight of the system, the tracer is a radioactive tracer which is 3H or 14C and may further comprise fillers, plasticizers, stabilizers and/or colorants. 13. The method of claim 11, wherein the tracer delivery system has an amount of tracer is about 5-20% by weight based in the total weight of the system, the tracer is a non-radioactive tracer which is naphthalenesulphonic acid, amino naphthalenesulphonic acid, fluorinated benzoic acid or salts thereof and may further comprise fillers, plasticizers, stabilizers and/or colorants. 14. The method of claim 11, wherein the polymer releases tracer for up to about one year after placement in a liquid system. |
|
description | The present application claims priority under 35 U.S.C. 119 to Korean Patent Application No. 10-2010-0066765, filed on Jul. 12, 2010, the disclosure of which is expressly incorporated by reference herein in its entirety. 1. Field of the Invention The present invention relates to a lid frame for a nuclear fuel assembly shipping container and a shipping container for nuclear fuel assemblies, capable of safely transporting a nuclear fuel assembly to a nuclear power plant, etc. after the nuclear fuel assembly has been produced. 2. Description of the Related Art In general, nuclear fuel such as enriched uranium or mixed oxide needs to be transported between various places, for instance a place where they are concentrated, a fuel rod producing place, and so on. For this transporting stage, the fuel is typically shaped like a small pellet. When stored, this fuel requires a constant level of thermal insulation and structural strength to comply with international standards, and the control of their criticality is a main concern, and the mass of enriched fuel in a shipping container should be strictly restricted such that a dangerous situation does not arise. Due to this requirement, the volume of fuel that can be transported in a shipping container of a certain volume is under strict restrictions. As a result, numerous shipping containers for transporting the nuclear fuel assembly have been disclosed. As shown in FIG. 1, such a shipping container is designed so that a plurality of clamps 10 are installed separately apart from each other by predetermined intervals so as to clamp a nuclear fuel assembly 1. In this case, it is impossible to safely protect the nuclear fuel assembly from external shocks caused by falling, penetration, and so on. To compensate for this problem, as shown in FIG. 2, the shipping container may be designed so that a pair of clamping frames 20 are coupled to opposite long sides of the shipping container with the nuclear fuel assembly disposed therebetween, and are formed in a completely closed shape so that the nuclear fuel assembly is clamped. The strength of the shipping container itself including the clamping frames 20 must be reliable, and thus the containers are typically formed of a metal material. In this way, when the clamping frames 20 are formed in a completely closed shape, the nuclear fuel assembly can be safely protected, but the shipping container itself becomes very heavy, and the cost of production is increased as well. Furthermore, in the case of conventional nuclear fuel assembly shipping containers with clamps that have the same size, since the positions of the spacer grids are different for each type of nuclear fuel assembly, a lid frame in which the clamps are disposed so as to correspond to the positions of the spacer grids should be used to transport different types of nuclear fuel assemblies. Accordingly, a different lid frame should be provided depending on the type of nuclear fuel assembly. Accordingly, the present invention has been made keeping in mind the above problems occurring in the related art, and embodiments of the present invention provide a lid frame for a nuclear fuel assembly shipping container, which is designed to improve durability, stiffness, etc. so as to be able to sufficiently withstand unexpected accidents or external shocks, and to cover an entire nuclear fuel assembly, thereby making it possible to safely protect the nuclear fuel assembly and to reduce its weight, and a shipping container for nuclear fuel assemblies as well. Embodiments of the present invention also provide a lid frame for a nuclear fuel assembly shipping container and a shipping container for nuclear fuel assemblies, which allow different types of nuclear fuel assemblies, between which the position of each spacer grid is different, to be transported using one type of lid frame. According to an aspect of the present invention, there is provided a lid frame for a nuclear fuel assembly shipping container, in which the shipping container includes a lower container in which a cradle is installed, an upper container detachably coupled to the lower container, and a base frame coupled to the cradle with at least one nuclear fuel assembly placed thereon. The lid frame, comprises: a plurality of supports installed apart from each other so as to surround the nuclear fuel assembly placed on the base frame; and a plurality of clamps separated from each other, coupled to the plurality of supports so as to be perpendicular to the plurality of supports, rotatably hinged to the base frame, and clamping the nuclear fuel assembly. According to another aspect of the present invention, there is provided a shipping container for nuclear fuel assemblies, which comprises: a lower container in which a cradle is installed; an upper container detachably coupled to the lower container; a base frame coupled to the cradle with at least one nuclear fuel assembly placed thereon; and a pair of lid frames installed on opposite long sides of the base frame in order to clamp the nuclear fuel assembly placed on the base frame. Each lid frame includes: a plurality of supports installed apart from each other so as to surround the nuclear fuel assembly placed on the base frame; and narrow and wide clamps separated from each other, coupled to the plurality of supports so as to be perpendicular to the plurality of supports, rotatably hinged to the base frame, and clamping the nuclear fuel assembly. Here, the shipping container can further include buffers interposed between the lower container and the cradle in order to absorb shocks applied to the nuclear fuel assembly. Further, the shipping container can further include: hinge couplers formed at one end of the cradle; hinge pieces formed on the base frame so as to correspond to the hinge couplers; and hinge bolts, each of which couples each of the hinge couplers and the hinge pieces. Also, the shipping container can further include a support rod, which supports the nuclear fuel assembly when the nuclear fuel assembly stands erect at one end of the lower container. In addition, the lid frame can further include press members installed on inner surfaces of the narrow and wide clamps in order to press spacer grids of the nuclear fuel assembly. Each press member can include: a press plate that is interposed between each of the narrow and wide clamps and each spacer grid and pressing the spacer grid; and an adjustment screw that passes through each of the narrow and wide clamps to be coupled to the press plate. The lid frame can further include: a first press plate holding recess formed inside the narrow clamp so as to hold the press plate; and second press plate holding recesses formed inside the wide clamp so as to hold the press plates. The second press plate holding recesses can hold the press plates so as to be able to press each spacer grid in different types of nuclear fuel assemblies between which the position of each spacer grid is different. According to the present invention configured in this way, the lid frame installed in the shipping container to stably clamp the nuclear fuel assembly has a lattice shape, so that it is possible to improve stability of the nuclear fuel assembly compared to an existing method of clamping the nuclear fuel assembly only with clamps. Further, it is possible to remarkably reduce the weight compared to an existing clamping frame having a completely closed shape, so that the lid frame can be used at a nuclear power plant equipped with a nuclear fuel assembly handling crane having a relatively small capacity, and can also reduce the cost of production, which is advantageous from the economical point of view. Reference will now be made in greater detail to exemplary embodiments of the invention with reference to the accompanying drawings. FIG. 3 shows an appearance of a nuclear fuel assembly shipping container according to an exemplary embodiment of the present invention. The shipping container of this embodiment is configured so that a cross section of a lower container 100 and an upper container 200 is semi-circular such that at least one nuclear fuel assembly can be held, and are coupled so as to be opposite to each other. Here, each of the lower and upper containers 100 and 200 can be formed of a metal material strong enough to safely transport the nuclear fuel assembly. In detail, the shipping container of this embodiment is configured so that the upper container 200 is detachably coupled to the lower container 100, the lower and upper containers 100 and 200 are provided with flanges 110 and 210 on outer circumferences thereof, the flange of the lower container 100 has a plurality of assembly protrusions 111 protruding therefrom at regular intervals, and the flange of the upper container 200 is provided with a plurality of assembly holes 211 (see FIG. 4) so as to correspond to and be engaged with the protrusions. Further, the lower container 100 has a plurality of support legs 120 installed on an outer surface thereof at predetermined intervals so as to support the shipping container. The upper container 200 is provided with loading parts 220 on opposite sides thereof in a lengthwise direction. Each loading part 220 is provided with lift holes 221 such that the upper container 200 can be lifted by, for instance, a crane. FIG. 4 is an exploded perspective view showing the nuclear fuel assembly shipping container of FIG. 3 according to the exemplary embodiment of the present invention, wherein lower and upper containers 100 and 200 are separated from each other. FIG. 5 is a perspective view showing a nuclear fuel assembly shipping container according to an exemplary embodiment of the present invention, wherein an upper container is removed from the nuclear fuel assembly shipping container. FIG. 6 is a perspective view showing a nuclear fuel assembly shipping container according to an exemplary embodiment of the present invention, wherein a lid frame clamping a nuclear fuel assembly stands erect. As shown in FIG. 4, a lower container 100 is provided therein with a base frame 300 and a pair of lid frames 400 and 400′ so as to be able to stably support at least one nuclear fuel assembly 10. A cradle 130 is installed in the lower container 100 such that the base frame 300 can be placed on the cradle 130. The base frame 300 is placed on the cradle 130 with the nuclear fuel assembly 10 placed on an upper surface of the base frame. The cradle 130 has a plurality of supports 131 installed in a lengthwise direction at predetermined intervals. The cradle 130 is fixed to the lower container 100 by fasteners 134 such as screws. Here, buffers 140 formed of a rubber material are interposed between the lower container 100 and the cradle 130 in order to relieve external shocks that can be applied to the nuclear fuel assembly 10. Each buffer 140 is provided with a fastener hole (not shown) in the center thereof in a lengthwise direction. The fasteners 134 are fastened into the fastener holes through the cradle 130. Thereby, the cradle 130 is fixedly coupled to the lower container 100 so as to be able to absorb shocks. The lid frame 400 or 400′ includes supports 410 or 410′ stably surrounding the nuclear fuel assembly 10, narrow clamps 420 or 420′ and wide clamps 420a or 420a′ disposed on the supports 410 or 410′ at predetermined intervals, and end support plates 444 supporting opposite ends of the nuclear fuel assembly 10. The supports 410 or 410′ are separated from each other, and are installed in a lengthwise direction of the nuclear fuel assembly 10. Here, the supports 410 or 410′ are disposed apart from each other at predetermined intervals, rather than integrally formed in one flat plate shape. Thus, a guide slot is naturally formed between the two adjacent supports. Each of the narrow clamps 420 or 420′ and the wide clamps 420a or 420a′ is rotatably hinged to the base frame 300 at one end thereof. Here, the narrow clamps 420 or 420′ and the wide clamps 420a or 420a′ are welded to the supports 410 or 410′, which are separated from each other, so as to be perpendicular to the supports 410 or 410′, and thus are integrally formed with the supports 410 or 410′ so as to be able to be rotated. Meanwhile, in this embodiment, the shipping container for transporting two nuclear fuel assemblies 10 at the same time has been described by way of example. As shown in FIG. 5, the lid frames 400 and 400′ are rotatably installed on the base frame 300 on opposite long sides of the base frame 300 so as to be opposite to each other. Further, each pair of narrow clamps 420 and 420′ or each pair of wide clamps 420a and 420a′ are configured to be fastened to each other, and are provided with male and female fasteners 421 and 421′ on free ends thereof so as to be engaged with each other, respectively. Further, the male and female fasteners 421 and 421′ are provided with bolting holes 422 and 422′ respectively, so that they can be firmly fixed to each other by a fixing bolt (not shown). Here, the narrow clamps 420 or 420′ are arranged so as to correspond to the spacer grids of the nuclear fuel assembly 10, so that they can stably clamp the nuclear fuel assembly. In this manner, the lid frame 400 or 400′ of this embodiment is configured so that the supports 410 or 410′, which are separated from each other, and the narrow clamps 420 or 420′ and the wide clamps 420a or 420a′, which are coupled to the supports 410 or 410′ at predetermined intervals respectively, have a lattice shape. Thus, the lid frames 400 and 400′ can be remarkably reduced in weight compared to a conventional lid frame where a pair of clamping frames 20 are formed in a completely closed shape as shown in FIG. 2, and thus make transportation easier. Furthermore, the lid frames 400 and 400′ can also reduce the cost of production, which is advantageous from the economical point of view. Further, each of the narrow clamps 420 or 420′ and the wide clamps 420a or 420a′ can be provided with press members 600 for pressing the spacer grids of the nuclear fuel assembly 10 to more stably clamp the nuclear fuel assembly 10 Each press member 600 includes a flat-plate-shaped press plate 610, which is interposed between each of the clamps 420, 420′, 420a and 420a′ and each spacer grid, and an adjustment screw 620, which passes through each of the clamps 420, 420′, 420a and 420a′ to be coupled to the press plate 610. Thus, pressure is applied to or released from the press plate 610 using the adjustment screw 620, so that the nuclear fuel assembly 10 can be firmly clamped to the lid frame 400 or 400′. A first press plate holding recess 423 or 423′, which holds the flat-plate-shaped press plate 610, is formed inside the narrow clamp 420 or 420′, and second press plate holding recesses 423a or 423a′, which hold the flat-plate-shaped press plates 610, are formed inside the wide clamp 420a or 420a′. Here, the second press plate holding recesses 423a or 423a′ are provided inside the wide clamp 420a or 420a′ so as to correspond to dimensions (width and length) of the wide clamp 420a or 420a′ and to have a width of the first press plate holding recess 423 or 423′. These wide clamps 420a or 420a′ are formed so as to have a width that covers a change in position of each spacer grid of the nuclear fuel assembly to be transported. Thereby, in different types of nuclear fuel assemblies between which the position of each spacer grid is different, the spacer grid located at a different position can be fixedly pressed using the press plate 610. Accordingly, the lid frame 400 or 400′ can clamp and transport the different types of nuclear fuel assemblies without requiring a separate change in structure. In the shipping container of the present invention constructed as described above, after the nuclear fuel assembly has been transported to a nuclear power plant, the nuclear fuel assembly must be erected from the shipping container. Thereby, it is easy to store the nuclear fuel assembly in a temporary storehouse. Thus, as shown in FIG. 6, the nuclear fuel assembly is erected from the shipping container by lifting one end of the nuclear fuel assembly in an upward direction using, for instance, a nuclear fuel assembly handling crane. Here, as shown in FIG. 5, the cradle 130 is provided with hinge couplers 132 at one end thereof, and the base frame 300 is provided with hinge pieces 310 that correspond to the hinge couplers 132. The hinge couplers 132 and the hinge pieces 310 are provided with hinge holes 311 so as to be aligned with each other. A hinge bolt 133 (FIGS. 4 and 5) is fitted into the hinge holes 311. When one end of the nuclear fuel assembly is lifted, the nuclear fuel assembly can be more stably pivoted because the other end of the nuclear fuel assembly is coupled to the cradle 130 by the hinge bolt 133 that functions as a rotational shaft. As shown in FIG. 4, the hinge bolt 133 is provided with a fixing hole 133a in one end thereof. After the hinge bolt 133 is inserted into the hinge holes 311 formed in the hinge coupler 132 and the hinge piece 310, it is rotatably fixed by a fixing rod 133b and a fixing pin 133c fixing the fixing rod 133b. To fix the hinge bolt 133, all fixing means for rotatably coupling the hinge bolt 133 to the hinge coupler 132 and the hinge piece 310 can be used in addition to the fixing rod 133b and the fixing pin 133c. In this manner, when the nuclear fuel assembly is erected by pivoting one end of the nuclear fuel assembly, the nuclear fuel assembly can be erected by a nuclear fuel assembly handling crane having a relatively small capacity, because the lid frame 400 or 400′ of this embodiment is formed in a lattice shape and thus its weight is sufficiently reduced compared to an existing closed-type clamping frame. Meanwhile, the lower container 100 of this embodiment is provided with a bedplate 150 at one end thereof which can support a load of the nuclear fuel assembly when the nuclear fuel assembly stands erect. A support rod 160 can be hinged to the lower container 100 and the base frame 300 at opposite ends thereof, respectively, so as to be able to sufficiently support the nuclear fuel assembly. Although exemplary embodiments of the present invention have been described for illustrative purposes, those skilled in the art will appreciate that various modifications, additions and substitutions are possible, without departing from the scope and spirit of the invention as disclosed in the accompanying claims. |
|
059303158 | claims | 1. A method for managing the operation of a system having a plurality of components following a malfunction of a component, wherein each component is assigned a thermal-hydraulic function in terms of mass, momentum or energy, said method comprising the steps of: assigning each component to a loop in the system, where the loop may be closed or open and connect to other loops at junctions or tanks, and wherein each component in a given loop is connected to the other components in said given loop and where each loop has a characteristic set of thermal-hydraulic parameters and is connected to one or more other loops; identifying a first malfunctioning component in a first loop and classifying the malfunction in terms of a mass, momentum or energy thermal hydraulic function; searching the loops for components connected to said first malfunctioning component; searching said first loop for a second component of said loop of the same thermal-hydraulic function type as said first malfunctioning component; generating a first modified loop structure wherein said second component having the same thermal-hydraulic function type as the malfunctioning component replaces said first malfunctioning component; calculating and comparing thermal-hydraulic parameters of said first modified loop incorporating said second component with the thermal-hydraulic parameters of said first loop incorporating said first malfunctioning component prior to the malfunction; and reconfiguring said system to include said second component in said first modified loop if the thermal-hydraulic parameters of said first modified loop and of said first loop incorporating said first malfunctioning component prior to the malfunction match; or generating a second modified loop structure incorporating a third component having the same thermal-hydraulic function type as the first malfunctioning component for replacing the first malfunctioning component if the thermal-hydraulic parameters of the first loop and of the first modified loop do not match; calculating and comparing thermal-hydraulic parameters of the second modified loop structure incorporating said third component with the thermal-hydraulic parameters of the first loop incorporating said first malfunctioning component prior to the malfunction; and reconfiguring the system to include said third component in said second modified loop structure if the thermal-hydraulic parameters of said second modified loop match the thermal-hydraulic parameters of said first loop. 2. The method of claim 1 wherein the step of identifying said first malfunctioning component includes identifying a loop location of said first malfunctioning component in said first loop and a specific component or a list of possible components as said first malfunctioning component. 3. The method of claim 2 further comprising the step of defining each loop in terms of an ordered list of components arranged in a direction of flow of a process being carried by said system. 4. The method of claim 1 wherein the steps of generating a second modified loop structure and calculating and comparing said thermal-hydraulic parameters of said second modified loop with said first loop continues repeatedly until a component of the same function type as said malfunctioning component is found or until said second modified loop structure is generated in another loop if the thermal hydraulic parameters of the first loop and of the second modified loop do not match. 5. The method of claim 1 further comprising the step of generating an ordered list of loops connected to said first loop by searching for junctions connecting said first loop to other loops. 6. The method of claim 5 wherein the step of searching for junctions connecting said first loop to other loops first employing principles thermal-hydraulic rules and component thermal-hydraulic attributes begins at the location of said first malfunctioning component in said first loop, with said searching first proceeding downstream from said first malfunctioning component followed by searching upstream from an identified serviced component. 7. The method of claim 1 further comprising the step of continuing the generating of a modified loop structure in a next loop connected to said first until a component having the same thermal-hydraulic function type as the malfunctioning type is located and the thermal-hydraulic parameters of said first loop and of said next loop match. 8. The method of claim 7 further comprising the step of terminating the operation of the system if a match of the thermal-hydraulic parameters of said first loop incorporating said first malfunctioning component with the thermal-hydraulic parameters of another loop cannot be found. 9. The method of claim 8 further comprising the step of performing a safety function check of the system following shut down of the system. 10. The method of claim 1 wherein the step of calculating and comparing thermal-hydraulic parameters of a modified loop with the thermal-hydraulic parameters of said first loop includes comparing the thermal-hydraulic parameters of a modified loop with a target function for all energy and mass components in said first loop. 11. The method of claim 1 further comprising the step of reconfiguring the system to include another component to operate the system at reduced power or capacity if the thermal-hydraulic parameters of a modified loop incorporating said another component provide a portion of the capacity of the first loop incorporating said first malfunctioning component prior to the malfunction. 12. The method of claim 11 further comprising the step of performing a safety function check following operation of the system at reduced power or capacity. 13. The method of claim 1 further comprising the step of reconfiguring the system to include another component to operate the system at full power or capacity if the thermal-hydraulic parameters of a modified loop incorporating said another component provide full power or capacity of the first loop incorporating said first malfunctioning component prior to the malfunction. 14. The method of claim 13 further comprising the step of performing a safety function check following resumption of operation of the system at full power or capacity. 15. The method of claim 9, 12 or 14 wherein the step of performing a safety function check includes determining if a modified loop affects high-level safety functions. 16. The method of claim 1 further comprising the step of prioritizing valid solutions in accordance with predetermined criteria, a probabilistic risk assessment for a system simulator. |
051436906 | abstract | Fuel assemblies of a boiling-water nuclear reactor are inverted during refueling methods. Assemblies burned in a first orientation are subjected to greater burnup near their bottoms and greater conversion of fertile fuel to fissile fuel near their tops. Inverting the assemblies promotes burnup of the actinide products from the conversion of the last cycle. Thus, the procedure greater energy production efficiency and reduced actinide radioactive waste are achieved. One inverted fuel assemblies can be removed for disposal. Alternatively, they can be reinverted to burnup actinide fissile fuel generated at the bottom (while it was downstream of the top) during the second operating cycle. Further inversions are provided for, but the major gains occur during the first and second inversions. |
062636650 | description | DESCRIPTION OF PREFERRED EMBODIMENTS Referring in more detail of the drawings, the compact thruster of the invention operates as follows per FIGS. 1 and 2. Propellant, in liquid or solid form, is stored in a propellant tank 10. When the thruster is to be operated, the valve 12 is opened and gaseous propellant flows through a filter 13 to remove any large particulates into a gas plenum 14. The operating pressure for the thruster is regulated by heating the propellant storage tank with a small heater 22 and operating at the appropriate vapor pressure for the propellant of choice (typically ammonia or water). The plenum feeds gaseous propellant into the stagnation region 23 through several propellant feed slots 17 machined in an insulating surface 15 and the heating element pedestal plate 16. The insulating surface 15 reduces the conductive heat transfer between the heating element pedestal plate 16 and the structure below. The pedestal plate 16 is designed to reduce the line-of-sight escape of a typical propellant molecule through the expansion slot 19 without first striking the heating element 18. The nominal stagnation pressure is determined by the expansion slot 19 width, w, such that the stagnation Knudsen number based on the slot width is approximately 1, imposing the free molecule condition. Propellant entering the stagnation region 23 strikes the heating element 18 and exits the thruster through the expansion slot 19 as shown by the sample trajectory 21. Per FIG. 1, the heating element 18 width was found to be optimized at approximately 3w in numerical simulations. The distance between the heating element 18 top surface and the expansion slot 19 is approximately the slot width, w, ensuring that the propellant molecule does not suffer a collision with another propellant molecule before expanding throught the slot 19. The slot plate 20 is made as thin as possible to reduce heat loss to the expansion walls. The slot plate 20 is coated with an insulating material 24 to reduce radiative heat loss to the surrounding space. Sample dimensions of the compact thruster of the invention are given in FIG. 2 but such dimensions, can of course, vary with mission requirments. The major alternative modes of the invention deal with the configuration of the heating element 18 with the expansion slot 19. These alternatives include heated slot walls (FIG. 3), heated baffles (FIG. 4), V-shaped heating element pedestals (FIG. 5) and heated large length to diameter (1/d) tubes (FIGS. 6 & 7). These configurations are added for the benefit of improved propellant gas heating efficiency and to reduce energy losses to the expansion walls. In FIG. 3, the walls of expansion slot 39 are perpendicular and lined with thin film heating elements 38. This configuration reduces the amount of energy lost to unheated expansion slot walls and can be more efficient in coupling energy to the propellant through multiple collisions with the heater elements. The remaining parts of the thruster are as indicated in the previous configuration. In FIG. 4, the expansion slot 49 is baffled such that line-of-sight escape ot the propellant molecules can not take place. This increases the heating efficiency of the propellant since a typical propellant molecule must strike the thin film heating elements 48 before exiting the thruster. The remaining parts of the thruster are as indicated in the previous configuration. In FIG. 5, the heated pedestal 56 and heating element 58 are V shaped so as to focus the reflecting molecules at the expansion slot 59. The pedestal can be cylindrical or V shaped to accomplish this task. The remaining parts of the thruster are as indicated previously. In FIGS. 6 & 7, the expansion slot is replaced by several expansion orifices 69 of a diameter w. The entire expansion orifice plate 60 is heated by the thin film heating element 68. This confriguration is similar to the alternative shown in FIG. 3; however the expansion is through small orifices instead to the usual slot configuration. The remaining parts of the thruster are as indicated in the previous configuration. In sum, the compact thruster of the invention is capable of a wide range of thrust levels. The nominal case is defined by w=100 microns, p.sub.o =53 Pa, T.sub.w =600K and the use of a water propellant. Thus in a nominal case (T.sub.w =600 K, T.sub.o =300 K) with a slot length of, .eg., 1 cm, the compact thruster of the invention produces a thrust of approximately 0.025 mN per slot. Therefore, a thruster arrangement of 10 slots produces a total thrust of 0.25 mN at a heated wall temperature of 600 K. For applications which require large thrust levels, the stagnation pressure, the stagnation wall temperature and the total number of slots, can all be increased to achieve the desired thrust level. For further discussion see a paper entitled: Free Molecule Micro-Resistojet: An Interesting Alternative To Nozzle Expansion; AIAA paper 98-3918, Joint Propulsion Conference, Cleveland, Ohio, July 1998, incorporated herein by reference. As mentioned in such paper, the compact thruster of the invention can be scaled to larger thrust levels by increasing the pressure (smaller Knudsen number for a constant slot width of 100 .mu.m) in the stagnation region, as shown in FIG. 8 for T.sub.w =600 K. The thrust is calculated assuming a single expansion slot 1 cm long. Very low values of thrust, which are important for incremental maneuvers and critical pointing, can be achieved by simply reducing the pressure in the stagnation region without sacrificing efficiency. Arbitrarily small impulse bits (I-bit) are possible by this strategy with easily achievable valve cycle times. FIG. 8 shows the effect on the specific impulse for T.sub.w =600 K as the stagnation pressure is decreased or the Knudsen number increases. The calculated I.sub.sp of the compact thruster of the invention increases slightly as the Knudsen number decreases and will most likely asymptote to the value for a sonic, continuum orifice. As shown in FIG. 8, the enhanced performance comes at the expense of higher thrust for a constant slot width as the Knudsen number decreases. The impulse bit is based on the thrust and the valve actuation time implying that the higher thrust level requires a shorter valve response time for the same impulse bit. Since microspacecraft will require impulse bits on the order of .mu.N-sec, reducing a microthruster's thrust level, without sacrificing much in specific impulse, is essential to maintain easily achievable valve actuation times. FIG. 8 indicates the flexiblity of the compact thruster of the invention in meeting several different mission requirements on the same spacecraft. A DSMC parametric study, as noted in the above paper, was done to optimize the heating element pedestal width in terms of the thruster performance. As expected, the calculated specific impulse asymptoted to the free molecule theory value as the heated surface area increased. A pedestal width of 3w or 300 .mu.m, in the above example, appeared to be a reasonable compromise between the performance and the input heating power cost. Thus, the compact thruster of the invention operates at low pressures, easing valve requirements for leak rate and power required to actuate the valves. It operates on propellants that can be stored as a liquid or solid greatly reducing the mass and volume of propellant tanks. Since it operates on the propellant vapor pressure at moderate temperatures, large amounts of power are not required to heat the propellant for operation. The multiple expansion slot configuration adds the additional benefit of reduced catastrophic plugging by particulates over a single small throat in a nozzle configuration. The inventive compact thruster thus operates over a wide range of thrust levels without reductions in efficiency which cold gas thrusters can not accomplish. The inventive compact thruster is a lightweight, rugged and readily fabricated propulsion system suitable for microspacecraft of up to 100 kg or more. The compact thruster is a microthruster useful for spacecraft attitude control, station-keeping and de-orbit maneuvering. The inventive thruster preferably has a heating element in the path of the propellant vapors to heat a gas expanding through long narrow slots, e.g., 1-50 cm by 1-100 microns. As noted above, the microthruster of the invention can operate at low stagnation pressures. This permits the propellant vapors to have free molecular flow within the inventive thruster, which aids in directing the maximum number of gas molecules to a heating zone and then out the discharge opening or slots of the thruster. This makes for efficient propulsion while reducing the operating presure of the thruster which, in turn, alleviates the need for heavy propellant tanks and leak resistant valves. Without free molecular flow, more gas molecules can collide with each other (than collide with thruster interior walls or a vapor heating element), sending such errant molecules out of the desired flow path, which can mean less efficient heating and discharge of propellant vapors. The microthruster of the invention can be used in a wide variety of spacecraft maneuvers such as attitude control, station-keeping, constellation formation and de-orbit. For large synthetic aperture systems, such as space-based radar constellations of micro satellites, active propulsion is required to form the constellation and maintain accurate positioning between its members. Individual microsatellites also need to maintain fixed attitude with respect to each other and with ground stations. These tasks often require different levels of thrust from the on-board propulsion system. As noted above, the inventive thruster is capable of a wide range of thrust levels to accomplish these tasks with little or no loss of efficiency. Similarly, the microthruster of the invention can be used in the formation and maintenance of constellations of commercial communication satellites and of individual satellite attitude control. All of these missions can be performed by a single thruster system without the need for separate high and low thrust propulsion systems. Also the ability of the inventive thruster to emit small impulse bits makes it suitable for fine satellite pointing missions. |
summary | ||
055966136 | claims | 1. In a boiling water reactor comprising a containment vessel, a reactor pressure vessel surrounded by said containment vessel with a drywell therebetween, a nuclear fuel core arranged inside said reactor pressure vessel, a suppression pool arranged inside said containment vessel and partly filled with water to form a wetwell airspace overlying said water, a condenser pool of water arranged above said drywell, a condenser submerged in said condenser pool, a condenser inlet flow path for coupling steam from said drywell into said condenser, a first condenser outlet flow path for coupling steam and noncondensable gas from said condenser into said suppression pool, a main condenser located outside said containment vessel and connected to receive gas from the reactor pressure vessel after the gas has passed through a turbine located outside said containment vessel, an offgas treatment system for removing radioactive species from gas not condensed by said main condenser (hereinafter "offgas"), a conduit which penetrates a wall of said containment vessel, said conduit having an inlet which communicates with said wetwell airspace and having a rupture disk installed in a portion of said conduit located outside said containment vessel, whereby noncondensable gas is released from said wetwell airspace via said conduit in response to rupture of said rupture disk, the improvement wherein said conduit has an outlet which communicates with an inlet of said offgas treatment system. 2. The boiling water reactor as defined in claim 1, wherein said rupture disk ruptures when the pressure inside said wetwell airspace is about 10 psid. 3. The boiling water reactor as defined in claim 1, further comprising an isolation valve installed in said conduit at a location between said inlet and a portion of said conduit which penetrates said containment vessel. 4. The boiling water reactor as defined in claim 1, further comprising an isolation valve installed in said conduit at a location between said rupture disk and a portion of said conduit which penetrates said containment vessel. 5. The boiling water reactor as defined in claim 1, wherein said offgas treatment system has an outlet which communicates with a plant vent that discharges into the reactor environs. 6. The boiling water reactor as defined in claim 1, further comprising a radiation monitor coupled to said conduit at a location between said rupture disk and said conduit outlet. 7. The boiling water reactor as defined in claim 6, further comprising an isolation valve installed in said conduit at a location between said conduit inlet and said rupture disk, and means for closing said isolation valve in response to a signal from said radiation monitor indicating a level of radioactivity in the noncondensable gas exiting said wetwell airspace in excess of a predetermined threshold. 8. The boiling water reactor as defined in claim 1, wherein said offgas treatment comprises means for condensing condensable gas in said offgas to form condensation which separates from noncondensable gas in said offgas, and a first bed of material having the property of adsorbing radioactive species produced by nuclear fission in said nuclear fuel core, said first bed having inlet means in flow communication with said conduit via a first valve means for receiving noncondensable gas from said wetwell airspace and in flow communication with said offgas condensing means via a second valve means for receiving noncondensable gas from said main condenser. 9. The boiling water reactor as defined in claim 8, wherein said bed material comprises activated charcoal. 10. A method for preventing escape of radioactive fission gases into the environs of a boiling water reactor having a containment vessel, a reactor pressure vessel surrounded by said containment vessel with a drywell therebetween, a nuclear fuel core arranged inside said reactor pressure vessel, a main condenser located outside said containment vessel and connected to receive gas from the reactor pressure vessel after the gas has passed through a turbine located outside said containment vessel, and a suppression pool arranged inside said containment vessel, said suppression pool being partly filled with water to form a wetwell airspace overlying said water, said method comprising the steps of: during normal reactor operation, condensing condensable gas included in offgas from the main condenser to form condensation which separates from noncondensable gas in said offgas and then forcing the noncondensable gas through a bed of material having the property of adsorbing radioactive species present in the noncondensable gas from said main condenser; and following a loss-of-coolant accident which causes the reactor to be shutdown, discharging noncondensable gas from said wetwell airspace into said bed of material in response to the pressure inside said wetwell airspace reaching a predetermined level. 11. The method as defined in claim 10, wherein said material is activated charcoal. 12. The method as defined in claim 10, further comprising the step of venting the noncondensable gas to the reactor environs after passage of the noncondensable gas through said bed of material. |
claims | 1. A Ge-68/Ga-68 nuclide generator structure comprising first washing bottle containing sodium citrate solution, second washing bottle containing hydrogen chloride solution, an organic resin absorbing column connected with the first washing bottle, an inorganic resin absorbing column connected with the second washing bottle, a silica-gel cartridge connected with first pair of valves, of which one is connected to the second washing bottle and the other is connected to the organic resin absorbing column, respectively, and sodium citrate stock connected with second pair of valves, of which one is connected to the first washing bottle and the other is connected to the inorganic resin absorbin column, respectively, for producing two chemical forms of radioisotope solution Ga-68 gallium citrate and Ga-68 gallium chloride. 2. According to the structure of Ge-68/Ga-68 nuclide generator described in claim 1, the first washing bottle contains sodium citrate solution at 0.1M; the second washing bottle contains hydrochloric acid solution at 0.1M, wherein concentration of washing solution is determined by the type of washing solution. 3. According to the structure of Ge-68/Ga-68 nuclide generator described in claim 1, first washing device withdrawing the sodium citrate solution and passing through organic resin absorbing column to drain out the radioisotope solution of Ga-68 gallium citrate. 4. According to the structure of Ge-68/Ga-68 nuclide generator described in claim 3, the radioisotope solution of Ga-68 gallium citrate passing silica-gel cartridge through a valve of the first pair of valves and washed in second washing device with hydrochloric acid solution through the other valve of the first pair of valves to obtain the radioisotope solution of Ga-68 gallium chloride, wherein both of the first pair valves are open or closed simultaneously during operation process. 5. According to the structure of Ge-68/Ga-68 nuclide generator described in claim 4, the second washing device withdrawing the hydrochloric acid solution and passing inorganic resin absorbing column to drain out the radioisotope solution of Ga-68 gallium chloride. 6. According to the structure of Ge-68/Ga-68 nuclide generator described in claim 5, the radioisotope solution of Ga-68 gallium chloride passing the sodium citrate stock through a valve of the second pair of valves and mixing with the sodium citrate solution from the first washing bottle through the other valve of the second pair of valves to obtain the radioisotope solution of Ga-68 gallium citrate, wherein both of the second pair valves are open or closed simultaneously during operation process. 7. According to the structure of Ge-68/Ga-68 nuclide generator described in claim 1, the generated radioisotope solution refers to as Ga-68 gallium citrate solution or Ga-68 gallium chloride solution, which can react with chelating agent DotA (1,4,7,10-tetraazacyclododecane-1,4,7,10-tetraacetic acid) to produce chelated radioisotope solution. |
|
abstract | A shutter mechanism for collimating x-rays has two parallel drive shafts and two parallel guide shafts orthogonal to the drive shafts to define a rectangular aperture. Two flexible bands moving around a generally rectangular path about the aperture independently control the positions of each set of shutters. Two cams on the drive shafts control the positions of the flexible bands and shutters. A pair of transverse shutters made of an x-ray opaque material are held in sliding engagement between the drive shafts. One end of each transverse shutter is attached to the first flexible band adjacent to the drive shafts. Similarly, a pair of longitudinal shutters are held in sliding engagement between the drive shafts. One end of each longitudinal shutter is attached to the second flexible band adjacent to the guide shafts. |
|
052672840 | claims | 1. A zirconium alloy absorber material comprising about 100 parts by weight zirconium alloy and about 0.1 to 0.4 parts by weight isotopically purified erbium-167. 2. The zirconium alloy absorber material of claim 1, wherein the erbium-167 is provided in an amount equal to about 0.2 parts by weight. 3. The zirconium alloy absorber material of claim 1, wherein the zirconium alloy is selected from the group consisting of Zircaloy-2 and Zircaloy-4. 4. A method of making a zirconium alloy absorber material comprising the steps of: providing a zirconium alloy; providing isotopically purified erbium-167; and homogeneously combining about 100 weight parts of the zirconium alloy and about 0.1 to 0.4 weight parts of the erbium-167 to form a zirconium alloy absorber material. 5. The method of claim 4, wherein the erbium-167 is provided in an amount equal to about 0.2 weight parts. 6. The method of claim 4, wherein the zirconium alloy is selected from the group consisting of Zircaloy-2 and Zircaloy-4. |
summary | ||
summary | ||
050826182 | summary | FIELD OF THE INVENTION The present invention is concerned with adjustment of the reactivity of a pressurized-water nuclear reactor (PWR). BACKGROUND OF THE INVENTION Slow variations of the reactivity in pressurized-water nuclear reactors consist of an adjustment of the concentration of a soluble poison in the coolant of the primary circuit. These reactivity variations are essentially due to depletion of the fuel during the cycle, to poisoning of the core by the production of fission products such as xenon, or to changes in the temperature of the coolant between cold stopping and hot stopping conditions. The soluble poisons commonly used may be gadolinium or boric acid salts. It is conventional to adjust the concentration of the soluble poison in the coolant by injecting a concentrated solution of poison or by diluting the coolant. This latter operation leads to the formation of a large volume of effluents which must be processed before reuse in the primary circuit or before disposal. To avoid having to process these effluents before their reuse or disposal, it has been attempted to reduce the volume of effluents obtained during adjustment of the concentration of the poison in the coolant. For example, in French Patent FR-A-1,598,608, in order to modify the concentration of soluble poison in the coolant, use is made of ion exchange resins loaded with poison and whose reserve capacity during flowing of the coolant is modified by varying their operating temperature, i.e., that of the coolant. Fixing of the poison on the ion exchange resins takes place at a temperature of the order of 0.degree. to 40.degree. C., and its release occurs at a temperature of the order of 60.degree. to 90.degree. C. Thus, the effluents are stored in the resins and are not discharged. There is therefore no problem as to the reprocessing of these effluents. French Patent FR-A-1,477,058 also discloses a method for processing cooling fluid, in which the concentration of dissolved boric acid is adjusted by bringing the cooling fluid into contact with an ion exchange resin which retains the boric acid, the resin is regenerated with a caustic alkali which removes the boric acid from the resin, and the boric acid and the regenerating agent are separated by electrodialysis. However, although the methods described in these patents do not have the drawback of the formation of a large volume of effluents, they have two other main drawbacks. In fact, these methods permit only slow variation of the soluble-poison concentration and adjustment of only certain concentrations of poison situated in a range which is a function of the resins. SUMMARY OF THE INVENTION The present invention aims to overcome these drawbacks and relates to a method for modifying the concentration of boric acid in the form of a soluble poison contained in the cooling fluid of the primary circuit of a nuclear reactor, in which the cooling fluid is removed from the primary circuit and, as a function of the cooling fluid concentration, either all of the cooling fluid is passed into at least one electrodialysis module, or only a part of the cooling fluid is passed into the electrodialysis modules, the other part being directed towards at least one reverse osmosis apparatus, an additive intended to promote the dissociation of the boric acid is added to the cooling fluid when it leaves the primary circuit, and the cooling fluid is then conveyed back into the primary circuit. According to another feature of the invention, the additive is ammonia solution, and the ammonia solution content of the cooling fluid of the primary circuit is readjusted upstream of the electrodialysis modules and of the reverse osmosis apparatus. The invention also relates to a device for implementing this method, comprising a first closed circuit connected to a primary circuit, a second closed circuit connected to the primary effluent tank, these two circuits both passing through at least one common electrodialysis module and the first circuit comprising at least one reverse osmosis apparatus, means for injecting an additive into the primary fluid and means for eliminating the additive from the cooling fluid when it returns towards the primary circuit. |
summary | ||
049873130 | summary | FIELD OF THE INVENTION Our present invention relates to a method of storing radioactive waste and to an apparatus or plant for this purpose. More particularly, the invention relates to the improved shielding radioactive waste container described in the aforementioned copending application and apparatus associated therewith as well as a waste storage method utilizing that container. BACKGROUND OF THE INVENTION A lost concrete shielding container for the retention, storage and disposal of liquid radioactive wastes for a nuclear plant generating electric power is known. The container comprises a vessel having unitary walls and a floor. A lid sealingly closes an open mouth of the vessel and, like the walls and the floor, can be composed of concrete. The container can be filled with radioactive waste which can be mixed with cement or concrete can be poured into the partly filled container to seal the latter. A shield container of this type generally stores the radioactive waste without reduction of volume by vacuum or heating. It is also known to transport and store spent fuel elements in cast iron or steel containers having a wall thickness of at least about 40 centimeters and sufficient, therefore, to provide a substantial shield effect. Generally these containers have heat exchange ribs and are closed by a casting of the same metal as forms the remainder of the container. Such containers have the advantage that they can be used to treat the waste, e.g. by heating them while exhausting vapors generated in them by the heat. In this manner wet but somewhat solid matter filtered from the cooling circuits of a nuclear-power plant can be dried out for permanent disposal in such containers. OBJECTS OF THE INVENTION It is the principal object of the present invention to provide an improved method of and apparatus for the disposal of liquid radioactive wastes which extend the principles of the above-mentioned application. Another object of this invention is to provide a method of and apparatus for the disposal of radioactive waste which utilizes the container of the aforementioned application in a particularly effective and advantageous manner. SUMMARY OF THE INVENTION As has been alluded to above, an important component of the present invention is the shielded container of the above-mentioned copending application. That container can be used for the treatment of radioactive waste, according to the invention and is an upwardly cast-iron vessel having a closed bottom, solid walls unitary therewith and an upwardly open mouth which can be closed by a cast-iron lid which is formed with separate vertically throughgoing intake and outlet passages. Screwthread formations either provided directly on the lid and vessel, or fasteners engaged between them hermetically secure the lid over the mouth. Advantageously, both the lid and the upper rim of the vessel are stepped and interfitted for this purpose. An important element of the shielded container of the invention is a flow deflector aligned inside the vessel underneath the outlet passage and which is formed as a baffle plate so that aspirated gases do not entrain liquid or solid particles from the vessel. A single cover is held by appropriate screwthread formations on the cover over the passages. The vessel of the invention can be relatively thin cast iron, e.g. of a thickness of 8 centimeters, 12 centimeters, or 18 centimeters, for example, so that it is possible to treat the contents of the vessel. The exterior of the vertical wall of the vessel may be free from rib formations and the like so that it can be closely surrounded by a heating mantel or jacket. For drying radioactive wastes, it is merely necessary to heat the outside of the container while applying suction to the outlet passage. The subatmospheric pressure thus created in the vessel allows the water to vaporize at well below 100.degree. C., thereby drying the material with minimal energy and likelihood that potentially radioactive constituents will be evolved and entrained in a vapor state. The flow deflector is preferably a generally horizontal plate underlying and spaced below the outlet passage although the plate can be slightly frustoconical with an upward convergence. The plate is preferably supported by posts from the underside of the lid. The system may also be provided with a tube connected to and extending downwardly in the vessel and forming a downward extension thereof. This is particularly useful when an ion exchange resin is to be introduced into the container in the form of lumps or particles. For maximum shielding the cast-iron vessel is provided with a lead lining. This makes the vessel capable of shielding as much radioactivity as far heavier all-iron vessels. In addition, the overall container weight is not excessive. The method of the invention can comprise the steps of: (a) providing an upwardly open cast-iron vessel having a closed bottom, a solid wall unitary therewith and an upwardly open mouth; (b) closing the mouth by sealingly engaging a cast-iron lid thereof, the lid being formed with separate vertically throughgoing intake and outlet passages and providing in the vessel at least one flow deflector aligned beneath the outlet passage; (c) surrounding the vessel with a heating jacket; (d) introducing into the vessel through the intake passage a radioactive liquid; (e) evacuating the vessel through the outlet passage and simultaneously heating the vessel with the jacket to evaporate liquid from the vessel and form vapors which are withdrawn past the deflector through the outlet passage; and (f) upon completion of filling of the vessel with a residue resulting from the evaporation of liquid from the contents of the vessel, hermetically engaging a cover over the passages. The related apparatus can comprise: a metering vessel receiving radioactive liquid material from a nuclear power plant; at least one treating and storage container connectable to the vessel for receiving the material therefrom, the container being composed of cast iron and having a lid traversed by an inlet passage connectable to the vessel and an outlet passage, and a generally horizontal baffle disposed directly below the inlet passage; a condenser connected to the outlet passage for condensing condensate received therefrom; a suction pump connected to the condenser for evacuating the container through the condenser; and a heating jacket on the container for heating same simultaneously with evacuation of vapors therefrom. |
description | The invention relates generally to imaging devices and, more particularly, electromagnetic interference (EMI) shielding in portable digital x-ray detectors. Portable imaging devices, such as portable x-ray detectors, often contain multiple electrical components, such as circuit boards, that may generate and/or be adversely affected by electromagnetic interference. Typically, the portable imaging devices have a metal housing (e.g., aluminum, magnesium, etc.) to provide the shielding required. For example, the housing or enclosure may be constructed from multiple pieces of magnesium. The metal housing provides a conductive shield around the sensitive electrical components of the imaging device, thereby containing EMI generated within the metal housing while also blocking external EMI from reaching the electrical components within the metal housing. Unfortunately gaps in the housing due to joints, seams, or turns can result in EMI and caring and/or escaping the metal housing. In addition, the metal housings are generally very heavy and add undesired weight to the portable imaging device. Certain embodiments commensurate in scope with the originally claimed invention are set forth below. It should be understood that these embodiments are presented merely to provide the reader with a brief summary of certain forms the invention might take and that these embodiments are not intended to limit the scope of the invention. Indeed, the invention may encompass a variety of features that may not be set forth below. In accordance with a first embodiment, an imaging system is provided. The imaging system includes an electromagnetic interference (EMI) shield configured to shield one or more imaging components from electromagnetic interference, wherein the EMI shield comprises a first material having a first plurality of conductive elements integrally formed within a first nonconductive material, wherein the first material has a first generally nonconductive exterior. A method for shielding electromagnetic interference in an imaging system is provided. The method includes providing an electromagnetic interference (EMI) shielding enclosure comprising a first material consisting essentially of a first plurality of conductive elements disposed in a first non-conductive material and a second material consisting essentially of a second plurality of conductive elements disposed in a second non-conductive material, wherein the first plurality of conductive elements engages the second plurality of conductive elements to form a conduction path. In accordance with a second embodiment, an imaging system is provided The imaging system includes image detection circuitry; and a portable enclosure disposed about the image detection circuitry and at least substantially made of first and second electromagnetic interference (EMI) shielding materials, wherein the first EMI shielding material comprises a first plurality of conductive elements disposed in a first non-conductive material and the second EMI shielding material comprises a second plurality of conductive elements disposed in a second non-conductive material, wherein the first and second plurality of conductive elements are conductively coupled together via a conduction path through non-conductive surfaces of the first and second EMI shielding materials. In another embodiment, a method for shielding electromagnetic interference in an imaging system is provided. The method includes providing an EMI shielding enclosure comprising a first material having a non-conductive surface, wherein a second EMI shielding material is disposed on the non-conductive surface of the first material In certain embodiments, as discussed below, internal electrical components of an imaging device are disposed within an EMI shielded external enclosure, wherein the electrical components are coupled to a support structure for grounding, support, and so forth. The external enclosure may provide continuous EMI shielding without an all-metal construction, thereby minimizing electrical noise and reducing the possibility of damage to the internal components while also minimizing the weight of the imaging device. In accordance with the embodiments described herein, the external enclosure comprises a material composition having a non-conductive matrix with conductive elements disposed in the non-conductive matrix. The material composition may be a compounded plastic, a composite material, or a combination thereof. As the outer portion or exterior layer of these material compositions is non-conductive, in order to create a continuous conductive path, minimize any gaps in the enclosure, and form a continuous EMI shield around the internal components, various novel techniques described herein provide for joining such materials at the joints, seams, and turns of the external enclosure. As discussed below, such techniques may include overmolded washers, overmolded studs, abraded surfaces, toothed metal fasteners, conductive tape and/or overmolding at the joints, turns and seams. Additionally, in some alternative embodiments, provide the material composition may be coated with a conductive layer to create sufficient EMI shielding characteristics. For example, the enclosure may include a secondary conductive layer such as a conductive paint sprayed on the surface, a metal plated onto the surface, or a metallic foil or woven fabric bonded to the surface, or a combination thereof. The portable imaging device described herein may be used in a variety of imaging systems, such as medical imaging systems and non-medical imaging systems. For example, medical imaging systems include radiology (e.g., digital x-ray), mammography, tomosynthesis, and computed tomography (CT) imaging systems. These various imaging systems, and the different respective topologies, are used to create images or views of a patient for clinical diagnosis based on the attenuation of radiation (e.g., x-rays) passing through the patient. Alternatively, imaging systems may also be utilized in non-medical applications, such as in industrial quality control or in security screening of passenger luggage, packages, and/or cargo. In such applications, acquired data and/or generated images representing volumes or parts of volumes (e.g., slices) may be used to detect objects, shapes or irregularities which are otherwise hidden from visual inspection and which are of interest to the screener. In each of these imaging systems, the sensitive internal electrical components of the portable imaging device are disposed within an enclosure to physically protect the internal electrical components and shield from EMI. Depending on the type of imaging device, the internal components may include a variety of circuits, panels, detectors, sensors, and other relatively delicate components. X-ray imaging systems, both medical and non-medical, utilize an x-ray tube to generate the x-rays used in the imaging process. The generated x-rays pass through the imaged object where they are absorbed or attenuated based on the internal structure and composition of the object, creating a matrix or profile of x-ray beams of different strengths. The attenuated x-rays impinge upon an x-ray detector designed to convert the incident x-ray energy into a form usable in image reconstruction. Thus, the x-ray profile of attenuated x-rays is sensed and recorded by the x-ray detector. X-ray detectors may be based on film-screen, computed radiography (CR) or digital radiography (DR) technologies. In film-screen detectors, the x-ray image is generated through the chemical development of the photosensitive film after x-ray exposure. In CR detectors, a storage phosphor imaging plate captures the radiographic image. The plate is then transferred to a laser image reader to “release” the latent image from the phosphor and create a digitized image. In DR detectors, a scintillating layer absorbs x-rays and subsequently generates light, which is then detected by a two-dimensional flat panel array of silicon photo-detectors. Absorption of light in the silicon photo-detectors creates electrical charge. A control system electronically reads out the electrical charge stored in the x-ray detector and uses it to generate a viewable digitized x-ray image. In view of the various types of imaging systems and potential applications, the following discussion focuses on embodiments of a digital flat panel, solid-state, indirect detection, portable x-ray detector for use with a mobile x-ray imaging system. However, other embodiments are applicable with other types of medical and non-medical imaging devices, such as direct detection digital x-ray detectors. Additionally, other embodiments may be used with stationary or fixed room x-ray imaging systems. Further, the present application makes reference to an imaging “subject” and an imaging “object”. These terms are not mutually exclusive and, as such, use of the terms is interchangeable and is not intended to limit the scope of the appended claims. Turning now to FIG. 1, an exemplary mobile x-ray imaging system 10 employing a portable x-ray detector is illustrated. In the illustrated embodiment, the mobile x-ray imaging system 10 includes a radiation source 12, such as an x-ray source, mounted or otherwise secured to an end of horizontal arm 14. The arm 14 allows the x-ray source 12 to be variably positioned above a subject 16, resting on a patient table or bed 17, in such a manner so as to optimize irradiation of a particular area of interest. The x-ray source 12 may be mounted through a gimbal-type arrangement in column 18. In this regard, the x-ray source 12 may be rotated vertically from a rest or park position on the mobile x-ray unit base 20 to the appropriate position above the subject 16 to take an x-ray exposure of the subject 16. The rotational movement of column 18 may be limited to a value of 360 degrees or less to prevent entanglement of high voltage cables used to provide electrical power to the x-ray source 12. The cables may be connected to a utility line source or a battery in the base 20 to energize the x-ray source 12 and other electronic components of the system 10. The x-ray source 12 projects a collimated cone beam of radiation 22 toward the subject 16 to be imaged. Accordingly, medical patients and luggage, packages, and other subjects or objects may be non-invasively inspected using the exemplary x-ray imaging system 10. A portable x-ray detector 24 placed beneath the subject 16 acquires the attenuated radiation and generates a detector output signal. The detector output signal may then be transmitted to the mobile imaging system 10 over a wired or a wireless link 26. The system 10 may be equipped with or connectable to a display unit for the display of images captured from the imaging subject 16. The exemplary imaging system 10, and other imaging systems based on radiation detection, employs the portable x-ray detector 24, such as a flat panel, digital x-ray detector. A perspective view of such an exemplary flat panel, digital x-ray detector 24 is provided in FIG. 2. However, as mentioned above, other embodiments of the detector 24 may include other imaging modalities in both medical and non-medical applications. The exemplary flat panel, digital x-ray detector 24 includes a detector subsystem for generating electrical signals in response to reception of incident x-rays. In accordance with certain embodiments, a protective housing 30 provides an external enclosure to the detector subsystem, so as to protect the fragile detector components from damage when exposed to an external load or an impact. In addition, as discussed in further detail below, the housing 30 may be made of One or more material compositions having a non-conductive matrix with conductive elements disposed therein, and may provide EMI shielding to protect the internal components from external electronic noise. In general, the protective enclosure 30 may be a continuous structure and may be substantially devoid of any discontinuities. In some embodiments, the protective enclosure may be a 4-5 sided structure in a sleeve like configuration having at least one opening to allow for the insertion of the detector subsystem. It should be noted that the individual sides or edges of the single-piece sleeve may be flat, rounded, curved, contoured, or shaped to improve detector ruggedness and ease of use. In accordance with embodiments of the present invention, the protective enclosure 30 may be formed of a material composition such as a compounded plastic, a composite material, or a combination thereof. In some embodiments, the chosen material has low x-ray attenuation characteristics. Further, the chosen material may provide cost, weight, aesthetic, and ease of cleaning advantages over conventional materials. Additionally, the protective enclosure 30 may be designed to be substantially rigid with minimal deflection when subjected to an external load. One or more corner or edge caps 32 may be provided at respective corners, edges, or a portion of respective edges of the protective enclosure 30. Further, a handle 34 may be mechanically coupled to the single-piece protective enclosure 30 to facilitate the portability of the detector 24. This handle may be a separate component, which is attached to the single-piece protective enclosure 30. As will be described in detail below, the corners or end caps 32, the handle 34, and/or any other area of the enclosure 30 may be formed of a compounded plastic, a composite material, or a combination thereof. Alternatively, in certain embodiments, the handle 34 may be a continuous extension of the protective enclosure 30. In other words, the handle 34 may be formed integrally with the single-piece protective enclosure, thereby eliminating or minimizing the mechanical attachment points between the handle 34 and the protective enclosure 30. A removable edge cap may be provided in such embodiments to allow for the insertion of the detector subsystem into the single-piece protective enclosure 30. As shown, the detector 24 may be constructed without a fixed tether. Alternatively, the detector may be connected to a tether that is used to connect the detector readout electronics to the data acquisition system of the scanner when in use. When not in use, the detector may be easily detached from tether and stored remotely from the imaging system. As such, the detector 24 may be transported to and from multiple scan stations remote from one another. This is particularly advantageous for emergency rooms and other facilities. The portability and detachability of the detector further enhances the mobility of a mobile x-ray imaging system, such as that shown in FIG. 1. Referring now to FIG. 3, a cross-sectional view of an embodiment of the portable flat panel digital x-ray detector 24 is shown. Again, as mentioned above, the internal components (e.g., subsystem 40) may include a variety of imaging components, such as radiography (e.g., digital x-ray), computed tomography, mammography, and so forth. The illustrated detector subsystem 40 includes an imaging panel 42, an electronics support structure 44, and associated electronics 46. Additional internal supports 47 may be provided to physically support the detector subsystem 40 inside the enclosure 30. The imaging panel 42 includes a scintillator layer for converting incident x-rays to visible light. The scintillator layer, which may be fabricated from Cesium Iodide (CsI) or other scintillating materials, is designed to emit light proportional to the energy and the amount of the x-rays absorbed. As such, light emissions will be higher in those regions of the scintillator layer where either more x-rays were received or the energy level of the received x-rays was higher. Since the composition of the subject will attenuate the x-rays projected by the x-ray source to varying degrees, the energy level and the amount of the x-rays impinging upon the scintillator layer will not be uniform across the scintillator layer. This variation in light emission will be used to generate contrast in the reconstructed image. The light emitted by the scintillator layer is detected by a photosensitive layer on the 2D flat panel substrate. The photosensitive layer includes an array of photosensitive elements or detector elements to store an electrical charge in proportion to the quantity of incident light absorbed by the respective detector elements. Generally, each detector element has a light sensitive region and a region including electronics to control the storage and output of electrical charge from that detector element. The light sensitive region may be composed of a photodiode, which absorbs light and subsequently creates and stores electronic charge. After exposure, the electrical charge in each detector element is read out using logic-controlled electronics 46. The various components of detector subsystem 40 may be protected or secured against the enclosure 30 by one or more internal supports 47 disposed about all sides of the internal components within the external protective enclosure 30. In certain embodiments, the detector subsystem 40 may be described as free-floating within the external protective enclosure 30 via the internal supports 47. For example, the internal supports 47 may be formed of a resilient material or spring assembly, such that the detector subsystem 40 is not rigidly fixed in a position relative to the external protective enclosure 30. In other words, the detector subsystem 40 may have at least some freedom to move in all directions within the enclosure 30 via a resilient or spring-like embodiment of the supports 47. The internal supports 47 may be a shock absorbent material, and the freedom of movement may be varied depending on the degree of compressibility of the shock absorbent material. In some embodiments, the internal supports 47 may be formed from a rubber, a foam, an elastomer, a foam rubber, another elastic material, or a combination thereof. The supports 47 are also generally lightweight, and may include single-sided or double-sided adhesive surfaces to facilitate the attachment to the external protective enclosure 30 and/or the detector subsystem 40. In other embodiments, the supports 47 may include a conductive pathway (or may be formed of a conductive material) to facilitate electrical and thermal conduction between the internal components, e.g., 42, 44, and 46, and the enclosure 30. In certain embodiments, the supports 47 may be disposed between the detector subsystem 40 and the inner surface of the single-piece protective enclosure 30 to hold the detector subsystem 40. For example, one or more layers, strips, blocks, sheets, or panels 47 may be disposed on all six sides (e.g., top, bottom, left, right, front, and rear) of the detector subsystem 40 within the protective enclosure 30. In certain embodiments, the supports 47 may include multiple layers of different materials, different geometries (e.g., rectangular, circular, triangular, etc.), different dimensions (e.g., length, width, thickness, etc.), or combinations thereof. These structures are generally in contact with both the detector subsystem 40 and the protective enclosure 30 without any gap. In this manner, supports 47 act both as positional supports and shock absorbers for the detector subsystem 40. Again, the detector subsystem 40 may be described as suspended or free floating within the single-piece protective enclosure 30 via the supports 47, rather than being rigidly attached to the external protective enclosure 30. However, in other embodiments, the supports 47 may be formed of a resilient or non-resilient conductive material, such as metal, a compounded plastic, a composite, or a combination thereof. The imaging panel 42 and associated electronics 46 are supported by a thin and lightweight electronics support structure 44. The readout electronics and other electronics 46 are disposed on the electronics support structure 44 on the side opposite from the imaging panel 42. That is, the electronics support structure 44 mechanically isolates the imaging components of the imaging panel 42 from the readout electronics 46. In certain embodiments in accordance with the present invention, the housing 30 is substantially formed of a material composition having a non-conductive matrix material and conductive elements disposed in the non-conductive matrix material. The material composition may be a compounded plastic, a composite material, or a combination thereof. In one embodiment, the housing 30 may be substantially formed of a compounded plastic having a base resin of polycarbonate and additives of stainless steel fibers, carbon powder, carbon fibers, or a combination thereof. In other embodiments, the housing 30 may be substantially formed of composite materials having an epoxy matrix and graphite, carbon fibers, or a combination thereof. The housing 30 provides a lightweight yet stiff assembly to also protect and provide electronic shielding (e.g., EMI shielding) of imaging panel 42 and associated electronics 46. The construction of housing 30 from non-metallic materials (as opposed to conventional construction entirely with metal or metal alloys) in combination with other optimized materials used in construction of additional components or structures of the x-ray detector 24 reduces weight and cost while providing mechanical stiffness, energy absorption capability, ruggedness, and easier cleaning. The compounded plastics used to construct the enclosure 30 may include a base resin and additives or fillers. The base resin may be a thermoset or thermoplastic, such as polycarbonate. The compounded plastic may be injection molded to form the thin and lightweight enclosure 30. In certain embodiments the surface of the injection molded enclosure 30 is primarily resin material and therefore is highly non-conductive. The additives may be stainless steel fibers, carbon powder, carbon fibers, or any conductive additive or filler that may be added to the base resin to provide conductive capabilities while maintaining the advantageous physical properties of the non-conductive plastic resin. The composite materials used to construct the enclosure 30 may be combinations of a matrix having a reinforcement material. The matrix material, such as an epoxy, surrounds and supports the reinforcement material. The reinforcement materials, such as organic or inorganic fibers or particles, are bound together by the matrix of the composite. For fiber reinforcements, the direction the individual fibers may be oriented to control the rigidity and the strength of the composite. Further, the composite may be formed of several individual layers with the orientation or alignment of the reinforcement layers varying through the thickness of composite. The layers of the composite could use multiple materials in different forms (particles, fibers, fabric, thin foils, etc.). In one embodiment, the composite material for the enclosure 30 may be an epoxy matrix with layers of carbon fibers. However, any non-conductive matrix and conductive fibers may be used. As discussed above, the imaging panel 42 and the associated electronics 46 are susceptible to interference from external electronic devices, and such external devices may also be affected by the electronic noise generated by the imaging panel 42 and associated electronics 46. Further, regulatory agencies such as the Federal Communications Commission (FCC) may restrict the amount of EMI emitted by some devices. In certain embodiments, the housing or enclosure 30 provides the desired EMI shielding for the internal components. However, without tapping into the conductive material, the non-metallic material compositions used to construct the lightweight housing 30 may generally have relatively poor conductivity compared to the conventional metallic materials used to form the housing 30, such as metals and metal alloys. Thus, the disclosed embodiments tap into and connect the conductive materials in the one or more parts of the housing 30. Further, it is desirable to make the EMI shield continuous around the entire x-ray subsystem 40. Any non-conductive gaps, spaces, and/or breaks in the EMI shield may reduce the effectiveness of the housing 30 as an EMI shield. Such non-conductive gaps, spaces, or breaks may be problematic especially in the case of multiple non-metallic material compositions used to form the housing 30. As described in detail below with reference to FIGS. 4-7, entrance paths may be created in the non-metallic material compositions at the joints, turns, and seams of the housing 30 to provide for a continuous conductive path, and therefore a continuous EMI shield, through the conductive cores or fibers of such materials. Otherwise, the presence of conduction paths at the joints, turns, and seams minimizes EMI that could affect operation of the imaging panel 42 and/or associated electronics 46. Thus, the entrance paths make the non—metallic material compositions and effective via my shields by interconnecting and generally using the conductive materials that are otherwise contained within the non-conductive matrix. Turning now to FIG. 4A, a top view of a housing 50 formed from a compounded plastic is shown. The housing 50 has a non-conductive outer surface 52, such as polycarbonate, and a conductive core 54, such as carbon fibers. As discussed above, the non-conductive surface 52 of the compounded plastic may be any non-conductive plastic resin or polymer, and the conductive core 54 material may be additives specifically included to increase the conductive properties of the core of the plastic, such as carbon fibers, carbon powder, stainless steel fibers, or a combination of any of these materials. The conductive core 54 provides EMI shielding across the majority of the housing 50. The remainder of the EMI shielding may be maintained at the seams, joints, and turns through various techniques such as abrading or roughening the surface of the compounded plastic to expose the conductive elements and applying EM-gaskets to the exposed areas, overmolding a conductive interface structure and applying conductive tape or other conductive material, extending the parts over one another, or a combination thereof. For example, FIG. 4B depicts a cross section of the compounded plastic housing 50 of FIG. 4A taken along line 1-1, illustrating an overlap or seam in the housing 50 of FIG. 4A. The seam depicted in 4B maintains good EMI shielding through a conductive path formed by overmolding conductive interface structures, such as washers 56 and 58 in the compounded plastic. The overmolded washers 56 and 58 make contact with the conductive core of the compounded plastic, and then may contact a conductive surface on the other side 59 of the overlap or seam. For example, the other side of the seam or overlap may have conductive interface structures or an abraded surface to provide a conductive mating surface for the washers. Additionally, a conductive tape or other conductive material may be applied across the washers or an abraded surface. For example, FIG. 4C depicts a cross section of the compounded plastic housing 50 of FIG. 4A taken along line 2-2. As can be seen in the figure, the compounded plastic is joined at the seams 60, and the conductive cores 54 are in contact and from a conductive path. Further, conductive tape 62 is applied across the seams to complete the EMI shielding across the seam. Referring now to FIG. 5A, a housing 70 formed primarily from composite materials 72 with the handle, corners, and other areas being formed from a compounded plastic 74. The composite material 72 has a non-conductive matrix 76, such as an epoxy matrix, and a conductive material 78 such as carbon fibers, disposed in the non-conductive matrix 76. As discussed above, the non-conductive matrix 72 of the composite material may be any non-conductive resin or epoxy, and the conductive material 78 may be fibers or other material specifically included to increase the EMI shielding properties. Conductivity, and thus EMI shielding, may be maintained between the composite material 72 and the compounded plastic 74 at the seams, joints, and turns through various techniques, such as overlapping the adjacent parts on the lengths and right turns, abrading the surface of the composite and/or compounded plastic to expose the conductive materials and create a conductive path; inserting a toothed metal fastener into a hole in the composite; and/or applying conductive tape across the joint. For example, FIG. 5B depicts a cross section of the housing 70 taken along line 3-3, showing a joint 80 between the composite material 72 and the compounded plastic 74. The surface of the composite material 72 is abraded to expose the conductive material 78; similarly, the compounded plastic 74 also has an abraded surface to expose the conductive additives 81. In addition to the conductive path formed by mating the exposed conductive surfaces together, a conductive tape or other conductive material may be applied at the joint to further maintain EMI shielding. In an alternative embodiment depicted in the cross section of FIG. 5C, again taken along 3-3, the EMI shielding is maintained across a joint 82 through the insertion of a toothed metal fastener 84 in the composite material 72. The metal fastener 84 is driven into a hole in the composite material 72, and the teeth 85 of the metal fastener 84 contact the conductive fibers 76 and form a conductive entrance path. To complete the EMI shielding across the joint, the metal fastener 84 may make contact with an overmolded conductive interface structure in the compounded plastic, such as a washer 86. As will be appreciated, however, the composite material 72 may be joined to the compounded plastic 74 at a joint, seam, or turn through any one or a combination of the techniques and embodiments described above. Turning now to FIG. 6A, a top view of a housing 90 is shown that is formed nearly equally of a composite material 92 and a compounded plastic 94. The back, sides, corners, and handle of the housing 90 are formed from the compounded plastic 94 and the imaging area is formed from the composite material 92. As discussed above, the composite material 92 has a non-conductive matrix 96, such as an epoxy matrix, and a conductive material 98, such as carbon fibers, disposed in the non-conductive matrix 96. The non-conductive matrix 96 of the composite material 92 may be any non-conductive resin or epoxy, and the conductive material 96 may be fibers or other material specifically included to increase the conductive properties. In the embodiment depicted in FIGS. 6A and 6B, the EMI shield is maintained at the joint between the composite material 92 and the compounded plastic 94 by abrading the surface of the composite material 92 and overmolding the compounded plastic 94. For example, FIG. 6B depicts a cross section of the housing 90 taken along line 4-4, showing the composite material 92 with an abraded and angled edge 100. Abrading the composite edge exposes the conductive fibers 92 and creates an entrance path into the fiber core 98 of the composite material 92. The compounded plastic 94 is overmolded around the composite material 92 such that the conductive additives 95 of the compounded plastic 94 become intimate with the exposed core 98 of the composite material 92, forming a continuous conductive path and thus an EMI shield across the joint and throughout the enclosure 90. For any areas that are formed primarily from the compounded plastic 94, such as the back of the housing 90, EMI shielding may be maintained according to the techniques described in FIGS. 4A-4C. Turning now to FIG. 7, a cross-section of a non-metallic housing 100 with a non-conductive surface 101 of a portable x-ray detector is depicted. The non-non-metallic housing 100 has a conductive second layer 102 disposed on the non-conductive surface 101 in accordance with an embodiment of the present technique. The non-metallic housing 102 may be the composite materials or compounded plastics described herein, or any other material with a non-conductive surface. The secondary conductive layer 102 may be any conductive layer that can be applied to the non-metallic housing 100 and enhance or create EMI shielding capability. In one embodiment, the secondary layer 102 may be a conductive paint sprayed onto the surface 101 and then cured. The conductive paint may contain copper, silver or any metal particles to increase conductivity and create EMI shielding properties on the surface 101. In a second embodiment, the secondary layer 102 may be a metal, such as copper and/or nickel, and may be deposited on the surface 101. The metal may be deposited though electroplating, or may be deposited through a chemical reduction process such as electro-less plating. In a third embodiment, the secondary layer may be a metallic foil or woven fabric having conductive properties. For example, the woven fabric may be coated with copper and/or nickel. The foils or fabrics may be bonded to the surface 101 of the non-metallic housing 102 through use of an adhesive, such as a pressure sensitive adhesive or other type of wet adhesive. Further, the foils or fabrics may be pre-bonded to a carrier layer and then overmolded to non-metallic parts in the non-metallic housing 100. While only certain features of the invention have been illustrated and described herein, many modifications and changes will occur to those skilled in the art. It is, therefore, to be understood that the appended claims are intended to cover all such modifications and changes as fall within the true spirit of the invention. |
|
047568780 | claims | 1. A grid spacer for use in a nuclear fuel assembly for holding the fuel rods in relatively fixed positions comprising a plurality of grid strips intersecting each other to form cells, through which the fuel rods will extend; springs on said grid strips positioned in said cells so as to engage said fuel rods; a peripheral strip secured to the ends of said grid strips; the grid strips having the edges which will be upstream relative to the flow of cooling fluid when mounted in the reactor being convexly contoured. a large number of elongated, parallel fuel rods extending between said end plates; at least one grid spacer between said end plates and holding said fuel rods in spaced relationship to each other; said grid spacer comprising a plurality of thin grid plates intersecting each other to form cells, through each of which a fuel rod extends, opposing springs and dimples in each cell contacting the fuel rod passing therethrough, and a perimeter strip surrounding said fuel rods and connected to the ends of said grid strips; at least the lower edges of said grid strips being convexly contoured. the improvement comprising convexly contouring those edges of said strips which form at least one face of said grid spacer. forming a grid of plurality of intersecting metallic grid strips and a perimeter strip; and passing a focused energy beam along each of the edges of said grid strips with a power sufficient to cause local melting of said edges, whereby surface tension will cause said edges to become substantially semicylindrical. the improvement comprising coining one edge of each strip to a generally convex countour, then assembling said grid spacer, with said convexly contoured edges all on the same face of the grid spacer. the improvement which comprises shearing each of said strips at an angle to the plane of its surface and assembling said strips in pairs so that the edges sheared at an angle are together and are inclined in opposite directions from the plane of contact of the strips fo the pair, thereby forming a convexly contoured common edge, then assembling said grid spacer with said convexly contoured common edges all on the same face of said grid spacer. the improvement comprising directing a stream of a stiff but flowable mixture of abrasive and an organic polymer against at least one edge of said strips, there by rounding said edge of each of said strips, to a substantially streamlined form. 2. A grid spacer as defined in claim 1 wherein said edges are rounded. 3. A grid spacer as defined in claim 4 wherein said edges have a streamlined cross section. 4. A grid spacer as defined in claim 2 wherein said edges are substantially semicylindrical. 5. A grid spacer as defined in claim 2 wherein said edges are formed by passing a focused energy beam along said edges at a power level sufficient to locally melt the metal. 6. A grid spacer as defined in claim 5 wherein said energy beam is an electron beam. 7. A grid spacer as defined in claim 5 wherein said energy beam is a laser beam. 8. A grid spacer as defined in claim 3 wherein said edges are formed by pushing a stiff but flowable mixture including abrasive granules back and forth through said grid. 9. A grid spacer as defined in claim 1 wherein each of said grid strips comprises a pair of contacting metal strips and wherein each of the strips of each pair is beveled so that the common edge is convexly contoured. 10. A fuel assembly for use in a nuclear reactor comprising an upper end plate and a lower end plate; 11. A fuel assembly as defined in claim 10 wherein said lower edges are rounded. 12. A fuel assembly as defined in claim 11 wherein said lower edges are substantially semicylindrical. 13. A fuel assembly as defined in claim 12 wherein said lower edges are formed by passing a focused energy beam along said edges at power level sufficient to locally melt the metal. 14. A fuel assembly as defined in claim 10 wherein said edges are rounded by pushing a stiff but flowable mixture of abrasive and an organic polymer back and forth through a grid spacer, thereby rounding said edges to a substantially streamlined form. 15. In a method of making a grid spacer for a nuclear reactor wherein a plurality of thin, narrow strips are assembled intersecting each other so as to form cells through which fuel rods will extend, 16. A method of making a grid spacer as defined in claim 15 comprising: 17. A method as defined in claim 16 wherein said beam is an electron beam. 18. A method as defined in claim 16 wherein said beam is a laser beam. 19. In method of making a grid spacer for a nuclear reactor as defined in claim 15, 20. A method as defined in claim 19 wherein the edges of said strips, after being coined, are etched to smooth the surface. 21. In a method of making a grid spacer for a nuclear reactor as defined in claim 15, wherin said spacer comprises a plurality of pairs of thin, narrow strips, the strips of each pair being mounted in contact with each other, and the pairs being assembled intersecting each other so as to form the cells through which fuel rods will extend, 22. In a method of making a grid spacer for a nuclear reactor as defined in claim 15, 23. The method as defined in claim 22, wherein said mixture is pushed back and forth through said grid spacer, thereby rounding both edges of said strips. |
claims | 1. An electron microscope comprising:a support for supporting a specimen;a deflector for deflecting an electron beam to the specimen to form images;an image pickup device for obtaining the images; anda processor coupled to the image pickup device, said processor being programmed for observing the specimen in a field of view of the electron microscope, said programming causing the microscope to perform the acts of:presetting an arbitrary search target pattern similar to a search target form,determining whether the field of view has a brightness inappropriate for observation or search,adjusting electro-optical conditions of the electron microscope when it is determined that the field of view is appropriate for observation or search,searching the field of view for a form having the same pattern as the arbitrary search target pattern, andmeasuring a number of the forms obtained by the search;wherein said determining act is performed based on a degree of coincidence between one of said obtained images and a reference image which includes said arbitrary search target pattern. 2. An electron microscope comprising:a support for supporting a specimen;a deflector for deflecting an electron beam to the specimen to form images;an image pickup device for obtaining the images; anda processor coupled to the image pickup device, said processor being programmed for observing the specimen in a field of view of the electron microscope, said programming causing the microscope to perform the acts of:presetting an arbitrary search target pattern similar to a search target form,determining whether the field of view has a brightness inappropriate for observation or search,adjusting electro-optical conditions of the electron microscope when it is determined that the field of view is appropriate for observation or search,searching the field of view for a form having the same pattern as the arbitrary search target pattern, andmeasuring a number of the forms obtained by the search;wherein the processor is further programmed to preset a range of angles between sides of a pattern, ellipticity, and ratio in length between major or minor axes of the arbitrary search target pattern. 3. A sample observing method comprising the steps of:obtaining an image formed by irradiating an electron beam to a specimen;presetting an arbitrary search target pattern similar to a search target form;determining whether a field of view has a brightness inappropriate for observation or search;adjusting electro-optical conditions of an electron microscope when it is determined that the field of view is appropriate for observation or search;searching the field of view for a form having the same pattern as the search target pattern; andmeasuring a number of the forms obtained by the search;wherein said determining step is performed based on a degree of coincidence between the obtained image and a reference image which includes the arbitrary search target pattern. |
|
054770530 | description | SUMMARY OF THE INVENTION The present invention relates to a radiographic intensifying screen which comprises a support, a fluorescent layer formed on the support, and a protective layer formed by coating a solution containing a protective layer-forming resin on the fluorescent layer, characterized in that a water repellent layer is provided between the fluorescent layer and the protective layer, or that the fluorescent layer contains a water repellent. The present invention further relates to a radiographic intensifying screen which comprises a support, a fluorescent layer formed on the support, and a protective layer formed by coating a solution containing a protective layer-forming resin on the fluorescent layer, characterized in that a resin layer is provided by coating by a resin solution between the fluorescent layer and the protective layer. The present invention still further relates to a process for preparing a radiographic intensifying screen, which comprises coating a solution containing a water repellent on a fluorescent layer previously formed, drying, further coating a solution containing a protective layer-forming resin thereon, and drying. The present invention still further relates to a process for preparing a radiographic intensifying screen, which comprises coating a phosphor coating solution containing a water repellent on a support, drying, further coating a solution containing a protective layer-forming resin thereon, and drying. The present invention still further relates to a process for preparing a radiographic intensifying screen, which comprises coating a resin solution containing a resin and an organic solvent on a fluorescent layer previously formed, drying to form a resin layer, further coating a solution containing a protective layer-forming resin thereon, and drying to form a protective layer. DETAILED DESCRIPTION OF THE INVENTION With reference to FIGS. 1-3 of the drawing, the radiographic intensifying screen of the present invention is prepared (i) with reference to FIG. 1, by coating a solution containing a phosphor and a binder resin (hereinafter referred to simply as a "phosphor coating solution") on a support, drying to form a fluorescent layer 1, further coating a solution containing a water repellent (hereinafter referred to simply as a "water repellent coating solution") on the fluorescent layer, dryingto form a water repellent layer 2, and further coating a protective layer coating solution thereon to form a protective layer 3, (ii)with reference to FIG. 2, by coating a phosphor coating solution additionally containing a water repellent on a support, drying to form a water repellent containing fluorescent layer 4, and further coating a protective layer coating solution thereon to form a protective layer 3, or (iii) with reference to FIG. 3, by coating a phosphor coating solution on a support, drying to form a fluorescent layer 1, coating a resin solution on the fluorescent phosphor layer, drying to form a resin layer 5, and coating a protective layer coating solution on the resin layer, and drying to form a protective layer 3. Except for the above-mentioned characterizing steps, the intensifying screen of the present invention may be prepared in accordance with a conventional process. Also, the intensifying screen of the present invention may optionally be prepared by previously forming a fluorescent layer, a water repellent layer or a resin layer and a protective layer on a smooth substrate in this order, peeling the laminated layers from the substrate, and bonding a support to the fluorescent layer side of the laminated layers. In a general process for preparing an intensifying screen, a fluorescent layer is formed by mixing an appropriate amount of a phosphor with a binder resin such as nitrocellulose, adding an organic solvent thereto to prepare a phosphor coating solution having an appropriate viscosity, coating the phosphor coating solution on a support by a knife coater, a roll coater or the like, and then drying. A binder resin amount remaining in a fluorescent layer is preferably from 1 to 10 parts by weight, more preferably from 1 to 6 parts by weight, per 100 parts by weight of a phosphor, in order to provide a satisfactory photographic sharpness. Depending on use, a light-reflecting layer, a light-absorbing layer or a metal foil layer may be provided between a fluorescent layer and a support. In such a case, a light-reflecting layer, a light-absorbing layer or a metal foil layer is previously provided on a support, and the above-mentioned phosphor coating solution is coated thereon and dried to form a fluorescent layer. Examples of a support used in the intensifying screen of the present invention include film-like molded products of polyesters such as cellulose acetate, cellulose propionate, cellulose acetate-butyrate, polyethylene terephthalate or the like, polystyrene, polymethylmethacrylate, polyamide, polyimide, vinyl chloride-vinyl acetate copolymer, polycarbonate or the like; bulk board paper, resin coat paper, ordinary paper, aluminum alloy foil and the like. When the above-mentioned plastic films or papers are used as a support for the intensifying screen of the present invention, a light-absorbing material such as carbon black or a light-reflecting material such as titanium dioxide, calcium carbonate or the like may previously be kneaded into them. In addition to nitrocellulose, many materials conventionally known as a binder for an intensifying screen may be used as a binder resin, examples of which include cellulose acetate, ethyl cellulose, polyvinyl butyral, linear polyester, polyvinylacetate, vinylidene chloride-vinyl chloride copolymer, vinyl chloride-vinyl acetate copolymer, polyalkyl-(meth)acrylate, polycarbonate, polyurethane, cellulose acetate-butyrate, polyvinyl alcohol, gelatin, polysaccharide such as dextrin, gum arabic and the like. Examples of an organic solvent used for preparing for a phosphor coating solution include ethanol, methylethylether, butyl acetate, ethyl ether, xylene and the like. If necessary, the phosphor coating solution may further contain a dispersant such as phthalic acid, stearic acid or the like, and a plasticizer such as triphenyl phosphate, diethyl phthalate or the like. There is no particular restriction as to the X-ray phosphor to be used for the intensifying screen of the present invention, and any conventional phosphor may be used which is capable of emitting a light of a high luminance when excited by X-ray radiation, examples of which include Gd.sub.2 O.sub.2 S:Tb, Y.sub.2 O.sub.2 S:Tb, (Gd,Y).sub.2 O.sub.2 S:Tb, (Gd,Y).sub.2 O.sub.2 S:Tb:Tm, La.sub.2 O.sub.2 S:Tb, CaWO.sub.4, CdWO.sub.4, BaSO.sub.4 :Pb, ZnS:Ag, BaFCl:Eu, LaOBr:Tm, LaOBr:Tb, GdTaO.sub.4 :Tb, Gd.sub.2 O.sub.3.Ta.sub.2 O.sub.5.B.sub.2 O.sub.3 :Tb, YTaO.sub.4, YTaO.sub.4 :Tm, YTaO.sub.4 :Nb, HfO.sub.2 :Ti, HfP.sub.2 O.sub.7 :Cu, and the like. In the intensifying screen of the present invention, a phosphor coated amount in the fluorescent layer (dry weight of phosphor per unit area after coating and drying) is preferably from 30 to 200 mg/cm.sup.2 for maintaining practically satisfactory photographic sensitivity and sharpness. If the phosphor coated amount is lower than 30 mg/cm.sup.2, the sensitivity is lowered. On the other hand, if the phosphor coated amount is higher than 200 mg/cm.sup.2, the sensitivity is saturated and is not improved any further, and the sharpness tends to be lowered. As mentioned above, in the present invention, a fluorescent layer is modified (a) by coating water repellent coating solution on a fluorescent layer and drying to form a water repellent layer on the fluorescent layer, (b) by coating a water repellent coating solution on a fluorescent layer formed in the same manner as above, penetrating at least a part of the water repellent coating solution into the fluorescent layer and drying to modify the fluorescent layer itself or its surface, or (c) by adding a water repellent to a phosphor coating solution, coating the water repellent-containing phosphor coating solution on a support and drying to modify the fluorescent layer itself or its surface. When a protective layer is uniformly formed on the surface of the above prepared water repellent layer or modified fluorescent layer, it is preferable that the surface has a satisfactory water-repelling effect. In order to achieve the satisfactory water-repelling effect by a relatively small amount of a water repellent, it is preferable to form a water repellent layer by coating a water repellent coating solution on a fluorescent layer. When a fluorescent layer itself or its surface is modified by adding a water repellent to a phosphor coating solution, coating the water repellent-containing phosphor coating solution on a support and drying, it is preferable to prepare the water repellent-containing phosphor coating solution at a viscosity lower than usual, thereby settling the phosphor to the support side when coating the water repellent-containing phosphor coating solution on the support. In this manner, the phosphor is distributed relatively at a high concentration on the support side and is distributed relatively at a low concentration on the surface side (protective layer side). Thus, when drying, the water repellent is distributed relatively at a low concentration on the support side and relatively at a high concentration on the surface side (protective layer side) so that the proportion of the water repellent in the fluorescent layer near the interface to the protective layer is higher than the proportion of the water repellent in the fluorescent layer near the interface to the support. Also, when the water repellent coating solution is penetrated into the fluorescent layer by coating the water repellent coating solution on the fluorescent layer, it is preferable to distribute the water repellent at such a concentration gradient as that the proportion of the water repellent in the fluorescent layer near the interface to the protective layer is higher than the proportion of the water repellent in the fluorescent layer near the interface to the support. When a phosphor coating solution contains a water repellent, the amount of the water repellent contained is preferably from 0.1 to 10% by weight to the weight of the phosphor. Any water repellent which is transparent and can greatly enlarge a contact angle on the surface of the fluorescent layer, can be used as a water repellent in the present invention, examples of which include silicone type compounds such as silane oligomer, silane monomer, acrylic silicone and the like. Preferable water repellent is an organic silicon compound, and a more preferable water repellent is an alkylalkoxysilane compound. Thereafter, a protective layer is provided on the above prepared water repellent layer or fluorescent layer modified with a water repellent. A protective layer coating solution having an appropriate viscosity is prepared by adding an organic solvent to a protective layer-forming resin such as cellulose acetate, and the coating solution thus prepared is coated by a knife coater, a roll coater or the like on the water repellent layer or the fluorescent layer modified with a water repellent and dried to form a protective layer. The thickness of the protective layer thus provided should preferably be thinner in view of sharpness and should preferably be thicker in view of physical durability. Thus, a preferable thickness of the protective layer ranges from 2 to 10 .mu.m. Any resin which can provide a protective layer can be used as a protective layer-forming resin in the present invention, examples of which include cellulose derivatives such as cellulose acetate, nitrocellulose and cellulose acetate-butyrate, vinyl type resins such as polyvinyl chloride, polyvinyl acetate and vinyl chloride-vinyl acetate copolymer, fluorine type resins such as fluoroester, polycarbonate, polyvinyl butyral, polymethylmethacrylate, polyvinylformal, polyurethane and the like. When coating a protective layer, if the amount of the previously coated water repellent is excessive, the water repellent sometimes oozed into the protective layer or its surface, but the oozed water repellent does not have a bad influence on the intensifying screen properties and rather improves the antifouling properties of the surface of the intensifying screen. As mentioned above, the intensifying screen of the present invention may be provided with a resin layer formed by coating a resin solution containing a resin and an organic solvent on the fluorescent layer in place of a water repellent coating solution. If the resin layer thus formed is thinner than about 0.3 .mu.m after drying, the resin layer can not satisfactorily achieve the expected effects of preventing the penetration of a protective layer coating solution into a fluorescent layer when coating and of preventing the generation of bubbles. On the other hand, if the resin layer is thicker than about 2.0 m after drying, sharpness of the intensifying screen thus obtained becomes lowered. Thus, the resin solution to form a resin layer is coated in such a manner as to make the resin layer thinner than the protective layer and to make the thickness of the resin layer from 0.3 .mu.m to 2.0 .mu.m. A resin used for forming the resin layer may be similar to those used as the above mentioned binder resins, but a resin, an organic solvent, a viscosity and the like used for forming the resin layer are optionally selected by considering an organic solvent, a viscosity and the like used for forming the protective layer on the resin layer. Thus, a proportion of a low boiling point solvent in the resin layer coating solution may be made larger, or the coated amount of the resin layer coating solution may be made smaller to reduce time taken from coating to drying, or the viscosity of the resin layer coating solution may be made higher than that of the protective layer coating solution. In this manner, the void space of the porous fluorescent layer surface is filled with the coated resin, thereby preventing the penetration of the protective layer coating solution into the fluorescent layer. Furthermore, a two-pack type resin which is hardly soluble in an organic solvent used in the protective layer coating solution, may be used for more effectively preventing the penetration of the protective layer coating solution into the fluorescent layer or preventing the generation of bubbles. In the intensifying screen of the present invention having a resin layer between a fluorescent layer and a protective layer, it is preferable to add the above-mentioned water repellent to the resin layer coating solution for more effectively preventing the penetration of the protective layer coating solution into the fluorescent layer and preventing the generation of bubbles. In such a case, the amount of the water repellent contained in the rein layer is preferably from 5 to 200% by weight to the resin solid content. Finally, in the above-mentioned manner, a protective layer is formed on the thin layer of the resin layer formed on the surface of the fluorescent layer to provide the intensifying screen of the present invention. DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS Now, The present invention will be described in further detail with reference to Examples. However, it should be understood that the present invention is by no means restricted by such specific Examples. EXAMPLE 1 A phosphor coating solution was prepared by mixing 100 parts by weight of Gd.sub.2 O.sub.2 S:Tb phosphor with 5 parts by weight of nitrocellulose (binder resin) and an organic solvent. The phosphor coating solution thus prepared was uniformly coated by a knife coater on a polyethylene terephthalate support having a titanium oxide light-reflecting layer on its surface so as to provide a phosphor coated amount of 60 mg/cm.sup.2 (after drying), and was dried. Thereafter, a water repellent coating solution comprising an alkylalkoxysilane solution ("Nittoseal" manufactured by Dai Nippon Toryo K.K.) and an organic solvent was uniformly coated thereon by a knife coater so as to provide a water repellent coated amount 0.03 mg/cm.sup.2 (after drying), and was dried. Then, on the surface of the water repellent layer, was uniformly coated a protective layer coating solution obtained by dissolving cellulose acetate in a solvent, and was dried to provide a transparent protective layer having a thickness of about 6 .mu.m, thus obtaining a radiographic intensifying screen (1). EXAMPLE 2 A phosphor coating solution containing a water repellent was prepared by mixing 100 parts by weight of Gd.sub.2 O.sub.2 S:Tb phosphor with 5 parts by weight of nitrocellulose (binder resin), 10 parts by weight of an alkylalkoxysilane solution (10% by weight solution) ("Nittoseal" manufactured by Dai Nippon Toryo K.K.) and an organic solvent. The water repellent-containing phosphor coating solution thus prepared was uniformly coated by a knife coater on a polyethylene terephthalate support having a titanium oxide light-reflecting layer on its surface so as to provide a phosphor coated amount of 60 mg/cm.sup.2 (after drying), and was dried to form a fluorescent layer modified with the water repellent. Thereafter, on the surface of the fluorescent layer modified with the water repellent, was uniformly coated a protective layer coating solution obtained by dissolving cellulose acetate in a solvent and dried to form a transparent protective layer having a thickness of about 6 .mu.m, thus obtaining a radiographic intensifying screen (2). COMPARATIVE EXAMPLE 1 A comparative radiographic intensifying screen (R1) was prepared in the same manner as in Example 1, except that the water repellent coating solution was not coated. COMPARATIVE EXAMPLE 2 A comparative radiographic intensifying screen (R2) was prepared in the same manner as in Example 2, except that the water repellent-containing phosphor coating solution was replaced by a phosphor coating solution prepared by mixing 100 parts by weight of Gd.sub.2 O.sub.2 S:Tb phosphor with 15 parts by weight of nitrocellulose (binder resin) and an organic solvent, which did not contain a water repellent. Each of the above prepared radiographic intensifying screens was tested with regard to relative sharpness and antifouling properties, and the test results are shown in Table 1, together with the data concerning thickness of a protective layer, presence or absence of a water repellent, and binder/phosphor weight ratio in a fluorescent layer. The thickness of a protective layer was determined by checking the section of a radiographic intensifying screen by a scanning electron microscope. The antifouling property was evaluated by fouling the surface of a protective layer with a wax pencil (dark blue color "Darmatograph" manufactured by Mitsubishi Enpitsu K.K.) and checking easiness when wiping the foul off with gauze impregnated with ethyl alcohol. The relative sharpness was measured by comparing MTF values at 2 lines/mm of spatial frequency. __________________________________________________________________________ Thickness of Treatment by protective water Binder weight/ layer after Antifouling repellent Phosphor weight drying (.mu.m) property Sharpness (%) __________________________________________________________________________ Example 1 Treated 5/100 6.0 Good 120 Intensifying screen (1) Example 2 Treated 5/100 6.0 Good 118 Intensifying screen (2) Comparative Example 1 Not treated 5/100 0.5 No good 128 Intensifying screen (R1) Comparative Example 2 Not treated 15/100 6.0 Good 100 Intensifying screen (R2) __________________________________________________________________________ As evident from Table 1, in the radiographic intensifying screen (R1) of Comparative Example 1, the antifouling property was very poor since a protective layer was not substantially formed after drying as most of the protective layer coating solution penetrated into the fluorescent phosphor layer. On the other hand, the radiographic intensifying screens (1) and (2) of Examples 1 and 2 prepared by using a water repellent, the antifouling properties were excellent since protective layers of satisfactory thickness were formed as the protective layer coating solutions did not substantially penetrate into the fluorescent phosphor layers. Thus, in the radiographic intensifying screens (1) and (2) of Examples 1 and 2, the protective layers satisfactorily achieved their functions. On the other hand, in the radiographic intensifying screen (R2) of Comparative Example 2, a sufficient protective layer could be formed since the protective layer coating solution did not penetrate into the fluorescent layer as the void space in the fluorescent layer was filled with the binder resin by increasing the binder resin amount in the phosphor coating solution. However, the relative sharpness of the comparative radiographic intensifying screen (R2) became very poor as compared with those of the radiographic intensifying screens (1) and (2) of Examples 1 and 2 since the binder resin amount in the fluorescent layer was increased. EXAMPLE 3 A phosphor coating solution was prepared by mixing 100 parts by weight of Gd.sub.2 O.sub.2 S:Tb phosphor with 5 parts by weight of nitrocellulose (binder resin) and an organic solvent. The phosphor coating-solution thus prepared was uniformly coated by a knife coater on a polyethylene terephthalate support having a titanium oxide light-reflecting layer on its surface, and dried to obtain a fluorescent layer having a phosphor coated amount of 60 mg/cm.sup.2. On the fluorescent layer thus obtained, was uniformly coated a resin solution comprising nitrocellulose, acetone and butyl acetate by a knife coater, and dried to form a resin layer having a thickness of 1.1 .mu.m. Thereafter, on the surface of the resin layer thus obtained, was uniformly coated a protective layer coating solution obtained by dissolving cellulose acetate in a mixture solution of acetone and butyl acetate, and dried to provide transparent protective layer having a thickness of about 6 .mu.m, thus obtaining a radiographic intensifying screen (3). EXAMPLE 4 A radiographic intensifying screen (4) was prepared in the same manner as in Example 3, except that a fluorescent layer was formed by using a phosphor coating solution obtained by mixing 100 parts by weight of Sd.sub.2 O.sub.2 S:Tb phosphor with 3 parts by weight of nitrocellulose (binder resin) and an organic solvent and a resin layer was formed by using a resin solution obtained by incorporating 50 parts by weight of an alkylalkoxysilane ("Nittoseal" manufactured by Dai Nippon Toryo K.K.) as a water repellent per 100 parts by weight of nitrocellulose into a resin solution containing nitrocellulose. COMPARATIVE EXAMPLE 3 A comparative radiographic intensifying screen (R3) was prepared in the same manner as in Example 3, except that the resin layer was not formed on the fluorescent layer. COMPARATIVE EXAMPLE 4 A comparative radiographic intensifying screen (R4) was prepared in the same manner as in Comparative Example 3, except that a phosphor coating solution obtained by mixing 100 parts by weight of Gd.sub.2 O.sub.2 S:Tb phosphor with 15 parts by weight of nitrocellulose (binder resin) and an organic solvent was used. Each of the above prepared radiographic intensifying screens was tested with regard to sharpness and antifouling properties, and the test results are shown in Table 2, together with the data concerning thickness of a protective layer, thickness of a resin layer and binder/phosphor weight ratio in a fluorescent layer. __________________________________________________________________________ Presence or absence of resin layer Thickness of (Thickness protective of resin Binder weight/ layer after Antifouling layer) Phosphor weight drying (.mu.m) property Sharpness (%) __________________________________________________________________________ Example 3 Present 5/100 6.0 Good 120 Intensifying screen (3) (1.1 .mu.m) Example 4 Present 3/100 6.0 Good 128 Intensifying screen (4) (1.1 .mu.m) (Water repellent was added) Comparative Example 3 Absent 5/100 0.5 No good 128 Intensifying screen (R3) Comparative Example 4 Absent 15/100 6.0 Good 100 Intensifying screen (R4) __________________________________________________________________________ AS evident from Table 2, in the radiographic intensifying screen (R3) of Comparative Example 3, the antifouling property was very poor since a protective layer was not substantially formed after drying as most of the protective layer coating solution penetrated into the fluorescent layer. On the other hand, in the radiographic intensifying screen (3) of Example 3 having a resin layer between a fluorescent layer and a protective layer, the antifouling property was excellent since a protective layer of sufficient thickness was formed as the protective layer coating solution did not substantially penetrate into the fluorescent layer. Furthermore, in the radiographic intensifying screen (4) of Example 4 having a resin layer containing an alkylalkoxysilane as a water repellent, the sharpness was greatly improved and the antifouling property was also good since a protective layer of sufficient thickness was formed as the protective layer coating solution did not substantially penetrate into the fluorescent layer although the binder/phosphor weight ratio was lowered to 3/100. On the other hand, in the radiographic intensifying screen (R4) of Comparative Example 4, the binder resin amount in the fluorescent layer was large enough to fill the void space in the fluorescent layer, and accordingly the protective layer coating solution did not penetrate into the fluorescent layer, thus forming a sufficient protective layer. However, since the binder resin amount in the fluorescent layer was excessive, the sharpness of the comparative radiographic intensifying screen (R4) was very poor as compared with that of the radiographic intensifying screen (3) of Example 3. As mentioned above, as compared with a conventional radiographic intensifying screen having a protective layer formed with a protective layer coating solution, the radiographic intensifying screen of the present invention provides remarkably improved sharpness and durability since a satisfactory uniform protective layer can be formed although the content of the binder resin in the fluorescent layer is lowered. |
claims | 1. A focusing and shielding device for an encephalic photon knife, comprising a ray source body, a switch and an armet; said ray source body being a hemispherical shell with a certain thickness having a ray source cavity for placing a ray source and a pre-collimation hole for collimating a ray; said ray source cavity being defined on an outer surface of the hemispherical shell, and said pre-collimation hole being defined on an inner surface of the hemispherical shell and connected with said ray source cavity;said switch is defined inside the body of said ray source, and an outer surface of said switch being hemispherical shape, a middle collimation hole being set on the switch;said armet being deposited inside said switch, an inner surface of said armet is in a columnar shape, an end collimation hole being defined on said armet;a therapy path being defined when said middle collimation hole connecting said pre-collimation hole with said end collimation hole together. 2. The focusing and shielding device for an encephalic photon knife of claim 1, wherein an inner surface of said switch is in hemispherical shape, and is the same shape as an outer surface of the armet. 3. The focusing and shielding device for an encephalic photon knife of claim 2, wherein said ray source body and said switch can be turned correspondingly to each other to shut down said therapy path. 4. The focusing and shielding device for an encephalic photon knife of claim 1, wherein an inner surface of said switch is in columnar shape, and is the same shape as an outer surface of said armet. 5. The focusing and shielding device for an encephalic photon knife of claim 4, wherein said ray source body and said switch can be turned correspondingly to each other to shut down said therapy path. 6. The focusing and shielding device for an encephalic photon knife of claim 1, wherein said ray source body and said switch can be turned correspondingly to each other to shut down said therapy path. |
|
041535065 | abstract | A method of starting operation of a nuclear reactor which contains fresh fuel rods comprising increasing a fuel power P(Kw/ft) produced by the fresh fuel rods to an amount determined by the following inequality; EQU P< 366.times.(G/D)- 1.38,. where D is a diameter of a fuel pellet of the fresh fuel rod and G is an initial gap between a fuel cladding of the fresh fuel rod and the fuel pellet, maintaining the fuel power at the amount for a predetermined period and thereafter increasing the fuel power to a desired maximum power level.. BACKGROUND OF THE INVENTION. The present invention relates to a method of starting operation of a nuclear reactor having fresh fuel rods of the type containing nuclear fuel covered with fuel rod claddings. (The term "fresh fuel rod" is utilized herein to designate a fuel rod which has not been irradiated or used.). In a nuclear reactor of the water cooling type or water moderating type reactor including a Boiling Water Reactor or a Pressurized Water Reactor, fuel elements consisting of a plurality of fuel rods are grouped together in a reactor core. The fuel rod comprises an elongated tubular cladding and a column of sintered fuel pellets piled up in the cladding. The fuel pellet is usually made of a suitable fuel, such as uranium oxide. The tubular cladding is formed of a suitable metal, such as zirconium alloy known as Zircaloy. The cladding is sealed by end plugs to isolate the nuclear fuel from the coolant-moderator and to prevent the release of fission products. In the upper portion of the cladding, a gas plenum is provided to collect fission product gases. The inner surface of the cladding is coated with a suitable corrosion resistance oxide film. The diameter of the pellet is less than the inside diameter of the cladding to provide an initial circumferential gap.. After installation of the pellets in the cladding, the fuel rod is dehydrated to remove residual moisture included in the pellets under a vacuum condition below 10.sup.-2 mmHg at a temperature about 400.degree. C. for about three hours. Further, in the gas plenum in fuel rods, there is usually provided a material suitable for controlling moisture by chemically combining with water and water vapor, a so-called getter, as shown for example in U.S. Pat. No. 3,742,367.. It is known that the pellets are easily expanded in such a way so as to assume an hour-glass configuration after irradiation of the fuel rods due to the temperature difference between the axis and the periphery of the pellets. Usually, the temperature of the axis of the pellets is higher than that of the periphery. When the amount of the expansion of the pellets increases, the periphery of the pellets contacts the surface of the claddings, (This phenomenon is hereafter called "pellet-cladding interaction" or "interaction".), which causes the deformation of the claddings in such a way as to assume a joint of bamboo.. The cladding which is usually made of Zircaloy reacts with H.sub.2 O to generate hydrogen in the fuel rods. The Zircaloy absorbs hydrogen to cause localized hydriding of the cladding, so that, as described before, the inner surface of the cladding is usually coated with a suitable corrosion resistance oxide film to prevent the absorption of the hydrogen. However, the oxide coating easily comes off due to the interaction between the pellets and the claddings to reveal the inner surface of the claddings. Further, the pellets are also easily broken or cracked along the radial direction after the irradiation, and there appears a large partial stress at a portion on the cladding contacted by the cracks of the pellets, which causes cracks even on the cladding.. The efficiency of the hydrogen absorption in Zircaloy-2 at a temperature of 350.degree. C. is seventy times as large as that in Zircaloy-2 with the corrosion resistance oxide coating. As described before, in the portion where the corrosion resistance oxide coating is cracked, the hydrogen is absorbed in concentration into the claddings and the hydride localization may cause great damage to the fuel rods.. In a conventional method for eliminating the above defects due to hydride localization, a moisture getter is interposed within the claddings. However, it is possible that the hydride localization is caused before the getter comes into full operation to absorb the moisture. Further, the interaction may make it impossible to flow the hydrogen exhausted from the pellets into the getter arranged in the upper portion of the fuel rods, because the hydrogen exhausted from the pellets is captured in a space surrounded by the contacting portions of the pellets and the claddings within the gap, which may cause hydride localization at lower portions of the fuel rods.. In a conventional method of starting operation, it has been believed that no failures of the claddings appear during the starting operations below a power level of 8 Kw/ft, because the pellet-cladding interaction occurs above a power level of 8 Kw/ft or in a power range of 6 to 10 Kw/ft and above, which is shown in U.S. patent application Ser. No. 460,298 by John R. Thompson et al. Therefore, there have been no provisions for the starting operation of the reactor below such fuel power level, that is, in the conventional starting operation, the fuel power is abruptly increased to reach 8 Kw/ft and thereafter gradually increased to a desired maximum power level of the reactor at a rate below a critical rate which would cause cladding damages. However, it has recently been found that there is a danger of occurrence of cladding damage below the power level of 8 Kw/ft, and this phenomenon is especially notable in a case when fresh fuel rods are installed in the reactor.. SUMMARY OF THE INVENTION. An object of the present invention is to provide a method of starting operation of a nuclear reactor, in which fresh fuel rods are installed, without causing fuel rods failures.. The present invention is based upon the discovery that when fresh fuel rods are installed in a reactor, failures of the fresh fuel rods can occur at a power level below 8 Kw/ft. According to the present invention, to eliminate the failures of the fresh fuel rods at the starting operation, the fuel power produced by the fresh fuel rods is kept below an amount of fuel power level, which causes an interaction between the pellets and claddings in the fresh fuel rods due to an expansion of the pellets, and thereafter the fuel power is increased to a desired maximum power level of the nuclear reactor. |
056384149 | abstract | The present invention provides a method for identifying failed fuel elements and the scale of damage accurately, quickly and at low cost without disassembling a fuel assembly and without discharging radioactive waste. Radiation detector(s) for measurement of gamma radiation emitted from surface of the irradiated fuel assembly and the fuel assembly are rotated and translated relative to each other, the dectected data of the radiation emitted from the surface of the fuel assembly is acquired, processed, a tomographic image is constructed from the radiation intensity distribution and is prepared and displayed, and a failed fuel element is finally confirmed from the tomographic image of the fuel assembly cross-section. |
description | This application claims the priority of Swedish patent application number 0501931-0, filed 31 Aug. 2005, which is hereby incorporated herein by reference, in its entirety. The present invention relates to a method of estimating when dryout may occur in a nuclear light water reactor, more precisely in a boiling water reactor (BWR). The invention can be used to estimate the dryout risk (or the margin to dryout) when the nuclear reactor is in operation, but also to make an estimation of the dryout risk before the nuclear reactor is actually operating, for example for estimating the dryout properties before a new nuclear fuel is delivered to a nuclear energy plant or when planning the core design for refuelling. The invention also concerns a nuclear energy plant, a computer program product and a method of operating a nuclear energy plant. The fuel rods in a BWR core are grouped in bundles with spacers and usually also end plates to keep the fuel rods in each bundle in a predetermined geometry. The predetermined rod lattice may be regular or irregular and even change axially. The bundles are then enclosed by channels to direct the coolant flow upward and give the fuel arrangement mechanical and thermal hydraulic stability and facilitate handling and exchange of the fuel. The fuel rod bundle and the channel are often referred to as a fuel assembly as the handling unit. Each channel may also contain more than one fuel bundle and still be referred to as a fuel assembly. The channels may be square or hexagonal and have internal structures and features apart from end fittings. The fuel bundle may also vary considerably in size—from 24 to 144 fuel rods—and it may also contain special purpose rods such as tie rods, water rods, part length rods and burnable absorber rods. A multitude of fissile material enrichments both between and within the fuel rods is also common. The present invention is applicable to all of these fuel arrangements and their operation in the reactor. As is well known to a person skilled in the art, in a BWR a cooling medium in the form of water flows through the fuel assemblies, which contain the fuel rods. The purpose of the water is to cool the fuel rods and to act as a neutron moderator. A mixture of steam and water is flowing through the fuel bundle, providing cooling for the rods by convective and boiling heat transfer. As the steam quality (the steam content fraction) of the coolant increases, the flow pattern is changing. At a certain point in the bundle, an annular flow pattern is formed. This implies existence of a thin liquid film on the surface of the rods, and a mixture of vapour and droplets in the channels between the rods. The existence of this film allows for efficient heat transfer from the rods to the coolant. This enables both effective steam generation and prevents the rods from overheating. The breakdown of this film is referred to as dryout. In a BWR dryout should be avoided. Dryout deteriorates heat transfer from the fuel rods to the reactor cooling medium and therefore leads to an increased temperature of the walls of the fuel rods. The increased temperature can damage the fuel rods. If a BWR is operated at or above a certain high power, the so-called critical power (CP), dryout may thus occur. In order to avoid dryout, the reactor is therefore operated at, a lower power, such that a certain safety margin exists, the so-called dryout margin. A measure of the dryout margin is the critical power ratio (CPR). The CPR can be defined as the following ratio:CPR=(critical power)/(actual power) The CPR can be calculated locally for a large number of points in the reactor core. The smallest value of the CPR in any point is called the minimum critical power ratio MCPR. In the following critical power and critical heat flux and critical steam quality are treated as synonymous or equivalent entities as there exist straight forward physical transformation laws between them in steady state operation. With the coolant flow and the inlet enthalpy known the steam quality directly provides the fuel arrangement power with steam/water thermodynamic data and vice versa. There are two common methods used to correlate critical power test data for BWR fuel assemblies. Both are based on observed functional dependencies between the experimental parameters. One is to correlate the critical power data with the critical heat flux and the other method is to correlate the critical power test data with critical steam quality and the so-called boiling length as the main variables The critical heat flux correlation is based upon a so-called relaxed local conditions hypothesis. This type of correlation is based on Macbeth and Barnett's well known linear dependence between critical dryout power and subcooling at constant mass flux and pressure. The local condition hypotheses correlation has the following form: ΦDO,z=f(P, D, G, XZ) where ΦDO,z=heat flux at dryout P=system pressure D=fuel hydraulic diameter G=mass flux Xz=steam quality at axial position z in reactor core Linear dependencies between the parameters are established at least piecewise by use of fitting coefficients to the measured data. Combination of local dryout heat flux and heat balance allows calculation of critical power and includes implicitly the influence of axial heat flux distribution or power shape. The other method is to correlate the critical steam quality (power) and capture the dependence of critical power on mass flux, pressure, inlet subcooling, and axial and radial power distributions. The terms in a critical quality-boiling length correlation are best-fit functions that describe the critical steam quality dependence on mass flux, outlet pressure, boiling length, annular length and R-factor based on the test data and has the following form: XDO=f(G, P, BL, AL, R) where XDO=critical steam quality G=mass flux P=system pressure BL=boiling length AL=annular flow length R=R-factor. It is typically postulated to capture the critical quality dependence on lateral flow and power distributions. The critical power can be predicted from the steam quality by using the heat balance along the channel. This is an iterative process and includes the influence of axial shape implicitly. Critical power test data are correlated in the so-called critical quality-boiling length plane, i.e. critical power, mass flux, pressure and inlet sub-cooling data are converted to a relationship between steam quality at the location where dryout occurs and the so-called boiling length, BL. Boiling length is defined as the distance from the starting point of bulk boiling (Blen) to the end of heated length, EHL. Furthermore it has been shown that a critical quality—boiling length correlation with the annular boiling length, AL, as an additional correlation parameter implicitly handles the influence of the axial power shape on critical power properly. AL is the distance from the annular flow transition point to the end of heated length, EHL. Dryout dependence on the local power distribution, cross section geometry, and the grid spacer configuration is handled through the use of a so-called R-factor. These R-factors are a measure of the dryout sensitivity of each rod. The limiting R-factor of a sub-bundle is the maximum of R-factors of its rods. In tests, the peak power rod has been systematically moved around in the sub-bundle in order to investigate the dryout sensitivity of rod positions. The large number of local power distributions tested has allowed a derivation of empirical additive constants to calculated R-factors. The two common methods to correlate dryout data have with time and increasing demands for accuracy and validity range became complex. The correlations are basically linear forms (polynomials), but with many terms and regression coefficients trying to capture highly non-linear effects. The document SE-C2-509 235 describes a method of estimating the risk for dryout in a BWR. In this method so-called transient phenomena are taken into account. A transient can for example occur when the coolant flow is reduced while maintaining the actual reactor power. This leads to a reduction of the CP. The method includes the use of a transient analyser. In the transient analyser the behavior of the nuclear reactor during a transient is simulated. The transient analyser calculates the reduction of the CP during transients. EP-A1-1 221 701 describes a method and system for thermal-dynamic modeling and performance evaluation of a BWR core design. A data processing system is used to execute specific program routines that simulate the thermal operating characteristics of fuel rods within the reactor during a transient operational condition. The method employs a multi-dimensional approach for the simulation of postulated operational events or an anticipated operational occurrence which produces a transient condition in the reactor, such as might be caused by single operator error or equipment malfunction. Based on a generic transient bias and uncertainty in the change in critical power ratio, histograms of fuel rod critical power ratio are generated. Ultimately, the operating limit minimum critical power ratio of the reactor is evaluated from a histogram of probability calculations representing the number of fuel rods subject to a boiling transition during the transient condition. The histogram may be readily displayed by the data processing system and used to statistically demonstrate an operating limit minimum critical power ratio compliance of the reactor core design with official regulations. Dryout properties in a real nuclear reactor application can be estimated on the basis of experiments in an experiment station. This experiment station is made to be similar to a part of a real reactor core, but no nuclear reaction takes place in the experiment station. The experiment station can include an experiment chamber in which a number of simulated nuclear fuel rods (but without the nuclear fuel material) are positioned relative to each other in the same manner (or a similar manner) to the fuel rods in a real nuclear reactor core. However, the number of fuel rods in the experiment station is usually much less than in a real reactor core that may contain from 40000 to 80000 or more rods. For example, 24 fuel rods can be used in the experiment station. These fuel rods can represent a sub-bundle of a bundle of fuel rods of a real fuel arrangement. The fuel rods in the experiment station are provided with electrical heater elements so that they can be heated to at least the same temperatures as the fuel rods in a real nuclear reactor. The electrical current to the heater elements can be varied in order to simulate different power levels and power distributions that can occur in a real nuclear reactor core. Furthermore, water is fed through the experiment chamber. The temperature, the mass flux of water, axial and radial power shapes and the pressure of the water can be varied in order to simulate different operation conditions and transient behavior. The experiment station is provided with different measurement means in order to measure the mass flux, the pressure and the temperature at different positions in the experiment station. However, the number of measurement points and the different measurements that can be performed in a limited time is limited. It is therefore often difficult to estimate the dryout properties in a real nuclear reactor on the direct basis of such experiments. Since the number of measurement points, and the number of different measurements that are carried out, are limited, it is necessary to find a model (often called a correlation) that predicts the behaviour of a nuclear fuel arrangement between and outside the conditions that have actually been measured in the experiment station. It is a difficult process to interpolate and extrapolate the result from the experiment to a real general description of the dryout behaviour with high accuracy in a real nuclear reactor. A method of estimating when dryout may occur in a nuclear light water reactor of the boiling water reactor kind, which reactor includes a nuclear fuel arrangement, which method is characterised in that it includes receiving data that indicates operation parameters for the reactor and processing the data by the use of a formula which expresses the local dryout property of the nuclear reactor, wherein said formula includes at least a first and a second factor, wherein the first factor is a first function that describes how the dryout property depends on the flow of the cooling medium through the nuclear fuel arrangement and the second factor is a second function that describes how the dryout property depends on the axial power profile of the nuclear fuel arrangement, wherein the first and the second functions independently of each other describe said flow dependence and said axial power profile dependence, respectively. According to one optional aspect of the invention, the second factor may comprise a single mathematical transformation to the axial power profile which includes at least one variable related to a longitudinal direction of the nuclear fuel arrangement, parallel to the direction in which a fuel rod in the fuel arrangement extends. In a particular embodiment, the formula may have substantially the following form: X DO = e ( 1 1 + e ( a 1 + a 2 G ) + a 3 I 2 + f ( Acc ) + α 4 R ) ρ rel α 5 h fg α 6 where XDO is the predicted local steam quality at dryout,G is the mass flow of cooling medium through the nuclear fuel arrangement per area and time,I2 is the transformation of the axial power distribution,ƒ(Acc) is a function of a flow acceleration term, which function describes transient effects captured through the flow acceleration term,R is the weighted local power influence from the neighbour nuclear fuel rods,ρrel is the relative steam density,hƒg is the latent heat for evaporation of the cooling medium,α1 to α6 are fitting coefficients specific for the specific fuel arrangement used. A nuclear energy plant comprising a nuclear light water reactor of the boiling water reactor kind comprises a control unit arranged to carry out a method as described herein. A computer-readable medium comprises executable code to enable a computer to receive data pertaining to operating conditions of a nuclear power plant; and to use the data to calculate an estimated dryout time using a formula that includes at least a first and a second factor, wherein the first factor is a first function that describes how the dryout property depends on the flow of the cooling medium through the nuclear fuel arrangement and the second factor is a second function that describes how the dryout property depends on the axial power profile of the nuclear fuel arrangement, wherein the first and the second functions independently of each other describe said flow dependence and said axial power profile dependence, respectively. A method of operating a nuclear energy plant comprising a nuclear light water reactor of the boiling water reactor kind, wherein said method comprising: receiving information concerning operation parameters of the nuclear reactor, using this information to estimate the dryout time of the reactor in a method as described herein, and controlling the operation of the nuclear reactor in dependence on the result of the estimate. Methods of estimating when dryout may occur in a nuclear light water reactor of the boiling water reactor kind are disclosed herein. The reactor includes a nuclear fuel arrangement. For making such estimations, a method may employ a formula which expresses the local dryout property of the nuclear reactor. In various embodiments, the formula includes at least two, optionally three or four, different factors. The first factor is a first function that describes how the dryout property depends on the flow of the cooling medium through the nuclear fuel arrangement. The second factor is a second function that describes how the dryout property depends on the axial power profile of the nuclear fuel arrangement. An optional third factor is a third function, which describes how the dryout property depends on the R-factor of the nuclear fuel arrangement. The R-factor is the weighted local power influence from the neighbour nuclear fuel rods. An optional fourth factor is a fourth function, which describes how the dryout property depends on the pressure of the cooling medium in the nuclear fuel arrangement. The first to fourth functions independently of each other, describe, respectively, said flow dependence, said axial power profile dependence, said R-factor dependence and said pressure dependence. A method disclosed herein facilitates the estimation of conditions under which dryout may occur in a nuclear light water reactor of the boiling water reactor kind. The method can be used to estimate the dryout behaviour of a real nuclear reactor on the basis of experiments in an experiment station. An ability to estimate dryout conditions in a boiling water reactor is useful for the control and operation of a nuclear light water reactor. The method makes use of a formula which expresses the local dryout property of the nuclear reactor. Since the dryout property is expressed in a formula, it is easy to adapt this formula to different actual operation conditions. Furthermore, the formula employs the first and second functions that describe said flow dependence and said axial power profile dependence, respectively, independently of each other. Accordingly, the formula can have a simple form that makes it easy to apply to various nuclear fuel arrangements. The dryout properties can thus be expressed with sufficient accuracy by a single, relatively simple, formula. The method can be used to estimate the margin to dryout when a nuclear reactor is in operation. Reactor operation always has to assure that a certain class of transients may occur at any time and the method can also be used to estimate the dryout risk during such transients. Alternatively, the method can be used to make an estimation of the dryout risk before the nuclear reactor is actually operating, for example for estimating the dryout properties before a new nuclear fuel is delivered to a nuclear energy plant or when planning the core design for refuelling. A mathematical expression can normally be written in different manners and still have the same meaning, or approximately the same meaning. Consequently, the claims should not be seen as being limited to the exact mathematical expression defined therein. Rather, the claims are intended to cover equivalent expressions of the formula and alternative formulations that constitute approximations of the formula. Such transformations are regularly done for numerical evaluations and can be tailored for high accuracy over a predetermined application range. For example, an exponential function as defined in claim 18 could be transformed into some other mathematical expression without changing the meaning of the formula, or the formula could, for example, be expressed as a polynomial or a truncated power series that approximates the formula. The claims are also intended to cover small alterations and adjustments of the formulas, for example the addition of small factors or terms that do not significantly change the overall meaning of the formula. Similarly, metric or SI units have been assumed in the numerical examples. The invention will work also with other units if parameters and coefficients are adjusted accordingly. It should also be noted that the concept “entity” used in connection with the formula refers to any entity in the formula, for example a term or a factor that forms part of a term. Furthermore, when a symbol, for example G, is used in the claims, this does of course not mean that the formula is limited to use this particular symbol. Any other symbol can of course be used to symbolise the quantity in question. It should also be mentioned that the dryout property can be expressed in different manners. The dryout property can be calculated for an arbitrary position anywhere in the nuclear fuel arrangement, or can be calculated for a specific position, for example where the cooling medium exits from the active part of the nuclear fuel arrangement. However, based on such calculations of the dryout properties in different points, for example the dryout margin, or the minimum critical power ratio (MCPR), can be calculated for the whole nuclear reactor. Since how to calculate for example the MCPR, based on knowledge of the dryout properties in different locations in the nuclear fuel arrangement, is known to a person skilled in the art, this will not be described in this document. What is important to the present invention is to provide a method in which a formula is used, on the basis of which for example the MCPR can be calculated in a simple manner without loss of accuracy. The dryout property mentioned in claim 1 thus constitutes a local dryout property that easily can be used for, for example, determining the MCPR. Various optional aspects of the method according to the invention are described herein and are set forth in various dependent claims. According to one optional aspect of the invention, said formula also includes a third function, which is either a factor or a term in the formula, wherein the third function describes how the dryout property depends on the R-factor of the nuclear fuel arrangement, wherein the R-factor is the weighted local power influence from the neighbour nuclear fuel rods, wherein the third function describes said R-factor dependence independently of said flow dependence and said axial power profile dependence. Preferably, said third function is a factor in the formula. By using a third function that independently describes the R-factor dependence, a further simplified formula is obtained. According to another optional aspect of the invention, said formula also includes a fourth function, which is either a factor or a term in the formula. The fourth function describes how the dryout property depends on the pressure of the cooling medium in the nuclear fuel arrangement, and it describes said pressure dependence independently of said flow dependence, said axial power profile dependence and said R-factor dependence. Preferably, said fourth function is a factor in the formula. By using a fourth function that independently describes the pressure dependence, a further simplified formula is obtained. According to still another aspect, the fourth function may include an entity hƒg, where hƒg is the latent heat for evaporation of the cooling medium. The fourth function may include an entity proportional to the density of the vapour of the cooling medium at the actual pressure. In this manner, the pressure dependence can be expressed accurately in a simple manner. The formula is such that it includes an exponential function, which, in the exponent, includes another exponential function. The different functions, which form factors in the formula, can be easily expressed in such an exponential function. According to yet another optional aspect, the formula includes a number of variables, a number of constants, and a number of fitting coefficients, wherein said fitting coefficients are determined for the specific used nuclear fuel arrangement, such that said fitting coefficients, at least within certain limited variations of the operation conditions for the nuclear reactor, with an acceptable estimation result, can be considered as constants for the used nuclear fuel arrangement. Preferably, the formula is such that only the fitting coefficients have to be adjusted in order for the formula to be applicable to a particular nuclear fuel arrangement. Furthermore, in a specific example, all the fitting coefficients, or at least some of the fitting coefficients, are significant fitting coefficients that play an important role in order for the formula to express the dryout property with sufficient accuracy, and wherein the number of significant fitting coefficients in said formula is less than 10. The concept “fitting coefficients” relates to coefficients used in the formula in order to make the formula correctly represent a certain nuclear fuel arrangement and reproduce the measured dryout data with optimum accuracy. This implies that when the formula is used for another kind of fuel arrangement, only the fitting coefficients have to be adapted to the new fuel arrangement. By “significant fitting coefficient” is meant a fitting coefficient that plays an important role in order for the formula to express the dryout property of the nuclear reactor with sufficient accuracy. The statistical significance of a particular coefficient is determined by standard statistical methods. In addition to the significant fitting coefficients, of course less significant fitting coefficients could be included in the formula. Since the number of significant fitting coefficients, according an embodiment of the invention, is less than 10, it is quite easy to adapt the formula to a particular situation based on a limited number of experiments. In the prior art descriptions of the dryout properties, the number of required fitting coefficients have been very high. When those correlation concepts were first developed they were simple and with few coefficients. With time the requirements for accuracy and validity range have grown. Those requirements have been met by adding more and more coefficients. Since the number of coefficients that have to be adjusted have become very high, it has previously been difficult to find the values of these coefficients on the basis of small experimental data sets. Furthermore, the large number of regression coefficients results in poor extrapolation behavior of prior art correlations. That is, when a correlation is used to extrapolate outside of the experimental database it is based on, correlation predictions might be significantly different from what is expected based on physical knowledge available from unrelated experiments or sophisticated numerical analyses based on first principles. These problems are solved by the present invention, since according to an advantageous aspect of the present invention, the number of significant fitting coefficients is low. The fitting coefficients used in the formulas in this document are normally, obtained mathematically by regression techniques. Therefore, the concept “regression coefficient” is often used in the description below. The mentioned regression coefficients are thus fitting coefficients. According to a further aspect of the invention, said second function comprises a single mathematical transformation to the axial power profile which includes at least one variable related to a longitudinal direction of the nuclear fuel arrangement, parallel to the direction in which a fuel rod in the fuel arrangement extends. It is an advantageous aspect of the invention that the mentioned second function can be expressed in such a simple manner. For example, the transformation may be in the form of Equation (1): I 2 = 1 x ( Z DO ) ∫ ZX0 Z DO x ( z ) ⅆ z ( 1 ) where x(z) is the steam quality profile along the fuel bundle,z is a local spatial variable related to a longitudinal direction of the nuclear fuel arrangement, parallel to the direction in which a fuel rod in the fuel arrangement extends, andwherein the integration extends from the onset of net boiling, ZX0 and the integration ends at ZDO, which is defined as the axial position for dryout. Optionally, the transformation takes transient phenomena into account and has the form of Equation (2): I 2 ( t DO ) = 1 x ( Z DO ( t DO ) ) ∫ ZX0 ( t in ) Z DO ( t DO ) x ( t ( t in , z ) , z ) ⅆ z ( 2 ) where z is a local spatial variable related to a longitudinal direction of the nuclear fuel arrangement, parallel to the direction in which a fuel rod in the fuel arrangement extends,t is the time during the transient,tin is the time during the transient when the particle experiencing dryout at tDO entered the bundle of fuel rods,tDO is the time when a fluid particle is experiencing dryout,ZDO(tDO) is the time-dependent axial position for dryout for this fluid particle, andZX0(tin) is the point where this fluid particle reaches the point of net boiling. With the present invention, thus also transient phenomena can be taken into account in a simple manner. According to a further aspect of the invention, the mentioned transformation may form part of the exponent in the first mentioned exponential function. The transformation can thereby be included in the formula in a simple manner. According to another aspect of the invention, said first function may include an entity G, where G is the mass flow of cooling medium through the nuclear fuel arrangement per area and time, wherein G is constant in a stationary state of the operation of the nuclear reactor. Since G is a normally used entity, it is advantageous if this entity is used in the method according to the invention. It is advantageous if the entity G appears in the exponential function that appears in the exponent of the first mentioned exponential function. In one embodiment, the formula may have substantially the form of Equation (3): X DO = e ( 1 1 + e ( α 1 + α 2 G + α 3 I 2 + f ( Acc ) + α 4 R ) ρ rel α 5 h fg α 6 ( 3 ) where XDO is the predicted local steam quality at dryout,G is the mass flow of cooling medium through the nuclear fuel arrangement per area and time,I2 is the transformation of the axial power distribution,ƒ(Acc) is a function of a flow acceleration term, which function describes transient effects captured through the flow acceleration term,R is the weighted local power influence from the neighbour nuclear fuel rods,ρrel is the relative steam density,hƒg is the latent heat for evaporation of the cooling medium,α1 to α6 are fitting coefficients specific for the specific fuel arrangement used. This formula thus constitutes a simple general formula that can be used in the method according to the invention and that in a simple manner describes a local dryout property of the nuclear fuel arrangement and also takes transient phenomena into account. In one particular embodiment,ƒ(Acc)=α7Acc2 where the acceleration term Acc is defined as in Equation (4) Acc = ∫ 0 Z DO 1 u f 2 ( t , z ) ∂ u f ∂ t ⅆ z ( 4 ) where uƒ is the axial velocity of the liquid film on the nuclear fuel rods or its approximation,t is the time during the transient,z is a local spatial variable related to a longitudinal direction of the nuclear fuel arrangement, parallel to the direction in which a fuel rod in the fuel arrangement extends,ZDO is defined as the time dependent axial position for dryout, andα7 is a fitting coefficient specific for the specific fuel arrangement used. With such an acceleration term the transients can be taken into account in a simple manner. The invention facilitates estimating the dryout conditions, such as the dryout margin, during operation. The invention may be practiced in a nuclear energy plant that comprises a nuclear light water reactor of the boiling water reactor kind, which plant comprises a control unit arranged to carry out a method as described herein. As a result, the plant has means to obtain information, in a relatively simple manner, concerning the dryout properties during operation of the nuclear energy plant. According to one embodiment, a nuclear energy plant includes operation parameter detectors arranged to detect operation parameters of the nuclear reactor during operation. The control unit is arranged to receive information concerning said operation parameters from the detectors and to use these operation parameters when carrying out the method described herein. The control unit, or the plant, thus uses the received information from the detectors for calculating, for example, the dryout margin for different parts of the nuclear reactor core. According to a further embodiment, the control unit comprises control outputs arranged to control the operation of the nuclear reactor in dependence on said method carried out by the control unit. According to this embodiment, the control unit can thus automatically control the operation of the nuclear energy plant, for example in order ensure that always a certain dryout margin is maintained. Methods described herein can be implemented as a computer program product directly loadable into the internal memory of a computer which can form part of a control unit as defined above. The computer program product comprises a computer program configured to carry out a method according to any one of the above embodiments. In another embodiment, the invention provides a method of operating a nuclear energy plant comprising a nuclear light water reactor of the boiling water reactor kind, wherein said method comprises: providing information concerning operation parameters of the nuclear reactor; using this information in a method as described herein; and controlling the operation of the nuclear reactor in dependence on the previous method step. With such a method, advantages corresponding to those described above in connection with the method and the nuclear energy plant according to the invention are achieved. Different features of the formula used in a manner of carrying out the method described method described herein will now be explained. In order to improve the understanding of the invention, some explanatory remarks referring to the prior art are sometimes included. The used formula describes the dependence (correlation) between reactor operating conditions and dryout conditions during steady-state and transient operation of a light water reactor. 1. Mathematical transformation to describe shape of the axial power profile during steady-state reactor operation. In the prior art, the effect of axial power profile distribution on dryout properties was captured by: introducing parameters capturing upstream flow history, BL and AL. These parameters, however, do not allow for capturing local variations in axial power, introducing parameters capturing local effects through correlating heat flux at dryout, ΦDO,z. Such correlations capture only the effect of local variation in axial power, or correlating XDO against both types of parameters, i.e. BL, AL and ΦDO,z in an attempt to capture both upstream history and local effect. According to the invented method, dryout time can be estimated using a formula that comprises a single mathematical transformation to the axial power profile during steady-state reactor operation. It is intended to capture the experimentally determined memory effects of the film and the water droplet formation along the fuel assembly, which is equivalent to capturing both upstream history and local power variation along a fuel rod bundle. In one embodiment, the axial power profile transformation, I2, is defined as in Equation (5): I 2 = 1 x ( Z DO ) ∫ ZXO Z DO x ( z ) ⅆ z ( 5 ) where x(z) is the steam quality profile along the fuel bundle.I In steady-state operation, Equation (5) is equivalent to Equation (6): I 2 = ∫ ZXO Z DO ∫ ZXO z q ( z 1 ) ⅆ z 1 ⅆ z ( 6 ) The formula includes a function q(z1), where q is the normalized local power along the experienced power profile. z and z1 are local spatial variables related to a longitudinal direction of the nuclear fuel arrangement, parallel to the direction in which a fuel rod in the fuel arrangement extends. The integration extends from the onset of net boiling, ZX0. The onset of net boiling is defined as the average enthalpy of the coolant reaching saturation or equivalently zero steam quality. The integration is ended at ZDO, which is defined as the axial position for dryout and is located at or below end of heated length, EHL. 2. Non-linear correlation form that establishes relationship between steady-state dryout condition and independent variables. One embodiment of the invented non-linear correlation form is described as follows. 2.1 Critical steam quality is expressed by a mathematical product of functions describing flow, axial power profile, R-factor, and pressure dependence. Some of these functions can also be additive. Function(s) describing R-factor and pressure dependence can be either additive or multiplicative or both. The general and basic steady-state dryout correlation form is:XDO=ƒ1(G)ƒ2(I2)ƒ3(R)ƒ4(P)+optional termswhere:XDO is the local steam quality at dryoutƒ1(G) is a function describing flow dependence,ƒ2(I2) is a function describing axial power profile dependence,ƒ3(R) is a function describing R-factor dependence, andƒ4(P) is a function describing pressure dependence. The optional terms constitute less significant minor corrections that can be added in order to adapt the correlation to the particular case. 2.2 Flow dependence is described by an exponential function, which, in the exponent, includes another exponential function. One embodiment is set forth in Equation (7): f 1 ( G ) = e ( 1 1 + e ( α 1 + α 2 G ) ) ( 7 ) where α1 (<0) and α2 (>0) are regression coefficients, andG is the mass flux or flow rate of the coolant, for example in kg/m2s. 2.3 Axial power profile dependence is described as an exponential function of the mathematical transformation to describe the shape of the axial power profile. In one embodiment, axial power profile dependence is set forth as in Equation (8): f 2 ( I 2 ) = e ( α 3 I 2 ) ( 8 ) where α3 (<0) is a regression coefficient, andI2 is the axial power profile transformation described above. 2.4 The R-factor dependence is described as an exponential function of R-factor or an additive term. In one embodiment, it is expressed as in Equation (9):ƒ3(R)=e(α4R) (9)where α4(<−1) is a regression coefficient, andR is the R-factor, which is the weighted local power influence from the neighbour rods. Such weighting schemes are known from prior art. 2.5 Pressure dependence is described as a product of reactor coolant properties raised to certain powers. The properties are selected in such a way that the product extrapolates to approximately zero both at zero pressure and at critical pressure (about 22 MPa) and have a single maximum at intermediate pressures as is desired from theoretical and experimental results. In one embodiment, it is expressed as in Equation (10):ƒ4(P)=ρrelα5hƒgα6 (10)where P is the pressure of the coolant,α5(<1) and α6(>1) are regression coefficients,ρrel is the relative steam density defined as ρV/ρVnom where ρV is the density of the vaporized cooling medium at the actual pressure and ρVnom is the vapour density at nominal system pressure (typically 7 MPa), andhƒg is the latent heat for evaporation of the cooling medium. 3. Explicit treatment of the effects reactor operation transients has on dryout conditions in a fuel rod bundle. The prior art approach has been to assume that all changes in time during the transient are so slow that the time derivatives can be approximated by zero, i.e. quasi steady-state is assumed. This approach is then demonstrated to be conservative sometimes by modifying the fitting coefficients. In general, however, this assumption is invalid: a) in many types of transients the total mass flow at the outlet can be significantly different from the mass flux at the inlet, which contradicts the assumption of a near steady-state; and b) the time scale of many transients is also of the same order of magnitude, or shorter, as the transport time through a fuel rod bundle. A typical transport time for the coolant through the fuel rod bundle is a few seconds. In one embodiment of a method for BWR control and operation described herein, certain aspects of transient phenomenon are treated explicitly. Because dryout is defined to occur when a liquid film is no longer present on the rods surfaces (or its thickness is below a certain value), the treatment is based on the notion of a fluid particle travelling along the fuel rod bundle. This allows developing mathematical transformations for time-dependent transient axial power profile (see 3.1 below), transient flow condition (see 3.2 below), and severity of the transient against which the transient experimental test results are correlated (see 3.3 below). 3.1 Mathematical transformation describing time-dependent axial power profile is based on the fact that travelling in time and space, a fluid particle will experience a power profile different from an instantaneous profile. Thus, a reference system for axial power profile transformation should follow a fluid particle's path in time. In one embodiment, the transformation to transient axial profile, I2, is defined as in Equation (11): I 2 = 1 x particle ( Z DO ) ∫ ZXO Z DO x particle ( z ) ⅆ z ( 11 ) where xparticle is the time-dependent steam quality profile experienced by a fluid particle moving along the fuel bundle,ZDO is the time-dependent axial position for dryout,z is a spatial variable related to a longitudinal direction of the nuclear fuel arrangement, parallel to the direction in which a fuel rod in the fuel arrangement extends, and whereinthe integration extends from the onset of net boiling, ZX0, as defined above, to ZDO. The time dependence is inherent in the above Equation (11) In a particular embodiment, the time can be explicitly stated in the formula when the integration is performed along a particle path in the coordinate system following the particle path. If this is done then Equation (11) can be rewritten as the following Equation 12: I 2 ( t DO ) = 1 x ( Z DO ( t DO ) ) ∫ ZXO ( t in ) Z DO ( t DO ) x ( t ( t in , z ) , z ) ⅆ z ( 12 ) where t is the time during the transient,tin is the time during the transient when the particle experiencing dryout at tDO entered the bundle of fuel rods,z is a spatial variable related to a longitudinal direction of the nuclear fuel arrangement, parallel to the direction in which a fuel rod in the fuel arrangement extends,tDO is the time when a fluid particle is experiencing dryout,ZDO(tDO) is the time-dependent axial position for dryout for this fluid particle, andZX0(tin) is the point where this fluid particle reaches the point of net boiling. Formulas (11) and (12) thus correspond to formula (5) above (which describes the steady state), but have been amended in order to take transient phenomena into account. 3.2 Coolant mass flux can be expressed as a product of the density and the velocity, which propagate through the fuel rod bundle on different time scales. This can be achieved by a mathematical transformation describing time-dependent flow condition. In one embodiment, transient dryout data are correlated against the bundle inlet mass flux when the fluid particle enters the bundle. 3.3 Severity of transient effects is captured through the introduction of a flow acceleration term. In one embodiment the acceleration term Acc is defined as in Equation (13): Acc = ∫ 0 Z DO 1 u f 2 ( t , z ) ∂ u ∂ t ⅆ z ( 13 ) where uƒ is axial velocity of the liquid film or its approximation, and the other expressions are as defined above. A second order polynomial (α7 Acc2) of the acceleration term may be added to the axial power profile transformation when correlating transient test data, so that the following is obtained: I2 +α7 Acc2, where α7 is a fitting coefficient specific for the specific fuel arrangement used.In one embodiment, a combined formula that can be used in a method described herein can be as set forth in Equation (14): X DO = e ( 1 1 + e ( α 1 + α 2 G ) + α 3 I 2 + f ( Acc ) + α 4 R ) ρ rel α 5 h fg α 6 ( 14 ) with parameters as previously defined in either steady-state or transient application. It can be noted that according to the above example, only 7 significant fitting coefficients are used. The formula can therefore easily be adapted to a particular used nuclear fuel arrangement. The formula disclosed herein thus describes the local steam quality at dryout. Based on this information, the dryout properties in different points, for example the dryout margin, or the minimum critical power ratio (MCPR), can be calculated for the whole nuclear reactor. Based on a large database (several thousand dryout data points) from experiments, previously unknown relations and dependencies among the main variables have been detected, and the surprising idea that that the variables flow, the axial power distribution, the R-factor and the pressure dependence can be covered by separate factors in a formula that describes the dryout properties has been conceived. A major advantage of at least one embodiment of the present invention is that the flow and the axial power distribution can be described as separate factors. The sole FIGURE shows very schematically a nuclear energy plant according to the invention. The nuclear energy plant comprises a nuclear light water reactor of the boiling water reactor kind. The nuclear reactor has a reactor vessel 3 in which the reactor core 5 is located. Water is fed to the reactor vessel 3 via a water inlet 7 with the help of a pump 9. The generated steam leaves the vessel 3 via an outlet 11. Control rods 13 can be moved relative to the core 5 with the help of a control rod drive units 15. The nuclear energy plant has a control unit 17, which suitably includes a computer. This control unit 17 is arranged to carry out a method according to any one of the preceding embodiments. The control unit 17 can thus for example be arranged to calculate the margin to dryout for different parts of the core 5 of the nuclear reactor by being arranged (programmed) to carry out a method according to the invention. The control unit 17 can be connected to operation parameter detectors 19, arranged to detect operation parameters of the nuclear reactor during operation. The detectors 19 can directly or indirectly detect operation parameters such as the mass flow of the cooling medium (the water), the pressure of the cooling medium, the position of the control rods 13 in the reactor core 5 and the neutron flux in different parts of the core 5. It is known to a person skilled in the art how to detect such operation parameters of a nuclear reactor. The control unit 17 is thus arranged to receive information concerning said operation parameters from the detectors 19 and to use these operation parameters when carrying out the mentioned method, for example for determining a dryout margin in different parts of the reactor core 5. Based on the calculated dryout margin, a person responsible for the operation of the nuclear energy plant can increase or decrease the power with which the nuclear reactor operates. Alternatively, the control unit 17 can have control outputs 21 arranged to automatically control the operation of the nuclear reactor in dependence on said method carried out by the control unit 17. It should be noted that the concept “control unit” as used herein thus includes two possibilities: either the control unit 17 constitutes a supervision unit which supplies information to a person (the operator), who can then manually control the operation of the nuclear energy plant (an open loop), or the control unit 17 can include means for automatically controlling the nuclear energy plant (a closed loop). However, in both cases the control unit preferably includes means (e.g. a computer) arranged to automatically carry out a method as described above, in order to provide information concerning the dryout properties of the nuclear reactor. The person skilled in the art knows how to control the power of a nuclear reactor. This can for example be done by changing the mass flow of the cooling medium, with the help of the pump 9, or by changing the position of the control rods 13, with the help of the control rod drive unit 15. The outputs 21 from the control unit 17 can thus be arranged to change for example the mass flow of the cooling medium or the position of the control rods 13. The invention also provides a computer program product 23 directly loadable into the internal memory of a computer which can form part of the control unit 17. The computer program product 23 comprises a computer program configured to carry out a method according to the invention. Finally, a method according to the invention for operating a nuclear energy plant that comprises a nuclear light water reactor of the boiling water reactor kind may comprise: providing information concerning operation parameters of the nuclear reactor, optionally by means of parameter detectors; using this information in a method as described herein; and controlling the operation of the nuclear reactor in response to the outcome of the method. The operation of the nuclear reactor can be controlled by a person responsible for the operation or, alternatively, automatically, for example by a control unit 17 as described above. The terms “first,” “second,” and the like, herein do not denote any order, quantity, or importance, but rather are used to distinguish one element from another. The terms “a” and “an” herein do not denote a limitation of quantity, but rather denote the presence of at least one of the referenced item. Although the invention has been described with reference to particular embodiments thereof, it will be understood by one of ordinary skill in the art, upon a reading and understanding of the foregoing disclosure, that numerous variations and alterations to the disclosed embodiments will fall within the spirit and scope of this invention and of the appended claims. |
|
claims | 1. A light source device, comprising:an ellipsoidal reflector with an opening therein,a discharge vessel having an emission substance enclosed therein, the discharge vessel being arranged at a focal point of the ellipsoidal reflector and extending into said opening of the ellipsoidal reflector,a laser for generating a laser beam,means for converging the laser beam toward an opening side of the ellipsoidal reflector for irradiating and exciting the emission substance for causing light to be emitted from the discharge vessel; anda planar mirror positioned to receive emitted light reflected by the ellipsoidal reflector and for changing the direction of the reflected light,wherein the planar mirror comprises a window in an area and of a size such that straight lines extending from edges of the window to edges of the opening of the ellipsoidal reflector are tangential to points on an external surface of the discharge vessel at a maximum diameter thereof; andwherein the laser is arranged to cause the laser beam to pass through said opening and said window. 2. The light source device according to claim 1, wherein a collecting lens for focusing the laser beam is arranged between the planar mirror and the discharge vessel. 3. The light source device according to claim 1, wherein a pair of electrodes is located inside of the discharge vessel. 4. The light source device according to claim 3, wherein the laser is for discharge maintenance and is one of a pulsed laser and a CW laser. 5. The light source device according to claim 1, further comprising a heating means for heating the discharge vessel. 6. The light source device according to claim 5, wherein the heating means is a laser beam absorbing heating element and is embedded in part of the discharge vessel. 7. The light source device according to claim 6, wherein the part of the discharge vessel in which the heating element is embedded is a sealing part of the discharge vessel. |
|
claims | 1. A dynamic x-ray shielding system, comprising:an x-ray shielding fluid reservoir configured to store and supply at least a first x-ray shielding fluid composition and a second x-ray shielding fluid composition;a wearable x-ray shielding garment operably coupled to the x-ray shielding fluid reservoir, the wearable x-ray shielding garment includingat least a first layer including a first flow path in fluid communication with the x-ray shielding fluid reservoir assembly and configured to receive the first x-ray shielding fluid composition, the first flow path including a first flow valve assembly selectively actuatable between an open state which permits fluid flow through the first flow valve assembly such that the first x-ray shielding fluid composition flows from the x-ray shielding fluid reservoir assembly along at least a portion of the first flow path, and a restrict state which restricts fluid flow through the first flow valve assembly, anda second layer including a second flow path in fluid communication with the x-ray shielding fluid reservoir assembly and configured to receive the second x-ray shielding fluid composition, the second flow path including a second flow valve assembly selectively actuatable between an open state which permits fluid flow through the second flow valve assembly such that the second x-ray shielding fluid composition flows from the x-ray shielding fluid reservoir assembly along at least a portion of the first flow path, and a restrict state which restricts fluid flow through the second flow valve assembly;an x-ray shielding fluid supply controller associated with at least the first flow valve assembly and the second flow valve assembly and configured to selectively actuate the first or the second flow valve assembly to regulate fluid flow of a defined quantity of at least one of the first x-ray shielding fluid composition or the second x-ray shielding fluid composition from the reservoir, through at least one of the first flow valve or the second flow valve, into the at least a portion of the first flow path or the second flow path;circuitry for determining whether the wearable x-ray shielding garment is functional to shield a user from an x-ray radiation stimulus; andan irradiation authorization component that generates one or more signals that provide authorization to an external x-ray radiation-emitting system to initiate x-ray radiation delivery. 2. The dynamic x-ray shielding system of claim 1, wherein the second x-ray shielding fluid composition comprises one or more x-ray shielding agents different from those of the first x-ray shielding fluid composition. 3. The dynamic x-ray shielding system of claim 1, wherein the second x-ray shielding fluid composition comprises one or more x-ray shielding agents having one or more absorption edges different from those of the first x-ray shielding fluid composition. 4. The dynamic x-ray shielding system of claim 1, wherein the second x-ray shielding fluid composition comprises one or more x-ray shielding agents having one or more characteristic x-ray absorption edges different from those of the first x-ray shielding fluid composition. 5. The dynamic x-ray shielding system of claim 1, wherein the second x-ray shielding fluid composition comprises one or more x-ray shielding agents having one or more k-edges, or one or more 1-edges, different from those of the first x-ray shielding fluid composition. 6. The dynamic x-ray shielding system of claim 1, wherein the second x-ray shielding fluid composition comprises one or more x-ray shielding agents having one or more x-ray mass attenuation coefficients different from those of the first x-ray shielding fluid composition. 7. The dynamic x-ray shielding system of claim 1, wherein the second x-ray shielding fluid composition comprises one or more x-ray shielding agents having at least one k-edge having an energy level lower than at least one k-edge of the first x-ray shielding fluid composition. 8. The dynamic x-ray shielding system of claim 1, further comprising:a third layer including a third flow path in fluid communication with the x-ray shielding fluid reservoir assembly and configured to receive the third x-ray shielding fluid composition, the third flow path including a third flow valve assembly selectively actuatable between an open state which permits fluid flow through the third flow valve assembly such that the third x-ray shielding fluid composition flows from the x-ray shielding fluid reservoir assembly along at least a portion of the first flow path, and a restrict state which restricts fluid flow through the third flow valve assembly. 9. The dynamic x-ray shielding system of claim 8, wherein the second x-ray shielding fluid composition comprises one or more x-ray shielding agents different from those of the second x-ray shielding fluid composition and the first x-ray shielding fluid composition. 10. The dynamic x-ray shielding system of claim 1, further comprising:an x-ray radiation sensor device operable to detect at least one x-ray radiation exposure event. 11. The dynamic x-ray shielding system of claim 1, wherein at least one of the first flow path or the second flow path includes one or more tubular structures. 12. The dynamic x-ray shielding system of claim 1, wherein at least one of the first flow path or the second flow path includes one or more recirculation tubular structures in fluid communication with the x-ray shielding fluid reservoir assembly and operable to distribute at least one of the first x-ray shielding fluid composition or the second x-ray shielding fluid composition through at least a portion of the first flow path or the second flow path. 13. The dynamic x-ray shielding system of claim 1, wherein at least one of the first layer or the second layer comprises one or more x-ray shielding agents. 14. The dynamic x-ray shielding system of claim 1, wherein at least one of the first layer or the second layer comprises one or more x-ray radio-opaque materials. 15. The dynamic x-ray shielding system of claim 1, wherein at least one of the first layer or the second layer comprises one or more x-ray attenuating materials. 16. The dynamic x-ray shielding system of claim 1, wherein at least one of the first layer or the second layer comprises one or more x-ray attenuating ceramic materials. 17. The dynamic x-ray shielding system of claim 1, wherein the x-ray shielding fluid supply controller is operable to actuate fluid flow of the first x-ray shielding fluid composition or the second x-ray shielding fluid, received in the x-ray shielding fluid reservoir assembly, to or from the x-ray shielding agent reservoir and along respectively one of the first flow path or the second flow path. 18. The dynamic x-ray shielding system of claim 1, wherein the x-ray shielding fluid supply controller is operable to actuate concurrent or sequential fluid flow of the first x-ray shielding fluid composition or the second x-ray shielding fluid, received in the x-ray shielding fluid reservoir assembly, to or from the x-ray shielding agent reservoir and along respectively one of the first flow path or the second flow path. 19. The dynamic x-ray shielding system of claim 1, wherein then x-ray shielding fluid supply controller includes control logic arranged to determine an actuate flow condition and to actuate the flow of the first x-ray shielding fluid composition or the second x-ray shielding fluid, received in the x-ray shielding fluid reservoir assembly, to or from the x-ray shielding agent reservoir and along respectively one of the first flow path or the second flow path, responsive to the actuate flow condition. 20. The dynamic x-ray shielding system of claim 1, wherein then x-ray shielding fluid supply controller includes control logic arranged to determine an actuate flow condition and to actuate the flow of the first x-ray shielding fluid composition or the second x-ray shielding fluid, received in the x-ray shielding fluid reservoir assembly, to or from the x-ray shielding agent reservoir and along respectively one of the first flow path or the second flow path, responsive to at least one of an authorization protocol, an authentication protocol, or an activation protocol. 21. The dynamic x-ray shielding system of claim 1, wherein the x-ray shielding fluid supply controller includes a speech recognition module that causes the x-ray shielding fluid supply controller to modulate the flow of the first x-ray shielding fluid composition or the second x-ray shielding fluid, received in the x-ray shielding fluid reservoir assembly, to or from the x-ray shielding agent reservoir and along respective one of the first flow path or the second flow path, responsive to one or more audio inputs. 22. The dynamic x-ray shielding system of claim 1, further comprising:a power source including at least one of a battery. 23. The dynamic x-ray shielding system of claim 1, further comprising:a power source wired, or wireless coupled, to an external source. 24. The dynamic x-ray shielding system of claim 1, further comprising:a power source including at least one of a thermoelectric generator, a piezoelectric generator, a microelectromechanical system generator, or a biomechanical-energy harvesting generator. 25. The dynamic x-ray shielding system of claim 1, further comprising:a power source electromagnetically, magnetically, ultrasonically, optically, inductively, electrically, or capacitively coupled to the x-ray shielding fluid supply controller. 26. The dynamic x-ray shielding system of claim 1, further comprising:an energy transfer system electromagnetically, magnetically, ultrasonically, optically, inductively, electrically, or capacitively coupled to the x-ray shielding fluid supply controller. 27. A dynamic x-ray shielding method, comprising:receiving x-ray potential exposure event data associated with delivery of an x-ray radiation stimulus from an x-ray radiation-emitting system;concurrent or sequential actuating fluid flow of a first x-ray shielding fluid composition or the second x-ray shielding fluid, received in an x-ray shielding fluid reservoir assembly, to or from the x-ray shielding agent reservoir and along respectively one of a first flow path or a second flow path of a dynamic x-ray shielding garment, responsive to potential exposure event data indicative of an x-ray potential exposure event; the fluid flow of the first x-ray shielding fluid composition or the second x-ray shielding fluid sufficient to cause at least a portion of the dynamic x-ray shielding garment to have an x-ray shielding lead equivalence of about 0.25 millimeters to about 0.5 millimeters;determining whether the dynamic x-ray shielding garment is functional to shield a user from an x-ray radiation stimulus responsive to receiving the x-ray potential exposure event data; andgenerating one or more signals that provide authorization to the x-ray radiation-emitting system to initiate x-ray radiation delivery. 28. A dynamic x-ray shielding method, comprising:determining an actuate flow condition responsive to at least one of an authorization protocol, an authentication protocol, or an activation protocol; andconcurrent or sequential actuating fluid flow of a first x-ray shielding fluid composition or the second x-ray shielding fluid, received in a plurality of x-ray shielding fluid reservoirs, to or from the plurality of x-ray shielding fluid reservoirs and along respectively one of a first flow path or a second flow path of a dynamic x-ray shielding garment, responsive to the actuate flow condition, the fluid flow of the first x-ray shielding fluid composition or the second x-ray shielding fluid sufficient to cause at least a portion of the dynamic x-ray shielding garment to have an x-ray shielding lead equivalence have an x-ray shielding lead equivalence of greater than about 0.25 millimeters. |
|
summary | ||
abstract | A fast reactor having a reactivity control reflector has a reactor vessel in which a coolant is accommodated, a reactor core which is installed in the reactor vessel and dipped with the coolant, and a reflector installed outside of the reactor core so as to be movable in a vertical direction for controlling the reactivity of the reactor core. The reflector of the fast reactor has a lower neutron reflecting portion having a neutron reflection capability higher than that of the coolant and an upper cavity portion located above the neutron reflecting portion and having a neutron reflection capability lower than that of the coolant. The cavity portion is composed of a plurality of cylindrical hermetically-sealed vessels. |
|
043326390 | description | Turning now to the drawings, wherein like components are designated by like reference numerals throughout the various figures, attention is specifically directed to FIG. 1 which illustrates, in part, a pool type liquid metal fast breeder reactor generally indicated at 10. As shown, reactor 10 includes an active core 12 housed within a vessel 14. A plurality of fuel assemblies 16 are housed within the core and each includes an opened container 18 having inlets in the form of through-holes 20 at the bottom end and an opened outlet 22 at its top end as seen in FIG. 1A. An active substance such as plutonium oxide is sealed within a large number of elongated hollow pins 24 located vertically adjacent one another within the container. These pins are preferably constructed of stainless steel but are nevertheless subject to cracking or breaking in the manner described previously. Reactor 10 also includes liquid metal cooling fluid, specifically liquid sodium and conventional means (not shown) for circulating a stream of the fluid along a path indicated by the arrows 26. As best seen in FIG. 1A in conjunction with FIG. 1, this path includes a section which passes through each of the fuel assembly containers from their inlets 20 to their outlets 22. All of the reactor components thus far described are conventional and therefore will only be described to the extent necessary for a full and complete understanding of the present invention. In this regard, it is to be understood that the reactor includes other conventional components such as a conventional internal heat exchanger (IHX) generally indicated at 28, a lower instrumentation section 30 or instrument tree as it is more commonly called and a clean-up loop 32 for the cover gas, typically argon or helium, which collect in the gap 34 between a central pool of sodium 36 and a reactor cover 38. In addition to the various conventional components recited above as well as those not recited, nuclear reactor 10 also includes a failed element detection and location (FEDAL) system which is designed in accordance with the present invention and which is generally indicated by the reference numeral 40 in FIG. 1. As stated previously, should one or more of the plutonium oxide containing fuel pins 24 display a break which is sufficiently large to cause some of the passing sodium to contact the plutonium oxide, a number of contaminants will enter the stream including I-137 and Br-87, both of which decay giving off neutrons. As will be seen hereinafter, FEDAL system 40 is provided for detecting which particular fuel assembly or assemblies include a faulty pin or pins. As will also be seen systems 40 accomplishes this in a reliable and economical way by first collecting a combined sample of the sodium at the outlets of at least a group of the fuel assembly containers 18. In this regard, a number of systems 40 may be used to monitor all of the fuel assemblies, which is the preferred approach where there are a relatively large number of such assemblies. However, a single system may be used to monitor all of the fuel assemblies in a given reactor. In either case, once a combined sample is collected from the system or systems, each sample is tested for the presence or absence of neutrons, thereby indicating the presence or absence of a break in at least one pin of the associated group of fuel assemblies. In the event a combined sample does indicate a break and preferably only in this event, each system 40 collects individual samples of the sodium, one at a time, at the outlets of the fuel assembly containers in the associated group and these individual samples are detected for the presence or absence of neutrons, thereby indicating the particular fuel assembly or assemblies which have failed. Turning to FIG. 2, attention is now directed to a detailed discussion of one of the FEDAL systems 40. This system includes as a primary component a valve assembly 42 which serves to collect samples of liquid sodium at the outlets 22 of a selected group of fuel assemblies 16 by means of a network of sipper tubes 44. As best seen in FIG. 1A an individual sipper tube extends into the opened top end of each fuel assembly container 18 within the selected group of assemblies, alongside a thermocouple 46 which is typically provided as part of instrument tree 30. As will be seen hereinafter, valve assembly 42 also serves to combine the sodium collected through all of its sipper tubes for providing a single combined sample. Moreover, it also serves to collect individual samples of the sodium, one at a time, at the outlets of the fuel assembly containers. The combined sample is drawn up through one leg 40a of system 40 to and through a neutron detection section 48 by a pumping section 50 and initially through a slip section 51. The individual samples are drawn up a second leg 40b of system 40, one at a time, to and through a second neutron detection section 52 by a second pumping section 54. As will be seen hereinafter, neutron detection section 52 also serves as part of valve assembly 42. From an operational standpoint, valve assembly 42 operates on a regular basis to continuously successively collect combined sodium samples which are drawn through detecting section 48 and thereafter into sodium pool 36. During this procedure, the successive samples are continuously monitored for neutrons. So long as none are detected, it is assumed that no breaks exist in the monitored fuel pins and individual samples are not collected. However, should there be an indication of neutrons above a predetermined background level, readily selected by the operator, a valve assembly 42 begins collecting individual sodium samples, one at a time, and these samples are passed through their neutron detection section 52 and eventually into sodium pool 36. In this way, each individual sample is detected for neutrons so as to isolate which fuel assembly or assemblies are responsible for an indicated break. In this regard, conventional control circuitry (not shown) is provided for automatically collecting individual samples in the event this is warranted in view of the combined sample. This may be accomplished by connecting the neutron detector which comprises part of section 48 and which will be described hereinafter with the mechanism for controlling valve assembly 42 and section 52, also to be described hereinafter. Having described FEDAL system 40 as a whole, attention is now directed to its various components starting with valve assembly 42. As illustrated in FIGS. 3-5, the valve assembly includes an elongated housing 56 which comprises part of leg 40b and which includes a series of spaced through-holes or openings 58 extending from its outer surface 60 to its inner surface 62. These spaced through-holes correspond in number to the outlets 22 in the selected fuel assemblies and are in fluid communication with the outlets by means of previously described sipper tubes 44. As best seen in FIG. 3, sodium from the selected fuel assemblies is drawn into housing 56 through the sipper tubes and associated openings 58 and mixed within the housing to form a single combined sample which is drawn out of the bottom of the housing through a U-shaped coupling tube 64 for passage into leg 40a, as will be discussed hereinafter. In order to collect individual samples of fluid from the various fuel assemblies, valve assembly 42 includes a valve head 66, a cooperating collection tube 68 and an arrangement 70 for moving the valve head and its associated collection tube between the various openings 58, one at a time, for collecting individual samples of fluid passing through the sipper tubes 44. The valve head itself includes a fluid sample receiving cavity 72 which opens out to a front wall engaging surface 74 and which is in fluid communication with the bottom of collection tube 68. The front face 74 of the valve is adapted for engagement with inner surface 62 of the valve housing around individual openings or through-holes 58 for placing cavity 72 in fluid communication with the sipper tubes 44, one at a time. In this way, an individual fluid sample from an associated fuel assembly passes into valve head cavity 72 and is drawn up tube 68, eventually to neutron detection section 52, as will be discussed hereinafter. Arrangement 70 is provided for placing the valve head in fluid communication with each of the sipper tubes, one at a time, and in accordance with the present invention this is accomplished without dragging valve head face 74 across the inner housing surface 62 as the valve head moves between through-holes 58. As seen best in FIGS. 4 and 5, arrangement 70 includes two primary support plates 76 which depend from the underside of a hollow, vertically extending drum 78 and is fixably connected to the drum by a center flange 80 and bolts 82. As will be described hereinafter, this drum and the two sideplates are movable up and down as indicated by two-way rotational arrow 86 (FIG. 5). Valve head 66 is mounted for movement with the two side plates by means of an angled connector 88 located between the two plates and pivotally connected thereto by means of pivot pin 90. The lowermost end 92 of angle connector 88 is spherical and comprises part of a ball and socket joint connection between the connector and the valve head. The rearwardmost end of the end connector is also spherical and comprises part of a second ball and socket connection between the connector and a second angled connector 96. This latter connector is fixably attached to the bottom end of a vertically upwardly extending shaft 98 extending up leg 40b coaxially through drum 78. As will be seen hereinafter, center shaft is movable up and down, as indicated by two-way arrow 100 (FIG. 3), independent of the reciprocating and rotational movement of drum 78 and side plates 76. The exact way in which drum 78 is reciprocated and rotated and the way in which center shaft is reciprocated will be discussed below. For the moment, it should suffice to point out the purpose of these different movements. In the first place, it should be apparent that valve head 66 must be moved between the lowermost position shown in FIG. 3 where it is in horizontal alignment with the lowermost through-holes 58 and associated sipper tubes and an uppermost position in horizontal alignment with the uppermost through-holes and associated sipper tubes. This is accomplished by selectively moving the drum 78 between these two positions in the direction of arrow 84. It should be equally apparent that the valve head must be rotated about the axis of housing 56 since the various through-holes circumscribe the housing. This is accomplished by rotating drum 78 about its own axis (arrow 86). With the valve assembly thus far described, the valve head itself could be moved between all of the through-holes for fluid engagement therewith. However, this would mean that the front face 74 of the valve head would have to slide across the inner surface 62 of the housing subjecting it to wear and the overall valve head to undue physical strain. In accordance with the present invention, the valve head is displaced back from inner surface 62 during movement between through-holes by means of the two angles connectors 88 and 90 and center shaft 98. Specifically, when the two angles connectors and the shaft are in the position shown by solid lines in FIG. 3, valve head face 74 engages inner surface 62, placing cavity 72 in fluid communication with an associated through-hole. However, when the shaft is moved upward (arrow 100) this causes the angled connector 96 to move upward, as indicated by dotted lines. This in turn causes the angled connector 88 to pivot clockwise about pin 90, as viewed in FIG. 3, thereby causing the valve head itself to move away from inner surface 62. The valve head is maintained in this latter position as drum 78 moves between the through-holes and is placed back in its solid-line position upon reaching a selected through-hole. As best seen in FIG. 3, drum 78 includes a lowermost enlarged head 102 which is sized to just slideably fit within the inner surface of housing 56 and includes end seals 104 for preventing sodium from entering the housing and passing up between the inner surface of the latter and the enlarged head. As will be seen in the later figures, the rest of drum 78 which is centrally supported at its lower end by an anchor band 106 extends all of the way up to section 52 in loop 40b. On the other hand, tube 68 which rotates with the drum only extends up to section 54 where it meets and is maintained in fluid communication with a stationary tube extending up to section 52. In order to compensate for thermal expansion, tube 68 includes a helical section or coil 108 extending around drum 78. Moving up loop 40b, attention is now directed to pump section 54 which is best seen in FIGS. 6 and 7. However, it should first be noted that previously described drum 78 extends into and through this pump section where it rotates and reciprocates relative thereto and is supported by additional anchor bands 106. These anchor bands also serve to support an extension section 108 of tube 68 which interconnects the tube to still another tubular section 110. The latter section 110 is fixed vertically (but not rotationally), as will be seen hereinafter, while section 108 is movable both vertically and rotationally with tube 68 and the drum 78 and serves to compensate for such movement. In this regard, the lowermost end of section 110 includes a nozzle 111 including seal rings 113 slidably moving in a sealed manner within section 108 as the latter moves up and down with tube 68. As best seen in FIG. 6, drum 78 extends through an alignment and centering ring 112 which extends all the way up to top section 52, as will be seen hereinafter. This alignment and centering ring is interconnected for rotational movement with the drum (but not vertical movement) by means of three splines 122 and cooperating grooves, seen best in FIG. 7. Both the alignments and centering ring and drum extend through a stationary outer housing 124 through a flared opening 126. This housing contains a relatively slow flow pump 128 which serves to circulate individual sodium samples (which have been collected at the valve assembly) through neutron detection section 52 and back out into the sodium pool 36. It should be noted that pump 128 is fixed in place just inside housing 124 and hence is also stationary. Since pump 128 is fixed and tube section 110 is movable rotationally along with ring 112, it is necessary to provide a suitable connection between the pump and section 110. This is accomplished by means of a connecting joint 130 comprising part of alignment and centering ring 120 and housing 124. This connection includes an angular recess 132 around the outer periphery of a thickened section of alignment and centering ring. This annular recess is at all times maintained in fluid communication with section 110 of tube 68 by locating the tubular section through the thickened portion of the ring, as seen in FIG. 6. At the same time, housing 124 includes a stationary ring 134 extending around recess 132, the latter being sealed against upward or downward leaks by additional piston rings 136. However, ring 134 includes a fixed passage 138 in fluid communication with recess 132. In this way, even though the alignment and centering ring 120 rotates with drum 78, fluid communication is maintained between the tube section 110 and pump 128 by means of connection 130. As a result, the upper tube section 140 extending out the back or top side of the pump is merely an extension of the tube 68 and, as will be seen hereinafter, extends all the way up to neutron detection section 52. In this regard, a suitable pump power cable 142 also extends up to this latter section with tube section 140. Moreover, as seen in FIG. 6, the tube section 140 includes at least one coil turn providing for thermal expansion. As also seen in this figure, a second tube 144 is shown within housing 124 and, as will also be seen hereinafter, this latter tube extends from neutron detection section 52. Actually, this latter tube is a continuation of the tubes 68 and 140 and provides a return for the flow of sodium to pool 36. Down tube 144 also has a helical coil section 146 to compensate for thermal expansion. Moving up to the top of loop 40b, attention is now directed to FIGS. 8A and 8B and FIGS. 9 and 10 for a detailed description of neutron detection section 52. As will be seen hereinafter, this section is not only responsible for detecting neutrons from individual sodium samples but also provides the appropriate drive means for reciprocating and rotating the previously described drum 78 comprising part of the valve assembly and for reciprocating center shaft 98. In addition, this section provides a conventional sparger scheme for analyzing helium and argon gas emitted into the sodium stream as a result of fuel pin breaks. Referring first to FIG. 8A, it should be noted at the outset that the previously described drum 78 continues up through housing 124 along with tube 140 and down tube 144. Tube 140 includes an in-line flow meter 150 but otherwise extends without interruption up to the top of the section 52 where it makes a series of helical turns so as to provide a neutron detecting coil 152 seen in FIG. 8B. This helical section or coil provides a concentrated or relatively large amount of sodium in a relatively small area for detection by suitable neutron detecting means to be described hereinafter. The other end of this helical section connects to a straight section 154 (see FIGS. 8A and 8B) which actually comprises part of the down tube 144. As seen best in FIG. 8A, section 154 extends all the way down to a sparger 156 having its down spout connected to previously recited down tube 144. In this manner, individual samples of sodium pumped up through tube 140 from previously described tube 68 pass through the helical section 152 and thereafter down section 154, through sparger 152 and out into the sodium pool through down tube 144. The sparger itself may be conventional and is provided for collecting gases from the sodium as the latter passes through its loop. These gases are specifically collected at the sparger by means of a gas to analyzer tube 158 extending from the sparger to a gas analyzer (not shown). A vacuum and helium purge tube 160 is also connected to the top of the sparger and to a source of vacuum (also not shown). The sparger gas, once having been analyzed, is returned to the sparger through a return tube 162 connected to the bottom of the sparger at one end and, while not shown, to the gas analyzer at the other end. A second return tube, specifically tube 164, is provided for returning sodium back into the sparger. Referring specifically to FIG. 8B, it can be seen that coil 152 extends around a section of drum 78 with an inner housing 170 to be described hereinafter located therebetween along with a cylindrical layer of insulation 172 and a heater 174. In addition, a second insulation layer 176 is located concentrically around coil 152 followed by a concentric gas containing layer 178 which is in turn followed by a layer of lead shielding 180 and another layer of contained gas 182. Over this latter layer of contained gas is a layer of graphite 184. Between this layer of graphite and another layer of borated graphite 186 are He.sup.3 counters 188 for detecting neutrons. All of these components are contained within an outer housing 190 which extends from a bottom 192 end (FIG. 8A) including end seals 194 to an upper end 196 engaging against the underside of a top plate 198. A manifold 200 is defined between this top plate, housing 196 for both a lead shielding ring 202 and a second layer, actually ring, of borated graphite 204. The manifold 200 is provided for housing the conduit 206 associated with counter 188. All of these various components are housed within an outermost shell 208. An interface 209 is provided for purge gas, instrumentation power, controls, gas specimen, sparger gas, etc. For the most part, the remaining components comprising part of overall section 52 in system 40 are those associated with reciprocating and rotating drum 78 and reciprocating center shaft 98. In this regard, attention is first directed to the way in which the overall drum is rotated about its own axis. This is accomplished by utilizing a motor 210 mounted to the outside of a top housing 212. The motor includes a drive shaft 213 which supports a gear 214 for rotation within housing 212. Gear 214 is in turn interlocked for rotation with a larger gear 216 fixedly mounted around drum 78 along with a gear support 218. This latter support lies on a bearing suspension 220 located around inner housing 170. It should be obvious that as gear 214 rotates, the larger gear 216 and its support 218 also rotate causing drum 78 to rotate. The drum is supported along its length for its rotational movement by the previously described means as well as a number of guide rings 222 (FIG. 8A) as well as a top center guide 224 located just above gear 216 (FIG. 8B). The exact way in which the overall drum reciprocates is slightly more sophisticated than the way in which it rotates and to more fully appreciate how this is accomplished reference is particularly made to FIG. 10 in conjunction with FIG. 8B. As seen in both of these figures, top housing 212 supports a second drive motor 226 on its top surface. This motor includes a drive shaft 227 carrying a coupling 228 and a central gear 230 located within the top housing. Gear 230 drives an adjacent torque distributor 232 which, in turn, drives an outer pinion gear 234. The central gear 230 also drives a larger torque distributor 236 which drives a second outer pinion gear 238. The two outer pinion gears 234 and 238 drive associated push-pull threaded suspension rods 240 and 242, respectively. These two rods in turn support previously described center guide 224 which includes a center bearing ring 244 positioned concentrically around an uppermost segment 245 of drum 78. This bearing ring is fixedly interlocked with drum segment 245 vertically while allowing the drum to rotate about its own axis relative thereto and to accomplish this uses vertical cylinder bearings 247 located within cooperating notches 249 as seen in FIG. 8B. The guide ring also includes moment equalizing arms 246 and two outer connectors 248 and 250 in threaded connection around the two rods 240 and 242, respectively. Functionally, as the two outer pinion gears 234 and 238 rotate, they cause the two rods to rotate for moving the guide ring between its solid line lowermost position shown in FIG. 10 and its uppermost dotted line position shown in the same figure. This in turn moves the drum between its two extremes for moving the valve head 66 between the lowermost through-holes 58 and the uppermost through-holes. Having described the way in which drum 78 is both rotated and reciprocated, attention is now directed to the way in which the center shaft 98 is reciprocated as will be best seen in FIG. 8B. This is accomplished by providing a fixed flange 260 fixedly connected to the top of the center shaft within the sealed housing section 262. The overall shaft is slidably movable relative to this housing which means that top section of the shaft and the flange 260 are movable between the uppermost position shown in FIG. 8B and a slightly lower position so that the flange itself is actually movable through a distance d. However, the entire center shaft including flange 260 is maintained in its upper position by means of a spring member 264 positioned concentrically around the contained section of the center shaft, between the floor of housing 262 and flange 260. In this way, valve head 266 is biased in its non-fluid communicating dotted line position illustrated in FIG. 3 so that front face 74 of the valve head is out of engagement with surface 62 of housing 56. In order to place the valve head in fluid communication with a selected one of the through-holes, the center shaft must be moved to its lowermost position through the distance d. This is accomplsiehd by arrangement 266 which applies pressurized gas into housing 262 just above flange 260, causing the latter to move down the distance d. This arrangement includes a source of pressurized gas (not shown) which is delivered through a hose connection 268 into housing 262 through a rotary joint 270. A suitable release valve (not shown) is used to release the pressure within the housing just above the flange 260 when it is desirable to move the drum back to its spring biased position. Having described leg 40b in overall FEDAL system 40, attention is now directed to leg 40a which is interconnected with leg 40b by means of previously described U-shaped coupling tube (see FIGS. 2 and 3). In this regard, it may be recalled that previously described valve assembly 42 served a number of functions including collecting a combined sample of sodium. This combined sample is passed through tube 64 into lowermost section 51 of leg 40a, as best shown in FIG. 11. This section includes a central guide or instrument tree stroke sleeve 300 fixedly supported in place by means of bracket 302 which also supports previously described section 42. The sleeve fixedly receives at its bottom end the otherwise free end of tube 64. However, its top end includes a lead-in cone 304 and is suitably dimensioned to receive the enlarged lowermost end 306 of an intermediate tubing 308 comprising a bottom of leg 40a. In this way, the entire section 308 including its bottom enlarged end 306 is movable vertically between the solid line position shown in FIG. 11 and its dotted line position in response to and as a result of the necessary stroke of previously mentioned instrument tree 30 (see FIG. 1). Section 308 extends all the way up to the bottom of pumping section 50 which is shown in FIG. 12. Note that section 308 feeds directly into the bottom of a pump 310 located within an outer housing 312 and centered therein by suitable centering clips 314. This pump preferably has a higher flow rate capability than previously recited pump 128 (see FIG. 6). In fact, in a preferred embodiment, pump 310 is provided for maintaining a flow rate of about 500 gal./minute whereas pump 128 need only maintain a flow rate of about 3 gal./minute. The top of pump 310 includes its power cable 316 extending up to top section 48 and a two-way valve 318. This valve includes an overflow manifold 320 and a central orifice 322 in fluid communication with the bottom of a sodium carrying tube 324 including helical section or coil 326 to compensate for thermal expansion. This tube is maintained in a fixed position by a centering and vibration dampering connector 328. From an operational standpoint, pump 310 draws the previously described combined sodium sample up through tube 308 and the pump and into nozzle 318. A certain amount of this sample thereafter passes through central orifice 322 and up tube 324 toward detection section 48. The rest of the collected sample passes back into the central sodium pool 36 through the overflow manifold 320, either outside container 312 or between pump 310 and the container, as indicated by the arrows 330. Turning now to FIGS. 13A and B and FIG. 14, attention is directed to detection section 48. As seen first in FIG. 13A, previously described outer housing 312 extends all the way up and through section 48 stopping short of a topmost housing 332 (FIG. 13B). In addition, the sodium carrying tube 324 extends up into section 48 and specifically to the inlet of a flow meter 334 fixedly held within the housing 312 by means of centering and vibration dampening spring members 336. The tube 324 then continues from the outlet of the flow meter up through a detection arrangement which is illustrated in and will be described with respect to FIG. 13B. More specifically, as best seen in this latter figure, the tube 324 connects to one end of a helical section or coil 340 similar to previously described helical section 152 (see FIG. 8B). The other end of this coil joins a down tubing 346 extending down through the detection arrangement (FIG. 13B) and thereafter down past the flow meter 324 (FIG. 13A) where it is directed back into the central sodium pool 36, as indicated by the arrows 342. Turning specifically to FIG. 13B, the overall detection arrangement referred to above includes a main support made up of an outer section 348 and an inner section 350 sealed between their adjoining surfaces by suitable seal rings 352. The two tubes 324 and 346 extend through the center of the inner section 350. Located within housing 332 is a heater 354 and insulation 356, both of which are located within coil 344. Insulation 358 is also positioned directly around the outside of the coil just inside a gas containing section 360. Outside the gas containing section is a lead shield 362 which in turn has a layer 364 containing gas surrounding it. A layer of graphite 366 surrounds the outer gas layer and an He.sup.3 counter 368 is positioned just outside and around this latter layer. Finally, a borated graphite layer 370 and a top borated graphite layer 372 extends over all of these concentric components and defines a conduit manifold 374 between it and the top cover 376. An interface, purge gas, controls, instrumentation and power arrangement generally indicated at 378 is also provided for carrying the various operating cables, lines and the like to their appropriate, remote locations. A pre-load spring 380 is provided in manifold 374 between layer 372 and top cover 376. |
abstract | The present invention relates to the field of radioactive substances and in particular to a method to facilitate handling of radioactive solutions. Provided by the present invention is a device that enables preparation of capsules filled with radioactivity. More particularly, the radioactivity is suitable for use in certain radiopharmaceutical procedures. The present invention provides improved accuracy and uniformity of patient doses. Furthermore, the potential for spills and needle stick injuries is reduced and the radiation burden is reduced. |
|
048045152 | abstract | Signals from redundant sensors located throughout a pressurized water reactor (PWR) nuclear power plant are processed in four independent channel sets each of which includes a plurality of independent microcomputers which calibrate, convert to engineering units and calculate partial trip signals and engineered safeguard actuation signals from the sensor signals for use in the conventional voting logic of a plant protection system. The primary and secondary partial trip and engineered safeguard actuation functions associated with various postulated abnormal events are allocated to different independent microcomputers in the channel set for reliability. A test unit common to the channel set automatically, rapidly bypasses and tests each protection function independently while the other protection functions in the channel set remain on-line and also continually tests each microcomputer through a dummy test function performed along with the assigned protection functions. Signals representative of the analog value of the sensor signals are stored by the microcomputers and are transmitted by a serial data link through a common electrical isolation unit to a common analog output device for use by the plant control and monitoring systems under the control of a communication processor common to a group of microcomputers in the channel set. |
summary | ||
summary | ||
claims | 1. An imaging device, comprising:a first scintillator layer;an array of detector elements, wherein the array of detector elements comprises a first detector element;a second scintillator layer, wherein the array of detector elements is located between the first scintillator layer and the second scintillator layer; anda first neutral density filter located between the first scintillator layer and the first detector element and/or a second neutral density filter located between the second scintillator layer and the first detector element;wherein the first detector element is configured to generate a first electrical signal in response to light from the first scintillator layer, and to generate a second electrical signal in response to light from the second scintillator layer;wherein the imaging device further comprises a first plate coupled to the first scintillator layer, and/or a second plate coupled to the second scintillator layer; andwherein the first plate and/or the second plate is configured to provide photons. 2. The imaging device of claim 1, wherein the first neutral density filter and/or the second neutral density filter is configured to improve a signal-to-noise ratio of the imaging device. 3. The imaging device of claim 1, wherein a signal-to noise ratio of the imaging device is based on (1) respective quantum efficiencies (QE1,QE2) of the first and second scintillator layers, (2) respective detective quantum efficiencies (DQE1,DQE2) of the first and second scintillator layers, (3) respective optical yields (α1, α2) of the first and second scintillator layers, (4) optical sensitivities (p1, p2) of the first detector element associated with the first and second scintillators respectively, or (5) a combination of any of the foregoing. 4. The imaging device of claim 1, wherein the second scintillator layer is configured to receive radiation after it has passed through the array of detector elements. 5. The imaging device of claim 1, further comprising a first electrode situated between the first scintillator and the first detector element, wherein the first electrode is configured to allow light from the first scintillator layer to reach the first detector element. 6. The imaging device of claim 5, further comprising a second electrode configured to allow the light from the second scintillator layer to reach the first detector element. 7. The imaging device of claim 6, wherein the second electrode is at least partially transparent to light. 8. The imaging device of claim 6, wherein the second electrode comprises a first opening for allowing the light from the second scintillator layer to pass therethrough. 9. The imaging device of claim 8, wherein the second electrode comprises a second opening. 10. The imaging device of claim 8, wherein the first opening comprises a circular opening, a square opening, a rectangular opening, or a slot. 11. The imaging device of claim 8, wherein the second electrode has a ring configuration. 12. The imaging device of claim 8, wherein the second electrode has a grid configuration, and the first opening is one of a plurality of grid holes. 13. The imaging device of claim 6, wherein the second electrode comprises a chrome layer. 14. The imaging device of claim 6, wherein the second electrode comprises ITO or another transparent conductor. 15. The imaging device of claim 6, wherein the first detector element comprises a hardware component, and wherein the second electrode and the hardware component are in a side-by-side configuration. 16. The imaging device of claim 15, wherein the second electrode comprises a conductor extending along at least a part of a periphery of the second electrode, and one or more optical openings surrounded by the periphery. 17. The imaging device of claim 16, wherein the second electrode further comprises one or more additional conductors extending within a space that is surrounded by the periphery of the second electrode. 18. The imaging device of claim 15, wherein the hardware component comprises at least a part of a thin-film-transistor (TFT). 19. The imaging device of claim 1, wherein the first detector element has a first part configured to generate the first electrical signal in response to the light from the first scintillator layer, and a second part configured to generate the second electrical signal in response to the light from the second scintillator layer. 20. The imaging device of claim 19, wherein the first part is a top side of the first detector element, and the second part is a bottom side of the first detector element. 21. The imaging device of claim 19, wherein the first part comprises a first photodiode, and the second part comprises a second photodiode, and wherein the first photodiode and the second photodiode form a side-by-side configuration. 22. The imaging device of claim 1, further comprising a substrate, wherein the array of detector elements is secured to the substrate, wherein the substrate has a first side and an opposite second side, the first side being closer to a radiation source than the second side. 23. The imaging device of claim 22, further comprising a layer of focusing elements located between (1) the array of detector elements and (2) the first scintillator layer or the second scintillator layer. 24. The imaging device of claim 22, further comprising an optical grid coupled to the first scintillator layer or the second scintillator layer. 25. The imaging device of claim 22, wherein the substrate has a thickness that is less than 2 mm. 26. The imaging device of claim 1, further comprising a first optical grid coupled to the first scintillator layer, and a second optical grid coupled to the second scintillator layer. 27. The imaging device of claim 1, comprising both the first plate and the second plate, wherein both the first scintillator layer and the second scintillator layer are between the first and second plates. 28. An imaging device, comprising:a first scintillator layer;an array of detector elements, wherein the array of detector elements comprises a first detector element;a second scintillator layer, wherein the array of detector elements is located between the first scintillator layer and the second scintillator layer; anda first neutral density filter located between the first scintillator layer and the first detector element and/or a second neutral density filter located between the second scintillator layer and the first detector element;wherein the first detector element is configured to generate a first electrical signal in response to light from the first scintillator layer, and to generate a second electrical signal in response to light from the second scintillator layer;wherein the first electrical signal has a first feature value (e1), and the second electrical signal has a second feature value (e2); andwherein the first neutral density filter and/or the second neutral density filter is configured such that min (e1, e2)/max (e1, e2) is larger than a threshold. 29. The imaging device of claim 28, wherein the first feature value (e1) is a function of quantum efficiency QE1 of the first scintillator layer, optical yield α1 of the first scintillator layer, and optical sensitivity p1 of the first detector element associated with the first scintillator layer; andwherein the second feature value (e2) is a function of quantum efficiency QE2 of the second scintillator layer, optical yield α2 of the second scintillator layer, and optical sensitivity p2 of the first detector element associated with the second scintillator layer. 30. The imaging device of claim 28, wherein the first feature value (e1) is a function of detective quantum efficiency DQE1 of the first scintillator layer, optical yield α1 of the first scintillator layer, and optical sensitivity p1 of the first detector element associated with the first scintillator layer; andwherein the second feature value (e2) is a function of detective quantum efficiency DQE2 of the second scintillator layer, optical yield α2 of the second scintillator layer, and optical sensitivity p2 of the first detector element associated with the second scintillator layer. 31. The imaging device of claim 28, wherein the threshold is larger than 0.5. 32. The imaging device of claim 28, wherein the threshold is larger than 0.7. |
|
abstract | The present invention provides processes and apparatuses for mounting radiation treatment blocks on a radiation treatment block mounting plate, adjusting a radiation treatment block in a radiation treatment beam and forming a radiation treatment block. The present invention provides a method of mounting a radiation treatment block on a radiation treatment block mounting plate that minimizes or eliminates the creation of toxic and hazardous dust and waste and radiation treatment block misalignment problems. The apparatuses and processes of the present invention also provide an adjustable radiation treatment block mounting tray and method for easily and precisely adjusting a radiation treatment block to a radiation beam for the prescribed treatment of a patient. |
|
claims | 1. A control rod for inserting into a guide tube of a nuclear reactor fuel assembly of a nuclear reactor module, comprising:a first section including an active material for inserting into the guide tube and controlling a fission rate in a nuclear reactor core, the first section having a first outside diameter;a second section configured to attach to a head assembly of the nuclear reactor module;a dampening section located between the first section and the second section, wherein the dampening section has a second outside diameter larger than the first outside diameter such that, the dampening section reduces a separation distance between an outside surface of the control rod and an inside surface of the guide tube to decelerate the control rod when entering a top end of the guide tube; anda spring positioned inside the dampening section between the first section and the second section. 2. The control rod of claim 1, further comprising a cylindrical cladding including:a bottom portion at least partially defining the first section and retaining the active material, wherein the bottom portion has a first wall thickness; anda top portion at least partially defining the dampening section and having a second continuously increasing wall thickness. 3. The control rod of claim 2, wherein the spring is located in the top portion of the cladding above the active material. 4. The control rod of claim 1, wherein the second section has a third outside diameter, and wherein the second outside diameter of the dampening area continuously increases along the dampening section from the first outside diameter of the first section to the third outside diameter of the second section. 5. The control rod of claim 1, wherein the first section is shaped and sized to extend down to a bottom end of the guide tube when the dampening section enters a top end of the guide tube. 6. The control rod of claim 1, wherein the dampening section is shaped and sized to maintain a reduced separation distance when fully inserted into the top end of the guide tube. |
|
description | This application generally relates to processes involving induced nuclear reactions and structures which implement such processes including orifices or fluid control means at inlet, outlet or coolant channels and more particularly relates to a nuclear fission reactor, flow control assembly, methods therefor and a flow control assembly system. It is known that, in an operating nuclear fission reactor, neutrons of a known energy are absorbed by nuclides having a high atomic mass. The resulting compound nucleus separates into fission products that include two lower atomic mass fission fragments and also decay products. Nuclides known to undergo such fission by neutrons of all energies include uranium-233, uranium-235 and plutonium-239, which are fissile nuclides. For example, thermal neutrons having a kinetic energy of 0.0253 eV (electron volts) can be used to fission U-235 nuclei. Fission of thorium-232 and uranium-238, which are fertile nuclides, will not undergo induced fission, except with fast neutrons that have a kinetic energy of at least 1 MeV (million electron volts). The total kinetic energy released from each fission event is about 200 MeV. This kinetic energy is eventually transformed into heat. In nuclear reactors, the afore-mentioned fissile and/or fertile material is typically housed in a plurality of closely packed together fuel assemblies, which define a nuclear reactor core. It has been observed that heat build-up may cause such closely packed together fuel assemblies and other reactor components to undergo differential thermal expansion leading to misalignment of the reactor core components. Heat build-up may also contribute to fuel rod creep that can increase risk of fuel rod swelling and fuel rod cladding rupture during reactor operation. This may increase the risk that fuel pellets might crack and/or fuel rods might bow. Fuel pellet cracking may precede pellet-cladding failure mechanisms, such as pellet-clad mechanical interaction, and lead to fission gas release. Fission gas release can produce higher than normal radiation levels in the reactor core. Fuel rod bow may lead to obstruction of coolant flow channels. Attempts have been made to provide adequate coolant flow to nuclear reactor fuel assemblies. U.S. Pat. No. 4,505,877, issued Mar. 19, 1985 in the name of Jacky Rion and titled “Device for Regulating the Flow of a Fluid”, discloses a device comprising a series of gratings perpendicular to the fluid flow and that change direction of the fluid flow. According to the Rion patent, this device is intended for use in the regulation of the direction of a cooling fluid circulating in the base of a liquid metal-cooled nuclear reactor assembly. The device is directed toward bringing about a given pressure drop for a given nominal flow rate and a given down-stream pressure, without producing cavitation. Another attempt to provide adequate coolant flow to nuclear reactor fuel assemblies is disclosed in U.S. Pat. No. 5,066,453, issued Nov. 19, 1991 in the names of Neil G. Heppenstall et al. and titled “Nuclear Fuel Assembly Coolant Control.” This patent discloses an apparatus for controlling the flow of coolant through a nuclear fuel assembly, the apparatus comprising a variable flow restrictor locatable in the fuel assembly, means responsive to neutron radiation at a location in the fuel assembly in a manner to cause neutron induced growth of the responsive means, and a connecting means for connecting the neutron radiation responsive means to the variable flow restrictor for controlling the flow of coolant through the fuel assembly. The variable flow restrictor comprises a plurality of longitudinally aligned ducts, and a plugging means having an array of plugging members locatable in some of the ducts, the plugging members being of different lengths so that longitudinal displacement of the plugging means by the connecting means progressively opens or closes some of the ducts. Yet another attempt to provide adequate coolant flow to nuclear reactor fuel assemblies is disclosed in U.S. Pat. No. 5,198,185 issued Mar. 30, 1993 in the name of John P. Church and titled “Nuclear Reactor Flow Control Method and Apparatus.” This patent appears to disclose a coolant flow distribution that results in improved flow during accident conditions without degrading flow during nominal conditions. According to this patent, a universal sleeve housing surrounds a fuel element. The universal sleeve housing has a plurality of holes to allow passage of coolant. A variation is imposed in the number and size of holes in the sleeve housings from one sleeve to another to increase amount of coolant flowing to the fuel in the center of the core and decrease, relatively, flow to the peripheral fuel. Also, according to this patent, varying the number of holes and size of holes can meet a particular power shape across the core. According to an aspect of this disclosure, there is provided a nuclear fission reactor, comprising a nuclear fission module configured to have at least a portion of a traveling burn wave at a location relative to the nuclear fission module; and a flow control assembly configured to be coupled to the nuclear fission module and configured to modulate flow of a fluid in response to the traveling burn wave at the location relative to the nuclear fission module. According to an another aspect of the disclosure there is provided a nuclear fission reactor, comprising a heat-generating nuclear fission fuel assembly configured to have at least a portion of a traveling burn wave at a location relative to the nuclear fission fuel assembly; and a flow control assembly configured to be coupled to the nuclear fission fuel assembly and capable of modulating flow of a fluid stream in response to the traveling burn wave at the location relative to the nuclear fission fuel assembly. According to yet another aspect of the disclosure there is provided, for use in a traveling wave nuclear fission reactor, a flow control assembly, comprising a flow regulator subassembly. According to another aspect of the disclosure there is provided, for use in a nuclear fission reactor, a flow control assembly, comprising a flow regulator subassembly, the flow regulator subassembly including a first sleeve having a first hole; a second sleeve configured to be inserted into the first sleeve, the second sleeve having a second hole alignable with the first hole, the first sleeve being configured to rotate for bringing the first hole into alignment with the second hole; and a carriage subassembly configured to be coupled to the flow regulator subassembly. According to still another aspect of the disclosure there is provided, for use in a traveling wave nuclear fission reactor, a flow control assembly configured to be connected to a fuel assembly, comprising an adjustable flow regulator subassembly configured to be disposed in a fluid stream. According to a further aspect of the disclosure there is provided, for use in a nuclear fission reactor, a flow control assembly configured to be connected to a fuel assembly, comprising an adjustable flow regulator subassembly configured to be disposed in a fluid stream, the adjustable flow regulator subassembly including a first sleeve having a first hole; and a second sleeve configured to be inserted into the first sleeve, the second sleeve having a second hole, the first hole being progressively alignable with the second hole, whereby a variable amount of the fluid stream flows through the first hole and the second hole as the first hole progressively aligns with the second hole, the first sleeve being configured to axially translate relative to the second sleeve for aligning the second hole with the first hole. According to an additional aspect of the disclosure there is provided, for use in a nuclear fission reactor, a flow control assembly configured to be connected to a fuel assembly, comprising an adjustable flow regulator subassembly; and a carriage subassembly coupled to the adjustable flow regulator subassembly for adjusting the adjustable flow regulator subassembly. According to another aspect of the disclosure there is provided, for use in a nuclear fission reactor, a flow control assembly couplable to a selected one of a plurality of nuclear fission fuel assemblies arranged for disposal in the nuclear fission reactor, comprising an adjustable flow regulator subassembly for modifying flow of a fluid stream flowing through the selected one of the plurality of nuclear fission fuel assemblies, the adjustable flow regulator subassembly including an outer sleeve having a plurality of first holes; an inner sleeve inserted into the outer sleeve, the inner sleeve having a plurality of second holes, the first holes being progressively alignable with the second holes for defining a variable flow area, whereby a variable amount of the fluid stream flows through the first holes and the second holes as the first holes and the second holes progressively align to define the variable flow area; and a carriage subassembly coupled to the adjustable flow regulator subassembly for adjusting the adjustable flow regulator subassembly. According to a further aspect of the disclosure there is provided a method of operating a nuclear fission reactor, comprising producing at least a portion of a traveling burn wave at a location relative to a nuclear fission module; and operating a flow control assembly coupled to the nuclear fission module to modulate flow of a fluid in response to the location relative to the nuclear fission module. According to another aspect of the disclosure there is provided a method of assembling a flow control assembly for use in a traveling wave nuclear fission reactor, comprising receiving a flow regulator subassembly. According to another aspect of the disclosure there is provided a method of assembling a flow control assembly for use in a traveling wave nuclear fission reactor, comprising receiving a carriage subassembly. According to another aspect of the disclosure there is provided a method of assembling a flow control assembly for use in a nuclear fission reactor, comprising receiving a first sleeve having a first hole; inserting a second sleeve into the first sleeve, the second sleeve having a second hole alignable with the first hole, the first sleeve being configured to rotate for axially translating the first hole into alignment with the second hole; and coupling a carriage assembly to the flow regulator subassembly. According to an additional aspect of the disclosure there is provided, for use in a traveling wave nuclear fission reactor, a flow control assembly system, comprising a flow regulator subassembly. According to another aspect of the disclosure there is provided, for use in a nuclear fission reactor, a flow control assembly system, comprising a flow regulator subassembly, the flow regulator subassembly including a first sleeve having a first hole; a second sleeve configured to be inserted into the first sleeve, the second sleeve having a second hole alignable with the first hole, the first sleeve being configured to rotate for axially translating the first hole into alignment with the second hole; and a carriage subassembly configured to be coupled to the flow regulator subassembly. According to yet another aspect of the disclosure there is provided, for use in a nuclear fission reactor, a flow control assembly system configured to be connected to a nuclear fission fuel assembly, comprising an adjustable flow regulator subassembly configured to be disposed in a fluid stream. According to another aspect of the disclosure there is provided, for use in a nuclear fission reactor, a flow control assembly system couplable to a selected one of a plurality of nuclear fission fuel assemblies disposed in the nuclear fission reactor, comprising an adjustable flow regulator subassembly for controlling flow of a fluid stream flowing through the selected one of the plurality of nuclear fission fuel assemblies, the adjustable flow regulator subassembly including an outer sleeve having a plurality of first holes; an inner sleeve inserted into the outer sleeve, the inner sleeve having a plurality of second holes, the first holes being progressively alignable with the second holes for defining a variable flow area, whereby a variable amount of the fluid stream flows through the first holes and the second holes as the first holes and the second holes progressively align to define the variable flow area; and a carriage subassembly coupled to the adjustable flow regulator subassembly for adjusting the adjustable flow regulator subassembly. A feature of the present disclosure is the provision of a flow control assembly capable of controlling flow of a fluid in response to location of a burn wave. Another feature of the present disclosure is the provision of a flow control assembly comprising a flow regulator subassembly including an outer sleeve and an inner sleeve, the outer sleeve having a first hole and the inner sleeve having a second hole alignable with the first hole, whereby an amount of a fluid stream flows through the first hole and the second hole as the second hole aligns with the first hole. An additional feature of the present disclosure is the provision of a carriage subassembly configured to be coupled to the flow regulator subassembly for carrying and configuring the flow regulator subassembly. In addition to the foregoing, various other method and/or device aspects are set forth and described in the teachings such as text (e.g., claims and/or detailed description) and/or drawings of the present disclosure. The foregoing is a summary and thus may contain simplifications, generalizations, inclusions, and/or omissions of detail; consequently, those skilled in the art will appreciate that the summary is illustrative only and is not intended to be in any way limiting. In addition to the illustrative aspects, embodiments, and features described above, further aspects, embodiments, and features will become apparent by reference to the drawings and the following detailed description. In the following detailed description, reference is made to the accompanying drawings, which form a part hereof. In the drawings, similar symbols typically identify similar components, unless context dictates otherwise. The illustrative embodiments described in the detailed description, drawings, and claims are not meant to be limiting. Other embodiments may be utilized, and other changes may be made, without departing from the spirit or scope of the subject matter presented herein. In addition, the present application uses formal outline headings for clarity of presentation. However, it is to be understood that the outline headings are for presentation purposes, and that different types of subject matter may be discussed throughout the application (e.g., device(s)/structure(s) may be described under process(es)/operations heading(s) and/or process(es)/operations may be discussed under structure(s)/process(es) headings; and/or descriptions of single topics may span two or more topic headings). Hence, the use of the formal outline headings is not intended to be in any way limiting. Moreover, the herein described subject matter sometimes illustrates different components contained within, or connected with, different other components. It is to be understood that such depicted architectures are merely exemplary, and that in fact many other architectures may be implemented which achieve the same functionality. In a conceptual sense, any arrangement of components to achieve the same functionality is effectively “associated” such that the desired functionality is achieved. Hence, any two components herein combined to achieve a particular functionality can be seen as “associated with” each other such that the desired functionality is achieved, irrespective of architectures or intermedial components. Likewise, any two components so associated can also be viewed as being “operably connected”, or “operably coupled,” to each other to achieve the desired functionality, and any two components capable of being so associated can also be viewed as being “operably couplable,” to each other to achieve the desired functionality. Specific examples of operably couplable include but are not limited to physically mateable and/or physically interacting components, and/or wirelessly interactable, and/or wirelessly interacting components, and/or logically interacting, and/or logically interactable components. In some instances, one or more components may be referred to herein as “configured to,” “configurable to,” “operable/operative to,” “adapted/adaptable,” “able to,” “conformable/conformed to,” etc. Those skilled in the art will recognize that “configured to,” “configurable to,” “operable/operative to,” “adapted/adaptable,” “able to,” “conformable/conformed to,” etc. can generally encompass active-state components and/or inactive-state components and/or standby-state components, unless context requires otherwise. With respect to the present disclosure and as previously mentioned, in many cases, for every neutron that is absorbed in a fissile nuclide, more than one neutron is liberated until the fissile nuclei are depleted. This phenomenon is used in a commercial nuclear reactor to produce continuous heat that, in turn, is used to generate electricity. However, heat damage to reactor structural materials may occur due to “peak” temperature (i.e., hot channel peaking factor) which occurs due to uneven neutron flux distribution in the reactor core. As well known in the art, neutron flux is defined as the number of neutrons passing through a unit area per unit time. This peak temperature is, in turn, due to heterogeneous control rod/fuel rod distribution. The heat damage may occur if the peak temperature exceeds material limits. In addition, reactors operating in the fast neutron spectrum may be designed to have a fertile fuel “breeding blanket” material present at the core periphery. Such reactors will tend to breed fuel into the breeding blanket material through neutron absorption. This results in an increasing power output in the reactor periphery as the reactor approaches the end of a fuel cycle. Flow of coolant through the peripheral assemblies at the beginning of a reactor fuel cycle can maintain a safe operating temperature and account for the increase in power which will occur as burn-up increases during the fuel cycle. A “reactivity” (i.e., change in reactor power) is produced because of fuel “burnup”. Burn-up is typically defined as the amount of energy generated per unit mass of fuel and is usually expressed in units of megawatt-days per metric tonne of heavy metal (MWd/MTHM) or gigawatt-days per metric tonne of heavy metal (GWd/MTHM). More specifically, reactivity change is related to the relative ability of the reactor to produce more or less neutrons than the exact amount to sustain a critical chain reaction. Responsiveness of a reactor is typically characterized as the time derivative of a reactivity change causing the reactor to increase or decrease in power exponentially. In this regard, control rods made of neutron absorbing material are typically used to adjust and control the changing reactivity. Such control rods are reciprocated in and out of the reactor core to variably control neutron absorption and thus the neutron flux level and reactivity in the reactor core. The neutron flux level is depressed in the vicinity of the control rod and potentially higher in areas remote from the control rod. Thus, the neutron flux is not uniform across the reactor core. This results in higher fuel burnup in those areas of higher neutron flux. Also, it may be appreciated by a person of ordinary skill in the art of nuclear power production, that neutron flux and power density variations are due to many factors. Proximity to a control rod may or may not be the primary factor. For example, the neutron flux typically drops significantly at core boundaries with no nearby control rod. These effects, in turn, may cause overheating or peak temperatures in those areas of higher neutron flux. Such peak temperatures may undesirably reduce the operational life of structures subjected to such peak temperatures by altering the mechanical properties of the structures. Also, reactor power density, which is proportional to the product of the neutron flux and the fissile fuel concentration, is limited by the ability of core structural materials to withstand such peak temperatures without damage. Therefore, referring to FIG. 1, by way of example only and not by way of limitation, there is shown a nuclear fission reactor, generally referred to as 10, that addresses the concerns recited hereinabove. As described more fully hereinbelow, reactor 10 may be a traveling wave nuclear fission reactor. Nuclear fission reactor 10 generates electricity that is transmitted over a plurality of transmission lines (not shown) to users of the electricity. Reactor 10 alternatively may be used to conduct tests, such as tests to determine effects of temperature on reactor materials. Referring to FIGS. 1, 1A, 1B and 2, reactor 10 comprises a nuclear fission reactor core, generally referred to as 20, that includes a plurality of nuclear fission fuel assemblies or, as also referred to herein, nuclear fission modules 30. Nuclear fission reactor core 20 is sealingly housed within a reactor core enclosure 35. By way of example only and not by way of limitation, each nuclear fission module 30 may form a hexagonally-shaped structure in transverse cross-section, as shown, so that more nuclear fission modules 30 may be closely packed together within reactor core 20, as compared to most other shapes for nuclear fission module 30, such as cylindrical or spherical shapes. Each nuclear fission module 30 comprises a plurality of fuel rods 40 for generating heat due to the aforementioned nuclear fission chain reaction process. Fuel rods 40 may be surrounded by a fuel rod canister 43, if desired, for adding structural rigidity to nuclear fission modules 30 and for segregating nuclear fission modules 30 one from another. Segregating nuclear fission modules 30 one from another avoids transverse coolant cross flow between adjacent nuclear fission modules 30. Avoiding transverse coolant cross flow prevents transverse vibration of nuclear fission modules 30. Such transverse vibration might otherwise increase risk of damage to fuel rods 40. In addition, segregating nuclear fission modules 30 one from another allows control of coolant flow on an individual module-by-module basis, as described more fully hereinbelow. Controlling coolant flow to individual, preselected nuclear fission modules 30 efficiently manages coolant flow within reactor core 20, such as directing coolant flow substantially according to the nonuniform temperature distribution in reactor core 20. Canister 43 may include an annular shoulder portion 46 (see FIG. 7) for resting bundled together fuel rods 40 thereon. The coolant may have an average nominal volumetric flow rate of approximately 5.5 m3/sec (i.e., approximately 194 cubic ft3/sec) and an average nominal velocity of approximately 2.3 m/sec (i.e., approximately 7.55 ft/sec) in the case of an exemplary sodium cooled reactor during normal operation. Fuel rods 40 are adjacent one to another and define a coolant flow channel 47 (see FIG. 7) therebetween for allowing flow of coolant along the exterior of fuel rods 40. Fuel rods 40 are bundled together so as to form the previously mentioned hexagonal nuclear fission modules 30. Although fuel rods 40 are adjacent to each other, fuel rods 40 are nonetheless maintained in a spaced-apart relationship by a wire wrapper 50 (see FIG. 7) that extends spirally along the length of each fuel rod 40, according to techniques known by persons of skill in the art of nuclear power reactor design. With particular reference to FIG. 1B, each fuel rod 40 has a plurality of nuclear fuel pellets 60 stacked end-to-end therein, which nuclear fuel pellets 60 are sealingly surrounded by a fuel rod cladding material 70. Nuclear fuel pellets 60 comprise the afore-mentioned fissile nuclide, such as uranium-235, uranium-233 or plutonium-239. Alternatively, nuclear fuel pellets 60 may comprise a fertile nuclide, such as thorium-232 and/or uranium-238 which will be transmuted during the fission process into the fissile nuclides mentioned immediately hereinabove. A further alternative is that nuclear fuel pellets 60 may comprise a predetermined mixture of fissile and fertile nuclides. More specifically, by way of example only and not by way of limitation, nuclear fuel pellets 60 may be made from an oxide selected from the group consisting essentially of uranium monoxide (UO), uranium dioxide (UO2), thorium dioxide (ThO2) (also referred to as thorium oxide), uranium trioxide (UO3), uranium oxide-plutonium oxide (UO—PuO), triuranium octoxide (U3O8) and mixtures thereof. Alternatively, nuclear fuel pellets 60 may substantially comprise uranium either alloyed or unalloyed with other metals, such as, but not limited to, zirconium or thorium metal. As yet another alternative, nuclear fuel pellets 60 may substantially comprise a carbide of uranium (UCx) or a carbide of thorium (ThCx). For example, nuclear fuel pellets 60 may be made from a carbide selected from the group consisting essentially of uranium monocarbide (UC), uranium dicarbide (UC2), uranium sesquicarbide (U2C3), thorium dicarbide (ThC2), thorium carbide (ThC) and mixtures thereof. As another non-limiting example, nuclear fuel pellets 60 may be made from a nitride selected from the group consisting essentially of uranium nitride (U3N2), uranium nitride-zirconium nitride (U3N2Zr3N4), uranium-plutonium nitride ((U—Pu)N), thorium nitride (ThN), uranium-zirconium alloy (UZr) and mixtures thereof. Fuel rod cladding material 70, which sealingly surrounds the stack of nuclear fuel pellets 60, may be a suitable zirconium alloy, such as ZIRCOLOY™ (trademark of the Westinghouse Electric Corporation), which has known resistance to corrosion and cracking. Cladding 70 may be made from other materials, as well, such as ferritic martensitic steels. As best seen in FIG. 1, reactor core 20 is disposed within a reactor pressure vessel 80 for preventing leakage of radioactive particles, gasses or liquids from reactor core 20 to the surrounding biosphere. Pressure vessel 80 may be steel, concrete or other material of suitable size and thickness to reduce risk of such radiation leakage and to support required pressure loads. In addition, there may be a containment vessel (not shown) sealingly surrounding parts of reactor 10 for added assurance that leakage of radioactive particles, gasses or liquids from reactor core 20 to the surrounding biosphere is prevented. Referring again to FIG. 1, a primary loop coolant pipe 90 is coupled to reactor core 20 for allowing a suitable coolant to flow through reactor core 20 in order to cool reactor core 20. Primary loop coolant pipe 90 may be made from any suitable material, such as stainless steel. It may be appreciated that, if desired, primary coolant loop pipe 90 may be made not only from ferrous alloys, but also from non-ferrous alloys, zirconium-based alloys or other structural materials or composites. The coolant carried by primary loop coolant pipe 90 may be a noble gas or mixture of noble gases. Alternatively, the coolant may be other fluids such as “light” water (H2O) or gaseous or supercritical carbon dioxide (CO2). As another example, the coolant may be a liquid metal. Such a liquid metal may be a lead (Pb) alloy, such as lead-bismuth (Pb—Bi). Further, the coolant may be an organic-based coolant, such as a polyphenyl or a fluorocarbon. In the exemplary embodiment disclosed herein, the coolant may suitably be a liquid sodium (Na) metal or sodium metal mixture, such as sodium-potassium (Na—K). As an example and depending on the particular reactor core design and operating history, normal operating temperature of a sodium-cooled reactor core may be relatively high. For instance, in the case of a 500 to 1,500 MWe sodium-cooled reactor with mixed uranium-plutonium oxide fuel, the reactor core outlet temperature during normal operation may range from approximately 510° Celsius (i.e., 950° Fahrenheit) to approximately 550° Celsius (i.e., 1,020° Fahrenheit). On the other hand, during a LOCA (Loss Of Coolant Accident) or LOFTA (Loss of Flow Transient Accident) peak fuel cladding temperatures may reach about 600° Celsius (i.e. 1,110° Fahrenheit) or more, depending on reactor core design and operating history. Moreover, decay heat build-up during post-LOCA or post-LOFTA scenarios and also during suspension of reactor operations may produce unacceptable heat accumulation. In some cases, therefore, it is appropriate to control coolant flow to reactor core 20 during both normal operation and post accident scenarios. Moreover, the temperature profile in reactor core 20 varies as a function of location. In this regard, the temperature distribution in reactor core 20 may closely follow the power density spatial distribution in reactor core 20. It is known that the power density near the center of reactor core 20 is generally higher than near the periphery of reactor core 20, in the absence of a suitable neutron reflector or neutron breeding “blanket” surrounding the periphery of reactor core 20. Thus, it is to be expected that coolant flow parameters for nuclear fission modules 30 near the periphery of reactor core 20 would be less than coolant flow parameters for nuclear fission modules 30 near the center of reactor core 20, especially at the beginning of core life. Hence, in this case, it would be unnecessary to provide the same or uniform coolant mass flow rate to each nuclear fission module 30. As described in detail hereinbelow, a technique is provided to vary coolant flow to individual nuclear fission modules 30 depending on location of nuclear fission modules 30 in reactor core 20 and desired reactor operating results. Still referring to FIG. 1, the heat-bearing coolant generated by reactor core 20 flows along a coolant flow path 95 to an intermediate heat exchanger 100, for reasons described presently. The coolant flowing along coolant flow path 95 flows through intermediate heat exchanger 100 and into a plenum volume 105 associated with intermediate heat exchanger 100. After flowing into plenum volume 105, the coolant continues through primary loop pipe 90, as shown by a plurality of arrows 107. It may be appreciated that the coolant leaving plenum volume 105 has been cooled due to the heat transfer occurring in intermediate heat exchanger 100. A first pump 110 is coupled to primary loop pipe 90, and is in fluid communication with the reactor coolant carried by primary loop pipe 90, for pumping the reactor coolant through primary loop pipe 90, through reactor core 20, along coolant flow path 95, into intermediate heat exchanger 100, and into plenum volume 105. Referring again to FIG. 1, a secondary loop pipe 120 is provided for removing heat from intermediate heat exchanger 100. Secondary loop pipe 120 comprises a secondary “hot” leg pipe segment 130 and a secondary “cold” leg pipe segment 140. Secondary cold leg pipe segment 140 is integrally formed with secondary hot leg pipe segment 130 so as to form a closed loop that defines secondary loop pipe 120, as shown. Secondary loop pipe 120, which is defined by hot leg pipe segment 130 and cold leg pipe segment 140, contains a fluid, which suitably may be liquid sodium or a liquid sodium mixture. Secondary hot leg pipe segment 130 extends from intermediate heat exchanger 100 to a steam generator and superheater combination 143 (hereinafter referred to as “steam generator 143”), for reasons described momentarily. After passing through steam generator 143, the coolant flowing through secondary loop pipe 120 and exiting steam generator 143 is at a lower temperature than before entering steam generator 143 due to the heat transfer occurring within steam generator 143. After passing through steam generator 143, the coolant is pumped, such as by means of a second pump 145, along “cold” leg pipe segment 140, which terminates in intermediate heat exchanger 100. The manner in which steam generator 143 generates steam is generally described immediately hereinbelow. Referring yet again to FIG. 1, disposed in steam generator 143 is a body of water 150 maintained at a predetermined temperature and pressure. The fluid flowing through secondary hot leg pipe segment 130 will surrender its heat to body of water 150, which is at a lower temperature than the fluid flowing through secondary hot leg pipe segment 130. As the fluid flowing through secondary hot leg pipe segment 130 surrenders its heat to body of water 150, a portion of body of water 150 will vaporize to steam 160 according to the temperature and pressure within steam generator 143. Steam 160 will then travel through a steam line 170 which has one end thereof in vapor communication with steam 160 and another end thereof in liquid communication with body of water 150. A rotatable turbine 180 is coupled to steam line 170, such that turbine 180 rotates as steam 160 passes therethrough. An electrical generator 190, which is connected to turbine 180, such as by a rotatable turbine shaft 195, generates electricity as turbine 180 rotates. In addition, a condenser 200 is coupled to steam line 170 and receives the steam passing through turbine 180. Condenser 200 condenses the steam to liquid water and passes any waste heat to a heat sink, such as a cooling tower 210, which is associated with reactor 10. The liquid water condensed by condenser 200 is pumped along steam line 170 from condenser 200 to steam generator 143 by means of a third pump 220 interposed between condenser 200 and steam generator 143. Turning now to FIGS. 2, 3 and 4, there are shown in transverse cross section, exemplary configurations for reactor core 20. In this regard, nuclear fission modules 30 may be arranged to define a hexagonally-shaped configuration, generally referred to as 230, for reactor core 20. Alternatively, nuclear fission modules 30 may be arranged to define a cylindrically-shaped configuration, generally referred to as 240, for reactor core 20. As another alternative, nuclear fission modules 30 may be arranged to define a parallelpiped-shaped configuration, generally referred to as 250, for reactor core 20. In this regard, reactor core 250 has a first end 252 and a second end 254 for reasons provided hereinbelow. Referring to FIG. 5, regardless of the configuration chosen for reactor core 20, a plurality of spaced-apart, longitudinally extending and longitudinally movable control rods 260 are symmetrically disposed within a control rod guide tube or cladding (not shown), extending the length of a predetermined number of nuclear fission modules 30. Control rods 260, which are shown disposed in a predetermined number of the hexagonally-shaped nuclear fission modules 30, control the neutron fission reaction occurring in nuclear fission modules 30. Control rods 260 comprise a suitable neutron absorber material having an acceptably high neutron absorption cross-section. In this regard, the absorber material may be a metal or metalloid selected from the group consisting essentially of lithium, silver, indium, cadmium, boron, cobalt, hafnium, dysprosium, gadolinium, samarium, erbium, europium and mixtures thereof. Alternatively, the absorber material may be a compound or alloy selected from the group consisting essentially of silver-indium-cadmium, boron carbide, zirconium diboride, titanium diboride, hafnium diboride, gadolinium titanate, dysprosium titanate and mixtures thereof. Control rods 260 will controllably supply negative reactivity to reactor core 20. Thus, control rods 260 provide a reactivity management capability to reactor core 20. In other words, control rods 260 are capable of controlling or are configured to control the neutron flux profile across reactor core 20 and thus influence the temperature profile across reactor core 20. Referring to FIGS. 5A and 5B, alternative embodiments of nuclear fission module 30 are shown. It may be appreciated that nuclear fission module 30 need not be neutronically active. In other words, nuclear fission module 30 need not contain any fissile material. In this case, nuclear fission module 30 may be a purely reflective assembly or a purely fertile assembly or a combination of both. In this regard, nuclear fission module 30 may be a breeder nuclear fission module comprising nuclear breeding material or a reflective nuclear fission module comprising reflective material. Alternatively, in one embodiment, nuclear fission module 30 may contain fuel rods 40 in combination with nuclear breeding rods or reflector rods. For example, in FIG. 5A, a plurality of fertile nuclear breading rods 270 are disposed in nuclear fission module 30 in combination with fuel rods 40. Control rods 260 may also be present. The fertile nuclear breeding material in nuclear breeding rods 270 may be thorium-232 and/or uranium-238, as mentioned hereinabove. In this manner, nuclear fission module 30 defines a fertile nuclear breeding assembly. In FIG. 5B, a plurality of neutron reflector rods 274 are disposed in nuclear fission module 30 in combination with fuel rods 40. Control rods 260 may also be present. The reflector material may be a material selected from the group consisting essentially of beryllium (Be), tungsten (W), vanadium (V), depleted uranium (U), thorium (Th), lead alloys and mixtures thereof. Also, reflector rods 274 may be selected from a wide variety of steel alloys. In this manner, nuclear fission module 30 defines a neutron reflector assembly. Moreover, it may be appreciated by a person of ordinary skill in the art of nuclear in-core fuel management that nuclear fission module 30 may include any suitable combination of nuclear fuel rods 40, control rods 260, breeding rods 270 and reflector rods 274. FIG. 5C shows another embodiment of the previously mentioned reactor core 250. In FIG. 5C, a breeding blanket comprising a plurality of breeding nuclear fission modules 276 containing fertile material are disposed around an interior periphery of parallelpiped reactor core 250. The breeding blanket breeds fissile material therein. Returning to FIG. 4, regardless of the configuration selected for nuclear fission reactor core 20, the nuclear fission reactor core 20 may be configured as a traveling wave nuclear fission reactor core, such as exemplary reactor core 250. In this regard, a comparatively small and removable nuclear fission igniter 280, that includes a moderate isotopic enrichment of nuclear fissionable material, such as, without limitation, U-233, U-235 or Pu-239, is suitably located in reactor core 250. By way of example only and not by way of limitation, igniter 280 may be located near first end 252 that is opposite second end 254 of reactor core 250. Neutrons are released by igniter 280. The neutrons that are released by igniter 280 are captured by fissile and/or fertile material within nuclear fission modules 30 to initiate the fission chain reaction. Igniter 280 may be removed once the fission chain reaction becomes self-sustaining, if desired. Referring again to FIG. 4, igniter 280 initiates a three-dimensional, traveling deflagration wave or “burn wave” 290 having a width “x”. When igniter 280 releases its neutrons to cause “ignition”, burn wave 290 travels outwardly from igniter 280 near first end 252 and toward second end 254 of reactor core 250, so as to form the propagating burn wave 290. In other words, each nuclear fission module 30 is capable of accepting at least a portion of traveling burn wave 290 as burn wave 290 propagates through reactor core 250. Speed of the traveling burn wave 290 may be constant or non-constant. Thus, the speed at which burn wave 290 propagates can be controlled. For example, longitudinal movement of the previously mentioned control rods 260 (see FIG. 5) in a predetermined or programmed manner can drive down or lower neutronic reactivity of fuel rods 40 that are disposed in nuclear fission modules 30. In this manner, neutronic reactivity of fuel rods 40 that are presently being burned at the location of burn wave 290 is driven down or lowered relative to neutronic reactivity of “unburned” fuel rods 40 ahead of burn wave 290. This result gives the burn wave propagation direction indicated by an arrow 295. The basic principles of such a traveling wave nuclear fission reactor is disclosed in more detail in co-pending U.S. patent application Ser. No. 11/605,943 filed Nov. 28, 2006 in the names of Roderick A. Hyde, et al. and titled “Automated Nuclear Power Reactor For Long-Term Operation”, which application is assigned to the assignee of the present application, the entire disclosure of which is hereby incorporated by reference. Referring to FIGS. 6 and 7, there are shown upright adjacent hexagonally-shaped nuclear fission modules 30. Only three adjacent nuclear fission modules 30 are shown, it being understood that a greater number of nuclear fission modules 30 are present in reactor core 20. In addition, each nuclear fission module 30 comprises the plurality of the previously mentioned fuel rods 40. Each nuclear fission module 30 is mounted on a horizontally extending reactor core lower support plate 360. Reactor core lower support plate 360 extends across all nuclear fission modules 30. Reactor core lower support plate 360 has a counter bore 370 therethrough for reasons provided hereinbelow. Counter bore 370 has an open end 380 for allowing flow of coolant thereinto. Horizontally extending across a top portion or exit portion of each nuclear fission module 30 and removably connected thereto is a reactor core upper support plate 400 that caps each nuclear fission module 30. Reactor core upper support plate 400 also defines a plurality of flow slots 410 for allowing flow of coolant therethrough. As previously mentioned, it is important to control the temperature of reactor core 20 and the nuclear fission modules 30 therein, regardless of the configuration selected for reactor core 20. Proper temperature control is important for several reasons. For example, heat damage may occur to reactor core structural materials if the peak temperature exceeds material limits. Such peak temperatures may undesirably reduce the operational life of structures subjected to such peak temperatures by altering the mechanical properties of the structures, particularly those properties relating to thermal creep. Also, reactor power density is limited by the ability of core structural materials to withstand such high temperatures without damage. In addition, reactor 10 alternatively may be used to conduct tests, such as tests to determine affects of temperature on reactor materials. Controlling reactor core temperature is important for successfully conducting such tests. In addition, nuclear fission modules 30 residing at or near the center of reactor core 20 may generate more heat than nuclear fission modules 30 residing at or near the periphery of reactor core 20 in the absence of a neutron reflector or neutron breeding blanket surrounding the periphery of reactor core 20. Therefore, it would be inefficient to supply a uniform coolant mass flow rate across reactor core 20 because hotter nuclear fission modules 30 near the center of reactor core 20 would involve a higher coolant mass flow rate than nuclear fission modules 30 near the periphery of reactor core 20. The disclosure herein provides a technique to address these concerns. With reference to FIGS. 1, 6 and 7, first pump 110 and primary loop pipe 90 deliver reactor coolant to nuclear fission modules 30 along a coolant flow path or fluid stream indicated by flow arrows 420. The primary coolant then continues along coolant flow path 420 and through open end 380 that is formed in lower support plate 360. As described in more detail hereinbelow, the reactor coolant can be used to remove heat from or cool selected ones of nuclear fission modules 30 at the location of traveling burn wave 290. The nuclear fission module 30 may be selected, at least in part, on the basis of whether or not burn wave 290 is located, detected, or otherwise resides within or in the vicinity of the nuclear fission module 30, as described in more detail hereinbelow. Referring again to FIGS. 1, 6 and 7, in order to achieve the desired result of cooling the selected one of nuclear fission modules 30, an adjustable flow regulator subassembly 430 is coupled to nuclear fission module 30. Flow regulator subassembly 430 controls flow of the coolant in response to the location of burn wave 290 (see FIG. 4) relative to nuclear fission modules 30 and also in response to certain operating parameters associated with nuclear fission module 30. In other words, flow regulator subassembly 430 is capable of supplying or is configured to supply a relatively lesser amount of coolant to nuclear fission module 30 when a lesser amount of burn wave 290 (i.e., lesser intensity of burn wave 290) is present within nuclear fission module 30. On the other hand, flow regulator subassembly 430 is capable of supplying or is configured to supply a relatively greater amount of coolant to nuclear fission module 30 when a greater amount of burn wave 290 (i.e., greater intensity of burn wave 290) is present within nuclear fission module 30. Presence and intensity of burn wave 290 may be identified by heat generation rate, neutron flux level, power level or other suitable operating characteristic associated with nuclear fission module 30. Referring to FIGS. 7, 8, 8A, 8B, 8C, and 8D, adjustable flow regulator subassembly 430 extends through counter bore 370 for regulating flow of fluid stream 420 into nuclear fission module 30. It will be understood by a person of ordinary skill in the art that, in order to regulate flow of fluid stream 420, flow regulator subassembly 430 provides a controllable flow resistance. Flow regulator subassembly 430 comprises a generally cylindrical first or outer sleeve 450 having a plurality of first ligaments 460, which define respective ones of a plurality of axially spaced-apart first holes or first controllable flow apertures 470 radially distributed around outer sleeve 450. Outer sleeve 450 further comprises a first nipple 480 which may have an hexagonally-shaped transverse cross section for reasons provided hereinbelow. First nipple 480 defines a threaded internal cavity 500 for reasons provided hereinbelow. Referring again to FIGS. 7, 8, 8A, 8B, 8C and 8D, flow regulator subassembly 430 further comprises a generally cylindrical second or inner sleeve 530 that is threadably received into outer sleeve 450, as disclosed in more detail hereinbelow. In one embodiment, inner sleeve 530 may be integrally formed with nuclear fission module 30 during fabrication of fission module 30, such that inner sleeve 530 is a permanent portion of nuclear fission module 30. In another embodiment, inner sleeve 530 may be removably connected to nuclear fission module 30, such that inner sleeve 530 is readily separable from nuclear fission module 30 and hence not a permanent portion of nuclear fission module 30. In either embodiment, inner sleeve 530 comprises a plurality of second ligaments 540, which define respective ones of a plurality of axially spaced-apart second holes or second controllable flow apertures 550 radially distributed around inner sleeve 530. Inner sleeve 530 further comprises an externally threaded second nipple 560 sized to be threadably received into threaded internal cavity 500 of bottom portion 490 that belongs to outer sleeve 450. A top portion 570 of inner sleeve 530 includes a cap 580, which may or may not be permanently formed with nuclear fission module 30, as previously mentioned. An internal bore 590 extends through top portion 570, including through cap 580, for passage of the coolant therethrough. Coupled to cap 580 and fuel rods 40 may be a frusto-connical funnel portion 600 having an inner surface 605 in communication with internal bore 590 and the interior of canister 43 for allowing passage of the coolant from internal bore 590 and into canister 43 where fuel rods 40 reside. As previously mentioned, nuclear fission modules 30 are capable of having or are configured to have a temperature dependent reactivity change. Thus, flow control regulator subassembly 430 is at least partially configured to control temperature within nuclear fission module 30 by controlling coolant flow into nuclear fission module 30 in order to effect such a temperature dependent reactivity change. Referring now to FIGS. 8A and 8D, bottom portion 490 of outer sleeve 450 includes an anti-rotation configuration, generally referred to as 606, to prevent relative rotation of outer sleeve 450 with respect to inner sleeve 530. In this regard, outer sleeve 450 defines a plurality of grooves, such as grooves 607a and 607b, for matingly receiving respective ones of a plurality of tabs 608a and 608b integrally formed with inner sleeve 530. Thus, as outer sleeve 450 is rotated, inner sleeve 530 is prevented from rotating with respect to outer sleeve 450 due to the engagement of tabs 608a and 608b in grooves 607a and 607b, respectively. As best seen in FIG. 8E, first nipple 480 is rotatable relative to outer sleeve 450. In this regard, first nipple 480 includes an annular flange 608c that is slidably received in an annular slot 608d formed in outer sleeve 450. In this manner, first nipple 480 is freely slidably rotatable with respect to outer sleeve 450. First nipple 480 is freely slidably rotatable in either of the directions indicated by curved arrows 608e or 608f. Moreover, as first nipple 480 freely slidably rotates in one direction, such as in the direction of arrow 608e, threaded internal cavity 500 will threadably engage the external threads of second nipple 560. It may be appreciated that as the threads of internal cavity 500 threadably engage the external threads of second nipple 560, first nipple 480 will abut first sleeve 450, such as at surface 608g. As first nipple 480 abuts first sleeve 450, first sleeve 450 will upwardly translate or ascend along a longitudinal axis thereof in a direction indicated by a vertical arrow 608h. First sleeve 450 will upwardly translate or ascend only in the direction of arrow 608h due to presence of anti-rotation configuration 606. As first sleeve 450 upwardly translates or ascends a predetermined amount, first holes 470 will be progressively closed, covered, shut-off and otherwise blocked by second ligaments 540 of inner sleeve 530. Moreover, it may be appreciated that, as first sleeve 450 upwardly translates or ascends the predetermined amount, second holes 550 will be progressively closed, covered, shut off and otherwise blocked by first ligaments 460 of outer sleeve 450. Progressively closing, covering, shutting off and otherwise blocking first holes 470 and second holes 550 in this manner variably reduces flow of the coolant through first holes 470 and second holes 550. It may be appreciated that rotation of first nipple 480 in an opposite direction, such as in the direction of curved arrow 608f, causes first holes 470 and second holes 550 to be progressively opened, uncovered, revealed and otherwise unblocked for variably increasing flow of coolant through first holes 470 and second holes 550. Therefore, referring to FIGS. 7, 8, 8A, 8B, 8C, 8D, 8E, 9 and 10, flow control in nuclear fission module 30 is achieved, at least in part, by use of two distinct components, which are outer sleeve 450 and inner sleeve 530, as described presently. As previously mentioned, inner sleeve 530 may be integrally formed with nuclear fission module 30 when nuclear fission module 30 is first fabricated. However, if desired, inner sleeve may be formed separately from nuclear fission module 30, but connectable thereto, rather than being integrally formed with nuclear fission module 30 when nuclear fission module 30 is first fabricated. Inner sleeve 530 defines the plurality of second holes 550 to allow passage of the coolant into nuclear fission module 30. Outer sleeve 450 slides on top of inner sleeve 530 and has the corresponding plurality of first holes 470. Outer sleeve 450 and inner sleeve 530 are concentric and holes 470/550 are always aligned to match along the radial or rotational axis. Coolant flow is controlled by the relative positions of inner sleeve 530 and outer sleeve 450 in the axial or vertical direction. In this regard, FIG. 8B shows flow regulator subassembly 430 in a fully open configuration to fully allow fluid flow into nuclear fission module 30 and FIG. 8C shows flow regulator subassembly 430 in a fully closed configuration to fully block fluid flow into nuclear fission module 30. The engagement of tabs 608a and 608b into respective ones of grooves 607a and 607b restricts rotation of outer sleeve 450 relative to inner sleeve 530, as previously mentioned. This feature allows axial sliding of outer sleeve 450 on inner sleeve 530, but no relative rotation between outer sleeve 450 and inner sleeve 530. Fine adjustment of coolant flow is achieved by the progressive axial sliding of outer sleeve 450 relative to inner sleeve 530. Thus, rotation of first nipple 480 in direction 608e progressively opens flow regulator subassembly 430 and rotation of first nipple 480 in direction 608f progressively closes flow regulator subassembly 430 for achieving fine adjustment of holes 470/550 and thus fine adjustment of coolant flow. As best seen in FIG. 11, there may be a plurality of smaller flow regulator subassemblies, such as flow regulator subassemblies 609a and 609b, assigned to a single nuclear fission module 30. Assignment of the plurality of smaller flow regulator subassemblies 609a and 609b to a single nuclear fission module 30 provides an alternative configuration for providing coolant flow to nuclear fission module 30. In addition, assignment of the plurality of smaller flow regulator subassemblies 609a and 609b to an individual or single nuclear fission module 30 provides a possibility of substantially controlling temperature distribution within distinct portions of an individual or single nuclear fission fuel module 30. This is possible because fluid flow through each of the smaller flow regulator subassemblies 609a and 609b can be individually controlled. Referring to FIGS. 12, 13, 14, 15, and 16, there is shown flow regulator subassembly 430 in operative condition to adjust or regulate coolant fluid flow into nuclear fission module 30. Together, flow regulator subassembly 430 and a carriage subassembly 610 define a flow control assembly, generally referred to as 615, as disclosed more fully hereinbelow. In other words, flow control assembly 615 comprises flow regulator subassembly 430 and carriage subassembly 610. In this regard, carriage subassembly 610 is disposed underneath reactor core 20, such as underneath core lower support plate 360, and is capable of being coupled to or is configured to be coupled to flow regulator subassembly 430 for adjusting flow regulator subassembly 430. Adjustment of flow regulator subassembly 430 variably controls coolant flow into nuclear fission module 30, as mentioned hereinabove. Moreover, carriage subassembly 610 is capable of carrying outer sleeve 450 to nuclear fission module 30, if desired. Referring to FIGS. 13, 14, 15, and 16, the configuration of carriage subassembly 610 will now be described. Carriage subassembly 610 comprises an elongate bridge 620 spanning reactor core 20 for supporting a plurality of vertically movable socket wrenches 630 thereon. Each of socket wrenches 630 has a shaft 700 and is movably disposed in a socket well 635 for reasons disclosed hereinbelow. Connected to opposing ends of bridge 620 are a first bridge mover 640a and a second bridge mover 640b, respectively. Bridge movers 640a and 640b may be operable by means of a gear arrangement (not shown) driven by a motor (also not shown). Such a motor may be located externally to reactor core 20 to avoid the corrosive effects and heat of the coolant, such as liquid sodium, circulating through reactor core 20. Each of bridge movers 640a and 640b includes at least one wheel 650a and 650b, respectively, for allowing bridge movers 640a and 640b to simultaneously move along respective ones of transversely spaced-apart and parallel tracks 660a and 660b. Bridge movers 640a and 640b are capable of moving or are configured to move bridge 620 along tracks 660a and 660b in either of the directions indicated by arrow 663. Connected to each of tracks 660a and 660b may be a track support 665a and 665b, respectively, for supporting tracks 660a and 660b thereon. Referring to FIGS. 13, 14, 15, 16, 17, 18, and 19, socket wrenches 630 are configured to be vertically reciprocated in socket well 635 into engagement and out of engagement with first nipple 480 of outer sleeve 450. In one embodiment of carriage assembly 610, rows of socket wrenches 630 are configured to be driven by a lead screw arrangement, generally referred to as 670. Lead screw arrangement 670 has a lead screw 680 configured to threadably engage external threads 690 surrounding shaft 700 belonging to each socket wrench 630. Lead screw 680 may be driven by a mechanical drive system 705 comprising a mechanical linkage 707 coupled to lead screw 680. When mechanical linkage 707 drives lead screw 680, the lead screw 680 will turn or rotate shaft 700 due to the threaded engagement of lead screw 680 and the external threads 690 surrounding shaft 700. Turning or rotating shaft 700 will turn or rotate first nipple 480 a like amount when an hexagonally shaped recess 700a in an upper portion of shaft 700 engages hexagonally shaped first nipple 480, as shown. Referring to FIGS. 15 and 16, the manner in which each shaft 700 is selectively raised and lowered will now be described. In this regard, an externally threaded, elongate mechanical linkage extension 708 engages a first gear wheel 709 for rotating first gear wheel 709 in either of the directions indicated by curved arrows 709a and 709b. For example, as mechanical linkage extension 708 translates in one of the directions indicated by a double-headed arrow 709c, first gear wheel 709 will rotate in a first direction, such as in the direction of arrow 709a. On the other hand, as mechanical linkage extension 708 translates in an opposite direction indicated by double-headed arrow 709c, first gear wheel 709 will rotate in a second direction, such as in the direction of arrow 709b. As first gear wheel 709 rotates, such as in the direction of arrow 709a, an externally threaded centermost first rod 709d will also rotate a like amount because the external threads of first rod 709d threadably engage internal threads (not shown) formed through the center of first gear wheel 709. A second gear wheel 709e has internal threads (not shown) formed through the center thereof for threadably engaging the external threads of first rod 709d. Thus, as first rod 709d is rotated by first gear wheel 709, second gear wheel 709e will translate along first rod 709d due to the threaded engagement of first rod 709d with second gear wheel 709e. Second gear wheel 709e will translate along first rod 709d until the location of a predetermined one of shafts 700 is reached. It may be appreciated that the pitch of the external threads or gear teeth of second gear wheel 709e is such as not to create an interference with the pitch of the external threads surrounding shafts 700 so that translation of second gear wheel 709e along first rod 709e may proceed unimpeded. A third gear wheel 709f is also provided for reasons described presently. In this regard, third gear wheel 709f is coupled to an elongate second rod 709g and to an elongate third rod 709h disposed on either side of and adjacent to centermost first rod 709d. Third gear wheel 709f is driven by the previously mentioned mechanical linkage extension 708, which is movable from a first position of engagement with first gear wheel 709 to a second position of engagement with third gear wheel 709f. As third gear wheel 709f rotates, second rod 709g and third rod 709h will rotate about the longitudinal axis of first rod 709d for rotating second gear wheel 709e about the longitudinal axis of first rod 709d. As second gear wheel 709e rotates, the external threads of second gear wheel 709e will threadably engage the external threads of shaft 700 for vertically translating shaft 700. In this manner, socket wrench 630 is translated either upwardly or downwardly. It should be appreciated that mechanical linkage extension 708 may be replaced by a fourth gear wheel (not shown) or by a pulley belt assembly (also not shown). Referring to FIGS. 17, 18 and 19, in another embodiment of carriage assembly 610, socket wrenches 630 are individually rotatable and axially translatable by means of respective ones of a plurality of hermetically sealed, reversible, first electric motors 710 that are coupled to shafts 700. First electric motors 710 are hermetically sealed and may be gas cooled to protect first electric motors 710 from the corrosive effects and heat of the coolant, which may be liquid sodium or liquid sodium mixture. First electric motors 710 are configured to selectively, vertically move shafts 700. Motors 710 are reversible in the sense that rotors of motors 710 may be operated in a first direction or a second direction opposite the first direction for moving shafts 700 either upwardly or downwardly, respectively. Operation of either mechanical drive system 705 or motors 710 is suitably controlled by means of a controller or control unit 720 coupled thereto. Each motor 710 may be a custom designed direct current servomotor, such as may be available from ARC Systems, Incorporated located in Hauppauge, N.Y., USA. Controller 720 may be a custom designed motor controller, such as may be available from Bodine Electric Company located in Chicago, Ill., USA. According to another embodiment, socket wrenches 630 are individually movable by means of a radio transmitter-receiver arrangement that includes a plurality of hermetically sealed, gas cooled, reversible, second electric motors 730 that are individually operable by receipt of a radio frequency signal transmitted by a radio transmitter 740. Second electric motors 730 are hermetically sealed and may be gas cooled to protect second electric motors 730 from the corrosive effects and heat of the sodium coolant. A power supply for second electric motor 730 may be a battery or other power supply device (not shown). Second electric motors 730, that are configured to receive such a radio signal, and radio transmitter 740 may be a custom designed motor and transmitter that may be available from Myostat Motion Control, Incorporated located in Ontario, Canada. According to another embodiment, socket wrenches 630 are individually movable by means of a fiber optic transmitter-receiver arrangement, generally referred to as 742, having a plurality of fiber optic cables 745 in order to operate the reversible motor arrangement by light transmission. As best seen in FIG. 14, flow control assembly 615, and thus flow regulator subassembly 430, are capable of being operated according to or in response to an operating parameter associated with nuclear fission module 30. In this regard, at least one sensor 750 may be disposed in nuclear fission module 30 to sense status of the operating parameter. The operating parameter sensed by sensor 750 may be current temperature in nuclear fission module 30. Alternatively, the operating parameter sensed by sensor 750 may have been a previous temperature in nuclear fission module 30. In order to sense temperature, sensor 750 may be a thermocouple device or temperature sensor that may be available from Thermocoax, Incorporated located in Alpharetta, Ga. U.S.A. As another alternative, the operating parameter sensed by sensor 750 may be neutron flux in nuclear fission module 30. In order to sense neutron flux, sensor 750 may be a “PN9EB20/25” neutron flux proportional counter detector or the like, such as may be available from Centronic House, Surrey, England. As another example, the operating parameter sensed by sensor 750 may be a characteristic isotope in nuclear fission module 30. The characteristic isotope may be a fission product, an activated isotope, a transmuted product produced by breeding or other characteristic isotope. Another example is that the operating parameter sensed by sensor 750 may be neutron fluence in nuclear fission module 30. As well known in the art, neutron fluence is defined as the neutron flux integrated over a certain time period and represents the number of neutrons per unit area that passed during that time. As yet another example, the operating parameter sensed by sensor 750 may be fission module pressure, which may be a dynamic fluid pressure of approximately 10 bars (i.e., approximately 145 psi) for an exemplary sodium cooled reactor or approximately 138 bars (i.e., approximately 2000 psi) for an exemplary pressurized “light” water cooled reactor during normal operation. Alternatively, fission module pressure that is sensed by sensor 750 may be a static fluid pressure or a fission product pressure. In order to sense either dynamic or static fission module pressure, sensor 750 may be a custom designed pressure detector that may be available from Kaman Measuring Systems, Incorporated located in Colorado Springs, Colo. U.S.A. As another alternative, sensor 750 may be a suitable flow meter such as a “BLANCETT 1100 TURBINE FLOW METER”, that may be available from Instrumart, Incorporated located in Williston, Vt. U.S.A. In addition, the operating parameter sensed by sensor 750 may be determined by a suitable computer-based algorithm. A variety of algorithms can be implemented, including those such as the ideal gas law, PV=nRT, or known algorithms that produce signals indicative of pressure or temperature from direct or indirect measurement of other properties, such as flows, temperatures, electrical properties, or other. According to yet another example, the operating parameter may be operator initiated action. That is, flow regulator subassembly 430 is capable of being modified in response to any suitable operating parameter determined by a human operator. Further, flow regulator subassembly 430 is capable of being modified in response to an operating parameter determined by a suitable feedback control. Also, flow regulator subassembly 430 is capable of being modified in response to an operating parameter determined by an automated control system. Moreover, flow regulator subassembly 430 is capable of being modified in response to a change in decay heat. In this regard, decay heat decreases in the “tail” of burn wave 290 (see FIG. 4). Detection of the presence of the tail of burn wave 290 is used to decrease coolant flow rate over time to account for this decrease in decay heat found in the tail of burn wave 290. This is particularly the case when nuclear fission module 30 resides behind burn wave 290. In this case, flow regulator subassembly 430 accounts for changes in decay heat output of nuclear fission module 30 as the distance of nuclear fission module 30 from burn wave 290 changes. Sensing status of such operating parameters can facilitate suitable control and modification of flow control assembly 615 operation and thus suitable control and modification of temperature in reactor core 20. Referring to FIGS. 14, 15, 17, 18 and 19, it should be understood from the description hereinabove that flow regulator subassembly 430 is reconfigurable according to a predetermined input to controllers 720 and 740, so that controllers 720 and 740 in combination with flow regulator subassembly 430 suitably control fluid flow. That is, the predetermined input to controllers 720 and 740 is a signal produced by the previously mentioned sensor 750. For example, the predetermined input to controllers 720 and 740 may be a signal produced by the previously mentioned thermocouple or temperature sensor. Alternatively, the predetermined input to controllers 720 and 740 may be a signal produced by the previously mentioned fluid flow meter. As another alternative, the predetermined input to controllers 720 and 740 may be a signal produced by the previously mentioned neutron flux detector. As another example, signals received by controllers 720 and 740 may have been processed by reactor control systems (not shown). For example, the signals produced by such a reactor control system may come from a meter or detector and get processed either by a computer or operator in a reactor control room and then go out to carriage subassembly 610, so as to move bridge 620 and socket wrenches 630 to operate flow regulator subassembly 430. Referring to FIGS. 4, 10, and 14, it may be understood by a person of skill in the art that, based on the teachings herein, flow control assembly 615 can be capable of controlling or modulating flow of the coolant according to when traveling burn wave 290 arrives at and/or departs from nuclear fission module 30. Also, flow control assembly 615 is capable of controlling or modulating flow of the coolant according to when traveling burn wave 290 is proximate to or in the vicinity of nuclear fission module 30. Flow control assembly 615 is also capable of controlling or modulating flow of the coolant according to the previously mentioned width “x” of burn wave 290. Arrival and departure of burn wave 290, as burn wave 290 travels through nuclear fission module 30, is detected by sensing any of the previously mentioned operating parameters. For example, flow control assembly 615 is capable of controlling or modulating flow of the coolant according to heat generation rate sensed in nuclear fission module 30. It should be apparent to those skilled in the art that, in some cases, an input signal alone may control modification of flow control assembly 615 and the associated fluid flow in nuclear fission module 30. Referring to FIGS. 14 and 15, and as previously mentioned, flow control assembly 615 is operated to provide variable fluid flow to a selected one of nuclear fission modules 30. Nuclear fission module 30 is selected on the basis of the desired value for the operating parameter (e.g., temperature) in nuclear fission module 30 compared to the actual value of the operating parameter that is sensed in nuclear fission module 30. As described in more detail presently, fluid flow to nuclear fission module 30 is adjusted to bring the actual value for the operating parameter into substantial agreement with the desired value for the operating parameter. To achieve this result, bridge 620 that belongs to carriage subassembly 630 is caused to travel along tracks 660a and 660b by simultaneously actuating bridge movers 640a and 640b. As bridge 620 travels along tracks 660a and 660b, the bridge 620 will travel underneath core lower support plate 360. Bridge 620 eventually stops its travel at a predetermined location underneath core lower support plate 360 based on the actual value of the operating parameter sensed by sensors 750 in nuclear fission module 30 compared to the desired value of the operating parameter for nuclear fission module 30, as described in more fully presently. Activation and extent of travel of bridge movers 640a and 640b may be controlled by a suitable controller, such as by controllers 720 or 740. In this regard, controllers 720 or 740 will stop the travel of bridge 620 based on location of the selected one of the plurality of nuclear fission modules 30. As mentioned hereinabove, the nuclear fission module 30 to be adjusted can be selected on the basis of whether or not there is substantial agreement between the actual value of the operating parameter sensed by sensor 750 and the value of the operating parameter desired for nuclear fission module 30. Next, a selected one of the plurality of hexagonal socket wrenches 630 is caused to move vertically upwardly to matingly engage hexagonal first nipple 480. After engagement of socket wrench 630 with first nipple 480, shaft 700 is caused to rotate in order to rotate socket wrench 630. Shaft 700 is caused to rotate either by means of the previously mentioned lead screw arrangement 670, first electric motors 710, or second electric motors 730 that are coupled to controllers 720 or 740. Referring to FIGS. 7, 8, 8A, 8B, 8C, 8D, 8E, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18 and 19, after engagement with first nipple 480, rotation of socket wrench 630 in a first direction causes first or outer sleeve 450 to rotate in the same first direction. As outer sleeve 450 rotates, outer sleeve 450 will axially slidably ascend along the exterior of inner sleeve 530 due to the threaded engagement of first nipple 480 belonging to outer sleeve 450 and second nipple 560 belonging to inner sleeve 530. As outer sleeve 450 slides upwardly along inner sleeve 530, first ligaments 460 of outer sleeve 450 will progressively close, cover, shut-off and otherwise block second holes 550 of inner sleeve 530 and second ligaments 540 of inner sleeve 530 will simultaneously progressively close, cover, shut-off and otherwise block first holes 470 of outer sleeve 530. Progressively closing, covering, shutting-off and otherwise blocking first holes 470 and second holes 550 variably reduces flow of the coolant through first holes 470 and second holes 550. In this case, second holes 550 and first holes 470 may have been previously aligned for allowing full flow of coolant therethrough. Alternatively, second holes 550 and first holes 470 may have been previously partially aligned for allowing partial flow of coolant therethrough. Referring again to FIGS. 7, 8, 8A, 8B, 8C, 8D, 8E, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18 and 19, after engagement with first nipple 480, rotation of socket wrench 630 in a second direction opposite the first direction causes first or outer sleeve 450 to rotate in the second direction. As outer sleeve 450 rotates, outer sleeve 450 will axially slidably descend along the exterior of inner sleeve 530 due to the threaded engagement of first nipple 480 belonging to outer sleeve 450 and second nipple 560 belonging to inner sleeve 530. As outer sleeve 450 slides downwardly along inner sleeve 530, first ligaments 460 of outer sleeve 450 will progressively open, uncover, reveal and otherwise unblock second holes 550 of inner sleeve 530 and second ligaments 540 of inner sleeve 530 will simultaneously progressively open, uncover, reveal and otherwise unblock first holes 470 of outer sleeve 530. Progressively opening, uncovering, revealing and otherwise unblocking first holes 470 and second holes 550 variably increases flow of the coolant through first holes 470 and second holes 550. In this case, second holes 550 and first holes 470 may have been previously misaligned for restricting or disallowing flow of coolant therethrough. Alternatively, second holes 550 and first holes 470 may have been previously partially misaligned for partially restricting or partially disallowing flow of coolant therethrough. Thus, use of flow control assembly 615, which includes flow regulator subassembly 430 and carriage subassembly 610, achieves variable coolant flow on a module-by-module (i.e., fuel assembly-by-fuel assembly) basis. This allows coolant flow to be varied across reactor core 20 according to the location of burn wave 290 or the non-uniform temperature distribution in reactor core 20. Illustrative Methods Illustrative methods associated with exemplary embodiments of a nuclear fission reactor and flow control assembly will now be described. Referring to FIGS. 20A-20S, illustrative methods are provided for operating a nuclear fission reactor. Turning now to FIG. 20A, an illustrative method 760 of operating a nuclear fission reactor starts at a block 770. At a block 780, the method comprises producing at least a portion of a traveling burn wave at a location relative to a nuclear fission module. At a block 790, a flow control assembly is operated to modulate flow of a fluid in response to the location relative to the nuclear fission module. The method stops at a block 800. In FIG. 20B, an illustrative method 810 of operating a nuclear fission reactor starts at a block 820. At a block 830, at least a portion of a traveling burn wave is produced at a location relative to a nuclear fission module. At a block 840, a flow control assembly that is coupled to the nuclear fission module is operated to modulate flow of a fluid in response to the location relative to the nuclear fission module. At a block 850, a flow regulator subassembly is operated. The method stops at a block 860. In FIG. 20C, another illustrative method 870 of operating a nuclear fission reactor starts at a block 880. At a block 890, at least a portion of a traveling burn wave is produced at a location relative to a nuclear fission module. At a block 900, a flow control assembly that is coupled to the nuclear fission module is operated to modulate flow of a fluid in response to the location relative to the nuclear fission module. A flow regulator subassembly is operated at a block 910. At a block 920, the flow regulator subassembly is operated according to an operating parameter associated with the nuclear fission module. The method stops at a block 930. In FIG. 20D, a further illustrative method 940 of operating a nuclear fission reactor starts at a block 950. At a block 960, at least a portion of a traveling burn wave is produced at a location relative to a nuclear fission module. At a block 970, a flow control assembly that is coupled to the nuclear fission module is operated to modulate flow of a fluid in response to the location relative to the nuclear fission module. A flow regulator subassembly is operated at a block 980. At a block 990, the flow regulator subassembly is modified in response to an operating parameter associated with the nuclear fission module. The method stops at a block 1000. In FIG. 20E, another illustrative method 1010 of operating a nuclear fission reactor starts at a block 1020. At least a portion of a traveling burn wave is produced at a location relative to a nuclear fission module at a block 1030. At a block 1040, a flow control assembly that is coupled to the nuclear fission module is operated to modulate flow of a fluid in response to the location relative to the nuclear fission module. A flow regulator subassembly is operated at a block 1050. At a block 1060, the flow regulator subassembly is reconfigured according to a predetermined input to the flow regulator subassembly. The method stops at a block 1070. In FIG. 20F, still another illustrative method 1080 of operating a nuclear fission reactor starts at a block 1090. At least a portion of a traveling burn wave is produced at a location relative to a nuclear fission module at a block 1100. At a block 1110, a flow control assembly that is coupled to the nuclear fission module is operated to modulate flow of a fluid in response to the location relative to the nuclear fission module. At a block 1120, a flow regulator subassembly is operated. At a block 1130, a controllable flow resistance is achieved. The method stops at a block 1140. In FIG. 20G, an illustrative method 1150 of operating a nuclear fission reactor starts at a block 1160. At least a portion of a traveling burn wave is produced at a location relative to a nuclear fission module at a block 1170. At a block 1180, a flow control assembly that is coupled to the nuclear fission module is operated to modulate flow of a fluid in response to the location relative to the nuclear fission module. At a block 1190, a flow regulator subassembly is operated. At a block 1200, a second sleeve is inserted into a first sleeve, the first sleeve having a first hole and the second sleeve having a second hole alignable with the first hole. The method stops at a block 1210. In FIG. 20H, another illustrative method 1220 of operating a nuclear fission reactor starts at a block 1230. At least a portion of a traveling burn wave is produced at a location relative to a nuclear fission module at a block 1240. At a block 1250 a flow control assembly that is coupled to the nuclear fission module is operated to modulate flow of a fluid in response to the location relative to the nuclear fission module. At a block 1260, a flow regulator subassembly is operated. At a block 1270 a carriage subassembly that is coupled to the flow regulator subassembly is operated. The method stops at a block 1280. In FIG. 20I, an additional illustrative method 1290 of operating a nuclear fission reactor starts at a block 1300. At least a portion of a traveling burn wave is produced at a location relative to a nuclear fission module at a block 1310. At a block 1320, a flow control assembly that is coupled to the nuclear fission module is operated to modulate flow of a fluid in response to the location relative to the nuclear fission module. At a block 1330, a flow regulator subassembly is operated. At a block 1340, a temperature sensor is coupled to the nuclear fission module and the flow regulator subassembly. The method stops at a block 1350. In FIG. 20J, a further illustrative method 1360 of operating a nuclear fission reactor starts at a block 1370. At least a portion of a traveling burn wave is produced at a location relative to a nuclear fission module at a block 1380. At a block 1390, a flow control assembly that is coupled to the nuclear fission module is operated to modulate flow of a fluid in response to the location relative to the nuclear fission module. At a block 1400, flow of the fluid is controlled in response to the location relative to the location of the nuclear fission module by operating the flow control assembly according to when the burn wave arrives at the location relative to the location of the nuclear fission module. The method stops at a block 1410. In FIG. 20K, still another illustrative method 1420 of operating a nuclear fission reactor starts at a block 1430. At least a portion of a traveling burn wave is produced at a location relative to a nuclear fission module at a block 1440. At a block 1450, a flow control assembly that is coupled to the nuclear fission module is operated to modulate flow of a fluid in response to the location relative to the nuclear fission module. At a block 1460, flow of the fluid is controlled in response to the location relative to the nuclear fission module by operating the flow control assembly according to when the burn wave departs from the location relative to the nuclear fission module. The method stops at a block 1470. In FIG. 20L, another illustrative method 1480 of operating a nuclear fission reactor starts at a block 1490. At least a portion of a traveling burn wave is produced at a location relative to a nuclear fission module at a block 1500. At a block 1510, a flow control assembly that is coupled to the nuclear fission module is modulated to modulate flow of a fluid in response to the location relative to the nuclear fission module. At a block 1520, flow of the fluid is controlled in response to the location relative to the nuclear fission module by operating the flow control assembly according to when the burn wave is proximate to the location relative to the nuclear fission module. The method stops at a block 1530. In FIG. 20M, an illustrative method 1540 of operating a nuclear fission reactor starts at a block 1550. At least a portion of a traveling burn wave is produced at a location relative to a nuclear fission module at a block 1560. At a block 1570, a flow control assembly that is coupled to the nuclear fission module is operated to modulate flow of a fluid in response to the location relative to the nuclear fission module. At a block 1580, flow of the fluid is controlled according to a width of the burn wave. The method stops at a block 1590. In FIG. 20N, an illustrative method 1600 of operating a nuclear fission reactor starts at a block 1610. At least a portion of a traveling burn wave is produced at a location relative to a nuclear fission module at a block 1620. At a block 1630, a flow control assembly that is coupled to the nuclear fission module is operated to modulate flow of a fluid in response to the location relative to the nuclear fission module. At a block 1640, flow of the fluid is controlled by operating the flow control assembly according to a heat generation rate in the nuclear fission module. The method stops at a block 1650. In FIG. 20O, an illustrative method 1660 of operating a nuclear fission reactor starts at a block 1670. At least a portion of a traveling burn wave is produced at a location relative to a nuclear fission module at a block 1680. At a block 1690, a flow control assembly that is coupled to the nuclear fission module is operated to modulate flow of a fluid in response to the location relative to the nuclear fission module. At a block 1700, flow of a fluid is controlled by operating the flow control assembly according to a temperature in the nuclear fission module. The method stops at a block 1710. In FIG. 20P, an illustrative method 1720 of operating a nuclear fission reactor starts at a block 1730. At least a portion of a traveling burn wave is produced at a location relative to a nuclear fission module at a block 1740. At a block 1750, a flow control assembly that is coupled to the nuclear fission module is operated to modulate flow of a fluid in response to the location relative to the nuclear fission module. At a block 1760, flow of the fluid in controlled by operating the flow control assembly according to a neutron flux in the nuclear fission module. The method stops at a block 1770. In FIG. 20Q, an illustrative method 1780 of operating a nuclear fission reactor starts at a block 1790. At least a portion of a traveling burn wave is produced at a location relative to a nuclear fission module at a block 1800. At a block 1810, a flow control assembly that is coupled to the nuclear fission module is operated to modulate flow of a fluid in response to the location relative to the nuclear fission module. At a block 1820, at least a portion of the traveling burn wave is produced at a location relative to a nuclear fission fuel assembly. The method stops at a block 1830. In FIG. 20R, an illustrative method 1840 of operating a nuclear fission reactor starts at a block 1850. At least a portion of a traveling burn wave is produced at a location relative to a nuclear fission module at a block 1860. At a block 1870, a flow control assembly that is coupled to the nuclear fission module is operated to modulate flow of a fluid in response to the location relative to the nuclear fission module. At a block 1880, at least a portion of the traveling burn wave is produced at a location relative to a fertile nuclear breeding assembly. The method stops at a block 1890. In FIG. 20S, an illustrative method 1900 of operating a nuclear fission reactor starts at a block 1910. At least a portion of a traveling burn wave is produced at a location relative to a nuclear fission module at a block 1920. At a block 1930, a flow control assembly that is coupled to the nuclear fission module is operated to modulate flow of a fluid in response to the location relative to the nuclear fission module. At a block 1940, at least a portion of the traveling burn wave is produced at a location relative to a neutron reflector assembly. The method stops at a block 1950. Referring to FIGS. 21A-21H, illustrative methods are provided for assembling a flow control assembly for use in a nuclear fission reactor. Turning now to FIG. 21A, an illustrative method 1960 of assembling a flow control assembly for use in a nuclear fission reactor starts at a block 1970. At a block 1980, a flow regulator subassembly is received. The method stops at a block 1990. In FIG. 21B, another illustrative method 2000 of assembling a flow control assembly for use in a nuclear fission reactor starts at a block 2010. At a block 2020, a carriage subassembly is received. The method stops at a block 2030. In FIG. 21C, another illustrative method 2040 of assembling a flow control assembly for use in a nuclear fission reactor starts at a block 2050. A flow regulator subassembly is received at a block 2060. A first sleeve having a first hole is received at a block 2070. At a block 2080, a second sleeve is inserted into the first sleeve, the second sleeve having a second hole alignable with the first hole, and the first sleeve being configured to rotate for rotating the first hole into alignment with the second hole. At a block 2090, a carriage subassembly is coupled to the flow regulator subassembly. The method stops at a block 2100. In FIG. 21D, yet another illustrative method 2110 of assembling a flow control assembly for use in a nuclear fission reactor starts at a block 2120. A flow regulator subassembly is received at a block 2130. At a block 2140, a first sleeve is received having a first hole. At a block 2150, a second sleeve is inserted into the first sleeve, the second sleeve having a second hole alignable with the first hole. At a block 2160, a carriage subassembly is coupled to the flow regulator subassembly. At a block 2170, the carriage subassembly is coupled to the flow regulator subassembly so that the carriage subassembly carries the flow regulator subassembly to the fuel assembly. The method stops at a block 2180. In FIG. 21E, a further illustrative method 2190 of assembling a flow control assembly for use in a nuclear fission reactor starts at a block 2200. A flow regulator subassembly is received at a block 2210. At a block 2220, a first sleeve is received having a first hole. At a block 2230, a second sleeve is inserted into the first sleeve, the second sleeve having a second hole alignable with the first hole. At a block 2240, a carriage subassembly is coupled to the flow regulator subassembly. At a block 2250 the carriage subassembly is coupled to the flow regulator subassembly so that the carriage subassembly is driven by a lead screw arrangement. The method stops at a block 2260. In FIG. 21F, an illustrative method 2270 of assembling a flow control assembly for use in a nuclear fission reactor starts at a block 2280. A flow regulator subassembly is received at a block 2290. A first sleeve having a first hole is received at a block 2300. At a block 2310, a second sleeve is inserted into the first sleeve, the second sleeve having a second hole alignable with the first hole, and the first sleeve being configured to rotate for rotating the first hole into alignment with the second hole. At a block 2320, a carriage subassembly is coupled to the flow regulator subassembly. At a block 2330, the carriage subassembly is coupled so that the carriage subassembly is driven by a reversible motor arrangement. The method stops at a block 2340. In FIG. 21G, an illustrative method 2350 of assembling a flow control assembly for use in a nuclear fission reactor starts at a block 2360. A flow regulator subassembly is received at a block 2370. A first sleeve having a first hole is received at a block 2380. At a block 2390, a second sleeve is inserted into the first sleeve, the second sleeve having a second hole alignable with the first hole, and the first sleeve being configured to rotate for rotating the first hole into alignment with the second hole. At a block 2400, a carriage subassembly is coupled to the flow regulator subassembly. At a block 2410, the carriage subassembly is coupled so that the carriage subassembly is at least partially controlled by a radio transmitter-receiver arrangement operating the reversible motor arrangement. The method stops at a block 2415. In FIG. 21H, an illustrative method 2420 of assembling a flow control assembly for use in a nuclear fission reactor starts at a block 2430. A flow regulator subassembly is received at a block 2440. A first sleeve having a first hole is received at a block 2450. At a block 2460, a second sleeve is inserted into the first sleeve, the second sleeve having a second hole alignable with the first hole, and the first sleeve being configured to rotate for rotating the first hole into alignment with the second hole. At a block 2470, a carriage subassembly is coupled to the flow regulator subassembly. At a block 2480, the carriage subassembly is coupled so that the carriage subassembly is at least partially controlled by a fiber optic transmitter-receiver arrangement operating the reversible motor arrangement. The method stops at a block 2490. One skilled in the art will recognize that the herein described components (e.g., operations), devices, objects, and the discussion accompanying them are used as examples for the sake of conceptual clarity and that various configuration modifications are contemplated. Consequently, as used herein, the specific exemplars set forth and the accompanying discussion are intended to be representative of their more general classes. In general, use of any specific exemplar is intended to be representative of its class, and the non-inclusion of specific components (e.g., operations), devices, and objects should not be taken as limiting. Moreover, those persons skilled in the art will appreciate that the foregoing specific exemplary processes and/or devices and/or technologies are representative of more general processes and/or devices and/or technologies taught elsewhere herein, such as in the claims filed herewith and/or elsewhere in the present application. While particular aspects of the present subject matter described herein have been shown and described, it will be apparent to those skilled in the art that, based upon the teachings herein, changes and modifications may be made without departing from the subject matter described herein and its broader aspects and, therefore, the appended claims are to encompass within their scope all such changes and modifications as are within the true spirit and scope of the subject matter described herein. It will be understood by those within the art that, in general, terms used herein, and especially in the appended claims (e.g., bodies of the appended claims) are generally intended as “open” terms (e.g., the term “including” should be interpreted as “including but not limited to,” the term “having” should be interpreted as “having at least,” the term “includes” should be interpreted as “includes but is not limited to,” etc.). It will be further understood by those within the art that if a specific number of an introduced claim recitation is intended, such an intent will be explicitly recited in the claim, and in the absence of such recitation no such intent is present. For example, as an aid to understanding, the following appended claims may contain usage of the introductory phrases “at least one” and “one or more” to introduce claim recitations. However, the use of such phrases should not be construed to imply that the introduction of a claim recitation by the indefinite articles “a” or “an” limits any particular claim containing such introduced claim recitation to claims containing only one such recitation, even when the same claim includes the introductory phrases “one or more” or “at least one” and indefinite articles such as “a” or “an” (e.g., “a” and/or “an” should typically be interpreted to mean “at least one” or “one or more”); the same holds true for the use of definite articles used to introduce claim recitations. In addition, even if a specific number of an introduced claim recitation is explicitly recited, those skilled in the art will recognize that such recitation should typically be interpreted to mean at least the recited number (e.g., the bare recitation of “two recitations,” without other modifiers, typically means at least two recitations, or two or more recitations). Furthermore, in those instances where a convention analogous to “at least one of A, B, and C, etc.” is used, in general such a construction is intended in the sense one having skill in the art would understand the convention (e.g., “a system having at least one of A, B, and C” would include but not be limited to systems that have A alone, B alone, C alone, A and B together, A and C together, B and C together, and/or A, B, and C together, etc.). In those instances where a convention analogous to “at least one of A, B, or C, etc.” is used, in general such a construction is intended in the sense one having skill in the art would understand the convention (e.g., “a system having at least one of A, B, or C” would include but not be limited to systems that have A alone, B alone, C alone, A and B together, A and C together, B and C together, and/or A, B, and C together, etc.). It will be further understood by those within the art that typically a disjunctive word and/or phrase presenting two or more alternative terms, whether in the description, claims, or drawings, should be understood to contemplate the possibilities of including one of the terms, either of the terms, or both terms unless context dictates otherwise. For example, the phrase “A or B” will be typically understood to include the possibilities of “A” or “B” or “A and B.” With respect to the appended claims, those persons skilled in the art will appreciate that recited operations therein may generally be performed in any order. Also, although various operational flows are presented in a sequence(s), it should be understood that the various operations may be performed in other orders than those which are illustrated, or may be performed concurrently. Examples of such alternate orderings may include overlapping, interleaved, interrupted, reordered, incremental, preparatory, supplemental, simultaneous, reverse, or other variant orderings, unless context dictates otherwise. Furthermore, terms like “responsive to,” “related to,” or other past-tense adjectives are generally not intended to exclude such variants, unless context dictates otherwise. Therefore, what are provided are a nuclear fission reactor, flow control assembly, methods therefor and a flow control assembly system. While various aspects and embodiments have been disclosed herein, other aspects and embodiments will be apparent to those skilled in the art. For example, a horizontally disposed orifice plate may be substituted for the flow regulator subassembly, the orifice plate having a plurality of orifices therethrough. A plurality of individually actuatable shutters would be associated with respective ones of the orifices, the shutters being capable of progressively closing and opening the orifices for regulating or modulating flow of coolant to the nuclear fission module. In addition, it may be appreciated from the teachings herein that, unlike the devices disclosed in the prior art patents cited hereinabove, the flow control assembly and system of the present disclosure dynamically change the amount of the fluid flow, avoids reliance on different and precisely constituted neutron-induced growth properties of structural materials for controlling fluid flow, and can be dynamically varied during reactor operation, as needed. Moreover, the various aspects and embodiments disclosed herein are for purposes of illustration and are not intended to be limiting, with the true scope and spirit being indicated by the following claims. |
|
050842374 | description | DETAILED DESCRIPTION Referring to FIG. 1, the assembly includes a bottom tie plate 2 and fuel rods 4. As will be understood, fuel rods actually occupy the entire area of the tie plate; for convenience, only a few are shown. Our spacer includes a lower comb 6 and an upper comb 8. The two combs are essentially identical so the description will be made in connection with the lower comb. The comb includes a single end strip 10 which corresponds in length to the width of the assembly. This in turn is determined by the width of the tie plate 2. Extending at right angles to the end strip 10 are a plurality of grid strips 12. In the particular embodiment shown, these grid strips are made double and of spring material. They are also provided with inclined channels 14 for directing streams of cooling water in such a manner as to produce swirling about the fuel rods. These inclined channels engage the fuel rods and when they do so, the spring strips are pressed together thereby exerting a spring action on the fuel rods. The condition of the strips in the unloaded and loaded positions are indicated by the respective legends. The ends of strips 12 remote from strip 10 are free. As is well known, fuel assemblies for boiling water reactors are enclosed by a flow channel. We provide a bracket 16 having a protrusion 18 which engages this flow channel and holds the combs firmly within the assembly. Optionally, the bracket 18 may include a hook (not shown) extending under the tie plate 2 and holding the comb against vertical movement along the fuel rods due to water pressure. Since the strips 12 engage the fuel rods with a spring action, this feature is not essential. As is apparent from FIG. 1, the grid strips of the combs 6 and 8 extend at right angles to each other in this embodiment. Sometimes fuel assemblies are made hexagonal, in which case they would be at an angle of 60.degree. to each other. Other angles would be possible, but are not ordinarily utilized. In FIG. 2, we show a partial plan view of a comb adapted for use in a pressurized water reactor. The structure is essentially the same as that shown in FIG. 1 with only such differences as are necessitated by the difference in structure of assemblies for pressurized water reactors as compared to those for reactors of the boiling water type. It includes an end strip 30 and grid strips 32, the latter being shown in their unloaded condition in FIG. 2. Since pressurized water reactor assemblies do not usually include the flow channel characteristic of boiling water reactors, it is necessary to provide different means to hold the combs firmly within the assembly. To this end, we provide spring clips 34, 34' which extend around the terminal fuel rods of the row, and so hold the comb against outward movement. FIG. 3 shows an end view of this comb. While we have described two embodiments of our invention, it will be understood that various changes are possible. For example, the water channels 14 may be made vertical instead of inclined if the swirling of the water is not necessary. Furthermore, other spring arrangements may be substituted. The essential features are that the grid strips are fastened at one end only to an end strip so as to form combs which can be inserted into the fuel rod without disassembly and that the grid strips extending in different directions are on different combs which are superposed on one another. |
summary | ||
063320126 | summary | BACKGROUND OF THE INVENTION The invention relates to grids for holding fuel rods in a nuclear fuel assembly, and in particular to grids used in pressurized water reactors and serving to hold rods at the nodes of a regular array, generally a square array. The invention relates in particular to making straps or plates which are assembled together to make up such grids, generally via half-depth slots. The grids of an assembly define common cells which receive the rods and other cells having guide tubes passing therethrough, which tubes are often welded to the grids. Conventionally, in grids used for holding rods longitudinally, rigid dimples or bosses for abutment with the rods are provided in two of the four faces of a common cell for receiving a rod, and two holding springs are cut out from or fitted to the other two faces so as to press a rod onto the dimples. Between grids designed to hold fuel rods at the nodes of a regular array, it is possible to interpose grids provided with fins that serve only to improve mixing of coolant streams flowing along the assembly. It is important to reduce the headloss caused by the presence of the grids. For this purpose, proposals have already been made to round those edges of the straps which are situated upstream in the flow direction (EP-A-0 273 183). However, that convex shape gives rise to turbulence which dissipates energy. The use of straight chamfers does not solve the problem associated with such turbulence. SUMMARY OF THE INVENTION An object of the invention is to provide a grid strap that gives rise to reduced headloss, and that is easy to fabricate. To this end, the invention proposes a strap whose upstream edge presents, at least in each face that is to be adjacent to a cell, a chamfer that is of concave profile. This structure increases the "transparency" of grids and facilitates the passage of coolants along the cells around the rods. Straps or plates of a grid that is to hold rods are advantageously of the structure described in French Patent No. 2,766,003, assigned to Framatome et al. Each strap may include rod-holding springs each having two resilient strips cut out from the wall of the strap and integral therewith, each extending transversely to the strap and connected to the strap at both ends, the strips projecting towards the inside of the cell concerned, at least in the free state, and being connected to each other in the middle by a transverse bridge that projects relative to the two strips. These springs are designed to press the rods into the dimples, which are likewise in the form of bridges. Under such circumstances, it is advantageous to provide rectilinear chamfers upstream from the dimples and/or the bridges. The concave chamfers on the upstream sides of the straps can be of various shapes. They may be of constant length. They may be of a length that varies from a maximum, halfway between the slots, to a minimum close to the slots. The upstream edge of a plate may be defined by an edge which is concave between each pair of slots. In general, on a plate provided with bosses, the length of the chamfer is shorter than the distance between the bottom edge of the strap and the nearest boss. Nevertheless, the chamfer may extend over the entire strap, from the upstream edge to the downstream edge thereof. To avoid weakening the upstream edge, the strap must retain a certain degree of thickness along the upstream edge; nevertheless, the thickness is preferably no greater than about half the thickness of the strap in its ordinary portion in order to ensure that the effect of the chamfers is significant. The above characteristics which are advantageously usable in combination but which can be used separately, together with other characteristics, will become clearer on reading the following description of particular embodiments given by way of example. The description refers to the accompanying drawings. |
description | The present invention relates a detector for capturing electron beams or optical signals. More particularly, the present invention relates to an inspecting apparatus which has two or more detectors disposed within a single barrel, one of which is selected in accordance with the amount of electronic or optical signals or an S/N ratio, thereby allowing for detection and measurement of images on the surface of a sample. With the use of this inspecting apparatus, a sample can be efficiently inspected for evaluating the structure on the surface thereof, observing the surface in enlarged view, evaluating the material thereof, inspecting an electrically conductive state thereof, and the like. Accordingly, the present invention relates to a method of accurately and reliably inspecting highly dense patterns having a minimum line width of 0.15 μm or less for defects at a high throughput, and a device manufacturing method which involves inspecting patterns halfway in a device manufacturing process. A conventional inspecting apparatus switches a detector comprising an electron sensor for detecting electrons and a detector comprising an optical sensor for detecting light for use in detecting electrons or light. Particularly, one detector is switched to the other as mentioned above for capturing electrons or light emitted from the same object to detect the amount of electrons or light and a changing amount thereof, or capturing an image. For example, electron or light incident conditions are adjusted on the basis of conditions detected by a CCD (charge coupled device) based detector, followed by replacing the CCD detector with a TDI (time delay integration) detector to make a high-speed inspection, measurement, and the like of the object. Specifically, when the incident conditions are adjusted using the TDI sensor, a low scaling factor of image in the adjustments of the incident condition causes secondary electrons from a sample to impinge and not impinge on some regions of a MCP (micro-channel plate), which receives secondary electrons from a sample, resulting in local damages to the MCP. For this reason, the incident conditions are mainly adjusted using a CCD sensor. An example of a conventional inspecting apparatus is shown in FIGS. 28 and 29. FIG. 28(A) shows a CCD inspecting apparatus 300. The CCD inspecting apparatus 300 comprises a CCD sensor 301 and a camera 302 which are placed in the atmosphere. Secondary electrons emitted from a sample (not shown) are amplified by an MCP 303 and then impinge on a fluorescent plate 304 which converts the secondary electrons into an optical signal representative of the image of the sample. The optical signal output from the fluorescent plate 304 is converted by the optical lens 306 placed in the atmosphere through a feed through 305 formed in a vacuum chamber MC, and focused on the CCD sensor 301 to form the image of the sample in the camera 302. FIG. 28(B) in turn shows a TDI detector 310, where a TDI sensor 311 is placed within a vacuum chamber MC. A fluorescent plate 313 is disposed in front thereof through light transmission means such as an FOP (fiber optic plate) 3444 or the like, so that secondary electrons from a sample enter the fluorescent plate 313 through the MCP 314, where the secondary electrons are converted into an optical signal which is then transmitted to the TDI sensor 311. An electric signal output from the TDI sensor 311 is transmitted to a camera 317 through a pin 316 provided in a feed through unit 315. Accordingly, in the case of FIG. 28, a change of the CCD detector 300 to the TDI detector 310 involves changing a unit of a flange and a set of essential parts mounted thereon. Specifically, the inspecting apparatus 300 is opened to the atmosphere, the flange, fluorescent plate 304, optical lens 306, and CCD sensor 301 are removed from the CCD detector 300, and then the feed through flange 315, fluorescent late 313, FOP 3444, TDI sensor 311, and camera 317 of the TDI detector 301 are mounted in unit. For changing the TDI detector 310 with the CCD detector 300, the foregoing works are performed in the reverse procedure to the above. In this regard, light or electrons emitted from a sample under observation may be enlarged by an optical system, and the enlarged electrons or light is amplified, followed by observation of the amplified signal by a detector. In FIGS. 29(A) and (B), in turn, MCPs 303, 314 and fluorescent plates 304, 313 are disposed within a vacuum chamber MC. Therefore, in the configuration shown in FIG. 29, when a change is made between a CCD detector 300 and a TDI detector 310, elements placed in the atmosphere, i.e., a set including an optical lens 306, a CCD sensor 301, and a camera 302 is changed with a set including a TDI sensor 311, a camera 317, and an optical lens 318, or vice versa. An apparatus for creating image data of a sample using a detection result thus provided by a detector, and comparing the image data with data on a die-by-die basis to inspect the sample for defects is known (see JP-5-254140423 and JP-6-141416424 for the apparatus). The conventional scheme as described above, when used, will require not only an immense time for assembly, vacuum abandonment, adjustments and the like involved in the change of the detector, but also works for adjusting the alignment of the electron or optical axis, associated with the change of the detector. For example, assuming that the TDI detector 310 is substituted for the CCD detector 300 for converting a secondary electron beam into an optical signal within the vacuum chamber MC as shown in FIG. 28, works such as stop of the apparatus, purging, opening to the atmosphere, change of the detector, evacuation, breakdown adjustment such as conditioning, adjustment of a beam axis, and the like are performed in order, and a time required therefor amounts to 50 to 429 hours each time. Therefore, assuming that an electro-optical system is adjusted and conditioned, for example, ten times a year, the foregoing works are involved each time, thus resulting in 500 to 4290 hours required therefor. The configuration shown in FIG. 29 has been conventionally employed for solving the problem inherent in FIG. 28. This configuration is employed because the MCP 303, 314 and fluorescent plates 304, 313 are disposed within the vacuum chamber MC as shown in FIG. 29, so that the unit of the CCD sensor 301 and camera 302 can be readily changed to the unit of the TDI sensor 311 and camera 317 in the atmosphere. However, a problem arises in deterioration of MTF due to the feed through 305 which is made of hermetic optical glass which cannot provide a wide viewing field. As a result, the viewing field generally extends on the order of 1×1 to 10×10 mm at the position of the fluorescent plate, and for providing a wider viewing field, it is necessary to prevent the deterioration of the MFT due to a defective flatness and non-uniformity of the optical glass and fluctuations in focus, and it is also necessary to prevent deteriorations in MTF and luminance by providing an optical lens which has a viewing field approximately five to six times wider. An optical lens system which achieves this requires a highly accurate and expensive lens, resulting in a cost 10 to 15 times higher, by way of example. Further, since the optical system is increased in size by a factor of 5 to 15, the resulting inspecting apparatus may be unavailable if there are limitations to the height of the apparatus. To solve the problems mentioned above, the present invention provides an inspecting apparatus characterized by comprising: a plurality of detectors each for receiving an electron beam emitted from a sample to acquire image data representative of the sample; and a switching mechanism for causing the electron beam to be incident on one of the plurality of detectors, wherein the plurality of detectors are disposed within the same vacuum chamber. Also, the present invention provides a defect inspecting apparatus comprising: a primary optical system having an electron gun for emitting a primary electron beam for guiding the primary electron beam to a sample; and a secondary optical system for guiding a secondary electron beam emitted from the sample to a detection system, characterized in that the detection system comprises: a first EB-CCD sensor for adjusting the optical axis of an electron beam; an EB-TDI sensor for capturing an image of the sample; and a second EB-CCD sensor for evaluating a defective site based on the image captured by the EB-TDI sensor. Further, the present invention provides a defect inspecting method for inspecting a sample for defects in a defect inspecting apparatus having a primary optical system for guiding the primary electron beam to a sample, and a secondary optical system for guiding a secondary electron beam emitted from the sample to a detection system. The defect inspecting method is characterized by: adjusting an optical axis using the EB-CCD sensor; capturing an image of a sample using the EB-TDI sensor; specifying a defective site on the sample from the image captured by the EB-TDI sensor; capturing an image of the defective site on the sample using the EB-CCD sensor; and comparing the image of the defective site captured by the EB-TDI sensor with the image of the defective site captured by the EB-CCD sensor to determine a false defect or a true defect. As described above, the present invention disposes a plurality of detectors within a vacuum chamber and can detect an electronic or an optical signal using one of the detectors. A detector suitable for electrons or light to be captured is selected in accordance with the amount of signal, the S/N ratio and the like, and a signal is applied to the selected detector to perform required detecting operations. Advantageously, in this way, it is possible to not only save a time taken to change one detector to another but also perform works such as beam condition adjustments, inspection, measurement and the like by immediately using an optimal detector when it is needed. Further, a signal can be applied to the detector while minimizing degradations in image quality without lower MTF or image distortions due to optical lenses and lens systems. In this regard, the MTF and contrast are used as indexes for the resolution. For example, the surface of a sample can be inspected, measured, and observed at high speeds by capturing a still image and adjusting the optical axis using a CCD detector, and subsequently directing a beam into a TDI detector to capture image without changing the detector, as has been previously required. In the past, detectors are change from one to another upon adjustments to a variety of use conditions, so that the changing works are generally performed approximately ten times a year on average. Specifically, 1000 hours (10×100) have been spent for the changing works every year, but according to the present invention, such a loss of time can be reduced. Also, when a vacuum chamber is opened to the atmosphere, particles and dust are likely to stick to the inner wall of the vacuum chamber and parts within the vacuum chamber, but the present invention can eliminate such a risk. Also, since parts in the vacuum environment can be prevented from surface oxidization due to the exposure to the atmosphere, voltages and magnetic flux generated from electrodes, magnetic poles and the like can be used with stability without influences of unstable operations possibly resulting from oxidized parts. Particularly, in an aperture having a small diameter such as an NA opening on which an electron beam impinges, it is thought that during the exposure to the atmosphere, moisture and oxygen in the air stick to the aperture to promote the sticking and production of contamination, but the present invention solves such a problem. For adjusting an electro-optical system for guiding an electron beam generated from the surface of a sample such as a wafer to a detector, signals often concentrate on a sensor. In other words, the sensor simultaneously includes an area which exhibits a higher signal strength and an area which exhibits a lower signal strength. As a result, if the area of higher signal strength is damaged, the sensor is rendered non-uniform in sensitivity. If an inspection or a measurement is made using such a sensor which is non-uniform in sensitivity, the result of the measurement involves large variations because a smaller signal representative of an image is captured in the non-uniform area, leading to a false defect. Even if the intensity of incident electrons or the like is uniform, an output signal from a damaged area varies in strength, resulting in a non-uniform sensor output. It is thought that erroneous measurements can be made due to such non-uniform output of the sensor. Such a problem can be solved by the present invention. In the inspecting apparatus according to the present invention, a beam irradiated to a sample may be an electron beam or light such as UV light, DUV light, laser light or the like, or a combination of an electron beam and light. Any of reflected electrons, secondary electrons, back scattered electrons, and Auger electrons may be used for the electron beams to capture a required image. When using light such as UV light, DUV light, laser light or the like, an image is detected by optical electrons. It is also possible to detect defects on the surface of a sample using scattered light which occurs when such light is irradiated to the surface of the sample. A quartz fiver or a hollow fiber can be used to efficiently introduce light such as UV light, DUV light, laser light or the like onto the surface of the sample. When a combination of an electron beam and light is used for irradiating the surface of a sample therewith, it is possible to solve a problem of the inability to uniformly irradiate the sample with electrons due to charge-up which causes a change in the potential on the surface when an electron beam alone is used. Accordingly, by using light which can be irradiated irrespective of the potential on the surface, electrons can be stably and efficiently captured from the surface of the sample for use in image capturing. For example, when the sample is irradiated with UV light, not only optical electrons are generated, but also a number of electrons are excited to a metastable state, so that free electrons are increased when an electron beam is irradiated thereto, resulting in an efficient emission of secondary electrons. Semiconductor devices can be manufactured at a high throughput and with a high yield rate by applying the inspecting apparatus according to the present invention to an inspection of wafers for defects halfway in a manufacturing process. First, the general configuration of a semiconductor inspection system will be described with reference to FIG. 1-1. The semiconductor inspection system comprises an inspecting apparatus, a power supply rack, a control rack, an image processing rack, a deposition apparatus, an etching apparatus, and the like. Roughing vacuum pumps such as a dry pump are installed outside of a clean room. Main components within the inspecting apparatus comprises an electron beam vacuum chamber, a vacuum transfer system, a main housing which contains a stage, a vibration isolator, turbo molecular pump, and the like. When viewing the inspection system from a functional standpoint, the electron beam vacuum chamber is mainly composed of an electro-optical system, a detection system, an optical microscope, and the like. The electro-optical system is composed of an electron gun, lenses and the like, while the transfer system is composed of a vacuum transfer robot, an atmosphere transfer robot, a cassette loader, a variety of position sensors, and the like. The deposition apparatus, etching apparatus, and washing apparatus (not shown) may be installed side by side near the inspecting apparatus or incorporated in the inspecting apparatus. They are used, for example, to prevent a sample from being charged, or to clean the surface of the sample. A sputter scheme, when used, can provide both functions of deposition and etching. Thought not shown, in some applications, associated apparatuses may be installed side by side near the inspecting apparatus, or these associated apparatuses may be incorporated in the inspecting apparatus for use therewith. Alternatively, these associated apparatuses may be incorporated in the inspecting apparatus. For example, a chemical mechanical polishing apparatus (CMP) and a washing apparatus may be incorporated in the inspecting apparatus, or alternatively, a CVD (chemical vacuum deposition) apparatus may be incorporated in the inspecting apparatus, in which case the area required for installation, and the number of units for transferring samples can be saved, a transfer time can be reduced, and other advantages can be provided. Likewise, a deposition apparatus such as a plating apparatus may be incorporated in the inspecting apparatus. Also, the inspecting apparatus can be used in combination with a lithography apparatus in a similar manner. In the following, one embodiment of an inspecting apparatus according to the present invention will be described with reference to the drawings, as a semiconductor inspecting apparatus for inspecting a substrate or a wafer formed with patterns on the surface thereof as an object under inspection. Main components of the semiconductor inspecting apparatus of this embodiment are shown in front elevation and plan view in FIGS. 1-2 and 1-3. The semiconductor inspecting apparatus 400 of this embodiment comprises a cassette holder 401 for holding a cassette which stores a plurality of wafers W; a mini-environment device 402; a main housing 403 which defines a working chamber; a loader housing 404 disposed between the mini-environment device 402 and main housing 403 for defining two loading chambers; a loader 406 for loading a wafer from the cassette holder 401 onto the stage device 405 disposed within the main housing 403; and an electro-optical system 407 attached to the vacuum housing. These components are laid out in a positional relationship as illustrated in FIGS. 1-2 and 1-3. The semiconductor inspecting apparatus 400 also comprises a pre-charge unit 408 disposed in the main housing 403 in vacuum; a potential application mechanism (not shown) for applying a potential to a wafer W; an electron beam calibration mechanism (described later with reference to FIG. 1-7), and an optical microscope 410 which forms part of an alignment controller 409 for positioning a wafer W on the stage device. The cassette holder 401 is configured to hold a plurality (two in this embodiment) of cassettes c (for example, closed cassettes such as SMIF, FOUP manufactured by Assist Co.) in which a plurality (for example, twenty-five) wafers W are placed side by side in parallel, oriented in the vertical direction. In this embodiment, the cassette holder 401 is a type adapted to automatically load the cassette c, and comprises, for example, an up/down table 411, and an elevating mechanism 444 for moving the up/down table 411 up and down. The cassette c can be automatically set on the up/down table 411 in a state indicated by chain lines in FIG. 1-3. After the setting, the cassette c is automatically rotated to a state indicated by solid lines in FIG. 1-3 so that it is directed to the axis of pivotal movement of a first carrier unit within the mini-environment chamber. It should be noted that substrate or wafers accommodated in the cassette c are subjected to an inspection which is generally performed after a process for processing the wafers or in the middle of the process within semiconductor manufacturing processes. Specifically, accommodated in the cassette are wafers which have undergone a deposition process, CMP, ion implantation and so on; wafers formed with wiring patterns on the surface thereof; or wafers which have not been formed with wiring patterns. Since a large number of wafers accommodated in the cassette c are spaced from each other in the vertical direction and arranged side by side in parallel, and the first carrier unit has an arm which is vertically movable, a wafer at an arbitrary position can be held by the first carrier unit which will be described later in detail. In FIGS. 1-2 and 1-5, the mini-environment device 402 comprises a housing 414 defining a mini-environment space 413 that is controlled for the atmosphere; a gas circulator 415 for circulating a gas such as clean air within the mini-environment space 413 to execute the atmosphere control; a discharger 416 for recovering a portion of air supplied into the mini-environment space 413 to discharge the same; and a prealigner 417 for roughly aligning a sample, i.e., a wafer placed in the mini-environment space 413. The housing 414 has a top wall 418, bottom wall 419, and peripheral wall 420 which surrounds four sides of the housing 414, to provide a structure for isolating the mini-environment space 413 from the outside. Also, a sensor may be provided within the environment space for observing the cleanness such that the apparatus can be shut down when the cleanness exacerbates. An access port 421 is formed in a portion of the peripheral wall 87 of the housing 414 that is adjacent to the cassette holder 401. A shutter device of a known structure may be provided near the access port 421 for closing the access port 421 from the mini-environment device side. An air supply unit may not be provided within the mini-environment space but outside thereof. The discharger 416 comprises a suction duct 422 disposed at a position below the wafer carrying surface of the carrier unit and below the carrier unit; a blower 423 disposed outside the housing 414; and a conduit 424 for connecting the suction duct 422 to the blower 423. The discharger 416 aspires a gas flowing down around the carrier unit and including particle, which could be produced by the carrier unit, through the suction duct 422, and discharges the gas outside the housing 414 through the conduit 424 and the blower 423. The prealigner 417 disposed within the mini-environment space 413 optically or mechanically detects an orientation flat (which refers to a flat portion formed along the outer periphery of a circular wafer and hereinafter called as orientation flat) formed on the wafer, or one or more V-shaped notches formed on the outer peripheral edge of the wafer, and previously aligns the position of the wafer in a rotating direction about the axis O-O at an accuracy of approximately ±one degree. The prealigner is responsible for a rough alignment of the wafer. In FIGS. 1-2 and 1-3, the main housing 403, which defines a working chamber 426, comprises a housing body 427 that is supported by a housing supporting device 430 carried on a vibration blocking device, i.e., vibration isolator 429 disposed on a base frame 428. The housing supporting device 430 comprises a frame structure 431 assembled into a rectangular form. The housing body 427 comprises a bottom wall 432 mounted on and securely carried on the frame structure 431; a top wall 433; and a peripheral wall 434 which is connected to the bottom wall 432 and the top wall 433 and surrounds four sides of the housing body 427, and isolates the working chamber 426 from the outside. In this embodiment, the housing body and the housing supporting device 430 are assembled into a rigid construction, and the vibration isolator 429 blocks vibrations from the floor, on which the base frame 428 is installed, from being transmitted to the rigid structure. A portion of the peripheral wall 434 of the housing 427 that adjoins the loader housing 404 is formed with an access port 435 for introducing and removing a wafer therethrough. The working chamber 426 is kept in a vacuum atmosphere by a vacuum device (not shown) of a known structure. A controller 2 is disposed below the base frame 428 for controlling the operation of the overall apparatus. In FIGS. 1-2, 1-3, and 1-6, the loader housing 404 comprises a housing body 438 which defines a first loading chamber 436 and a second loading chamber 438. The housing body 438 comprises a bottom wall 439; a top wall 440; a peripheral wall 441 which surrounds four sides of the housing body 438; and a partition wall 442 for partitioning the first loading chamber 436 and the second loading chamber 438 to isolate the two loading chambers from the outside. The partition wall 442 is formed with an aperture, i.e., an access port 443 for passing a wafer W between the two loading chambers. Also, a portion of the peripheral wall 441 that adjoins the mini-environment device 402 and the main housing 403, is formed with access ports 444 and 445. The housing body 438 of the loader housing 404 is carried on and supported by the frame structure 431 of the housing supporting device 430. This prevents the vibrations of the floor from being transmitted to the loader housing 404 as well. The access port 444 of the loader housing 404 is in alignment with the access port 446 of the housing 414 of the mini-environment device 402, and a shutter device 447 is provided for selectively blocking a communication between the mini-environment space 413 and the loading chamber 436. Likewise, the access port 445 of the loader housing 404 is in alignment with the access port 435 of the housing body 427, and a shutter device 448 is provided for selectively blocking a communication between the loading chamber 438 and the working chamber 426 in a hermetic manner. Further, the opening formed through the partition wall 442 is provided with a shutter device 450 for closing the opening with a door 449 to selectively block a communication between the first and second loading chambers in a hermetic manner. Within the first loading chamber 436, a wafer rack 451 is disposed for supporting a plurality (two in this embodiment) of wafers spaced in the vertical direction and maintained in a horizontal state. The loading chambers 436, 438 are controlled for the atmosphere to be maintained in a high vacuum state (at a vacuum degree of 10−5 to 10−6 Pa) by a vacuum evacuator (not shown) in a conventional structure including a vacuum pump, not shown. In this event, the first loading chamber 436 may be held in a low vacuum atmosphere as a low vacuum chamber, while the second loading chamber 438 may be held in a high vacuum atmosphere as a high vacuum chamber, to effectively prevent contamination of wafers. The employment of such a loading housing structure including two loading chambers allows a wafer W to be carried, without significant delay from the loading chamber the working chamber. The employment of such a loading chamber structure provides for an improved throughput for the defect inspection, and the highest possible vacuum state around the electron source which is required to be kept in a high vacuum state. The first and second loading chambers 436, 438 are connected to vacuum pumping pipes and vent pipes for an inert gas (for example, dried pure nitrogen) (neither of which are shown), respectively. In this way, the atmospheric state within each loading chamber is attained by an inert gas vent (which injects an inert gas to prevent an oxygen gas and so on other than the inert gas from attaching on the surface). In the inspecting apparatus of the present invention which uses electron beams, when representative lanthanum hexaboride (LaB6) used as an electron source for an electro-optical system is once heated to such a high temperature that causes emission of thermal electrons, it is critical that it is not exposed to oxygen within the limits of possibility so as not to shorten the lifetime. However, by carrying out the atmosphere control as mentioned above at a stage before introducing the wafer into the working chamber in which the electro-optical system is disposed, the foregoing can be more certainly carried out. The stage device 405 comprises a fixed table 452 disposed on the bottom wall 432 of the main housing 403; a Y-table 453 movable in a Y direction on the fixed table (the direction vertical to the drawing sheet in FIG. 1-2); an X-table 454 movable in an X direction on the Y-table 453 (in the left-to-right direction in FIG. 1-2); a turntable 455 rotatable on the X-table; and a holder 456 disposed on the turntable 455. A wafer W is releasably held on a wafer carrying surface 551 of the holder 456. The holder may be of a known structure which is capable of releasably chucking a wafer by means of a mechanical or electrostatic chuck feature. The stage device 405 uses servo motors, encoders and a variety of sensors (not shown) to operate the plurality of tables 453-455 mentioned above to permit highly accurate alignment of a wafer W held on the carrying surface 130 by the holder 456 in the X direction, Y direction and Z-direction (the Z-direction is the up-down direction in FIG. 1-2) with respect to electron beams irradiated from the electro-optical system, and in a direction (θ direction) about the axis normal to the wafer supporting surface. In this regard, the alignment in the Z-direction may be made such that the position on the carrying surface of the holder, for example, can be finely adjusted in the Z-direction. In this event, a reference position on the carrying surface is sensed by a position measuring device using a laser of an extremely small diameter (a laser interference range finder using the principles of interferometer) to control the position by a feedback circuit, not shown. Additionally or alternatively, the position of a notch or an orientation flat of a wafer is measured to sense a plane position or a rotational position of the wafer relative to the electron beam to control the position of the wafer by rotating the turntable by a stepping motor which can be controlled in extremely small angular increments. In order to maximally prevent particle produced within the working chamber 426, servo motors 131, 132 and encoders 133, 134 for the stage device 405 are disposed outside the main housing 403. It is also possible to establish a basis for signals which are generated by previously inputting a rotational position, and X-Y-positions of a wafer relative to the electron beams in a signal detecting system or an image processing system, later described. The loader 406 comprises a robot-based first carrier unit 462 disposed in the housing 414 of the mini-environment device 402, and a robot-based second carrier unit 463 disposed in the second loading chamber 438. The first carrier unit 462 has a multi-node arm 465 for rotation about an axis O1-O1 relative to a driver 464. While an arbitrary structure may be applied to the multi-node arm, this embodiment employs the multi-node arm 465 which has three parts attached for rotation relative to each other. A part of the arm 465 of the first carrier unit 462, i.e., a first part closest to the driver 464 is attached to a shaft 466 which can be rotated by a driving mechanism (not shown) in a general-purpose structure arranged in the driver 464. The arm 465 is rotatable about the axis O1-O1 by the shaft 466, and is telescopical in a radial direction relative to the axis O1-O1 as a whole through relative rotations among the parts. At the leading end of the third part furthest away from the shaft 466 of the arm 465, a chuck 467 is attached for chucking a wafer, such as a mechanical chuck in a general-purpose structure, an electrostatic chuck or the like. The driver 464 is vertically movable by an elevating mechanism 468 in a general-purpose structure. In this first carrier unit 462, the arm 465 extends toward one of two cassettes c held in the cassette holder 10 in a direction M1 or M2, and a wafer W stored in the cassette c is carried on the arm, or is chucked by the chuck (not shown) attached at the leading end of the arm for removal. Subsequently, the arm is retracted (to the state illustrated in FIG. 1-3), and the arm is rotated to a position at which the arm can extend toward the pre-aligner 417 in a direction M3, and is stopped at this position. Then, the arm again extends to the pre-aligner 417 to transfer the wafer held by the arm thereto. After receiving the wafer from the pre-aligner 417 in a manner reverse to the foregoing, the arm is further rotated and stopped at a position at which the arm can extend toward the first loading chamber 436 (in a direction M4), where the wafer is passed to a wafer receiver 451 within the first loading chamber 436. It should be noted that when a wafer is mechanically chucked, the wafer should be chucked in a peripheral zone (in a range approximately 5 mm from the periphery). This is because the wafer is formed with devices (circuit wires) over the entire surface except for the peripheral zone, so that if the wafer were chucked at a portion inside the peripheral zone, some devices would be broken or defects would be produced. The second carrier unit 463 is basically the same as the first carrier unit 462 in structure, and differs only in that the second carrier unit 463 carries a wafer W between the wafer rack 451 and the carrying surface of the stage device 405. In the loader 406 described above, the first and second carrier units 462, 463 carry wafers from the cassette c held in the cassette holder onto the stage device 405 disposed in the working chamber 426 and vice versa while holding the wafer substantially in a horizontal posture. Then, the arms of the carrier units 462, 463 are moved up and down only when a cassette is extracted from the cassette c and loaded into the same, when a wafer is placed on the wafer lack and is extracted from the same, and when a wafer is placed on the stage device 405 and removed from the same. Therefore, the carrier units 462, 463 can smoothly move even a large wafer which may have a diameter of, for example, 30 cm. Next, a description will be made in order of the transfer of a wafer from the cassette c supported by the cassette holder 401 to the stage device 405 disposed in the working chamber 426. In this embodiment, as the cassette c is set on the up/down table 411, the up/down table 411 is moved down by the elevating mechanism 412 to bring the cassette c into alignment to the access port 421. As the cassette c is in alignment to the access port 421, a cover (not shown) disposed on the cassette c is opened, whereas a cylindrical cover is arranged between the cassette c and the access port 421 of the mini-environment device 402 to block the cassette c and mini-environment space 402 from the outside. When the mini-environment device 402 is equipped with a shutter device for opening/closing the access port 421, the shutter device is operated to open the access port 421. On the other hand, the arm 465 of the first carrier unit 462 remains oriented in either the direction M1 or M2 (in the direction M1 in this description), and extends to receive one of wafers stored in the cassette c with its leading end as the access port 421 is opened. Once the arm 465 has received a wafer, the arm 465 is retracted, and the shutter device (if any) is operated to close the access port 421. Then, the arm 465 is rotated about the axial line O1-O1 so that it can extend in the direction M3. Next, the arm 465 extends to transfer the wafer carried on the leading end thereof or chucked by a chuck onto the pre-aligner 417 which determines a direction in which the wafer is rotated (direction about the center axis perpendicular to the surface of the wafer) within a predetermined range. Upon completion of the positioning, the first carrier unit 462 retracts the arm 465 after the wafer is received from the pre-aligner 417 to the leading end of the arm 465, and takes a posture in which the arm 465 can be extended in the direction M4. Then, the door 469 of the shutter device 447 is moved to open the access ports 226, 436, permitting the arm 465 to place the wafer on the upper shelf or lower shelf of the wafer rack 451 within the first loading chamber 436. It should be noted that before the shutter device 447 opens the access ports to pass the wafer to the wafer rack 451, the opening 443 formed through the partition 442 is hermetically closed by the door 449 of the shutter device 450. In the wafer transfer process by the first carrier unit 462, clean air flows in a laminar state (as a down flow) from the gas supply unit 231 disposed in the housing body 414 of the mini-environment device 402, for preventing dust from sticking to the upper surface of the wafer during the transfer. Part of air around the carrier unit is aspired from the suction duct 422 of the discharger 416 for emission out of the housing body 414. The remaining air is recovered through the recovery duct 89 arranged on the bottom of the housing body 414, and again returned to the gas supply unit 470. As a wafer is placed on the wafer rack 451 within the first loading chamber 436 of the loader housing 404 by the first carrier unit 462, the shutter device 447 is closed to hermetically close the loading chamber 436. Then, the loading chamber 436 is brought into a vacuum atmosphere by expelling the air within the loading chamber 436, filling an inert gas in the loading chamber 436, and then discharging the inert gas. The vacuum atmosphere in the loading chamber 436 may have a low degree of vacuum. As the degree of vacuum has reached a certain level in the loading chamber 436, the shutter device 450 is operated to open the access port 442, which has been hermetically closed by the door 449, and the arm 472 of the second carrier unit 463 extends to receive one wafer from the wafer receiver 451 with the chuck at the leading end thereof (placed on the leading end or chucked by a chuck attached to the leading end). As the wafer has been received, the arm 472 is retracted, and the shutter device 450 is again operated to close the access port 443 with the door 449. It should be noted that before the shutter device 450 opens the access port 443, the arm 472 has previously taken a posture in which it can extend toward the wafer rack 451 in a direction N1. Also, as described above, before the shutter device 450 opens the access port 443, the shutter device 448 closes the access ports 445, 435 with the door 473 to block communications between the second loading chamber 438 and the working chamber 426, and the second loading chamber 438 is evacuated. As the shutter device 450 closes the access port 443, the second loading chamber 438 is again evacuated to a degree of vacuum higher than that of the first loading chamber 436. In the meantime, the arm 465 of the second carrier unit 462 is rotated to a position from which the arm 465 can extend toward the stage device 405 within the working chamber 426. On the other hand, in the stage device 405 within the working chamber 426, the Y-table 202 is moved upward, as viewed in FIG. 13, to a position at which the center line X0-X0 of the X-table 203 substantially matches an X-axis line X1-X1 which passes the axis of rotation O2-O2 of the second carrier unit 463. Also, the X-table 203 has moved to a position close to the leftmost position, as viewed in FIG. 1-3, and is waiting at this position. When the degree of vacuum in the second loading chamber 438 is increased to a level substantially identical to that of the working chamber 426, the door 473 of the shutter device 448 is moved to open the access ports 445, 435, and the arm extends so that the leading end of the arm, which holds a wafer, approaches the stage device 405 within the working chamber 426. Then, the wafer W is placed on the carrying surface 130 of the stage device 405. Once the wafer W has been placed on the stage device 405, the arm is retracted, and the shutter device 448 closes the access ports 445, 435. The foregoing description has been made on the operation until a wafer in the cassette c is carried and placed on the stage device. For returning a wafer, which has been carried on the stage device and processed, from the stage device into the cassette c, the operation reverse to the foregoing is performed. Since a plurality of wafers are stored in the wafer rack 451, the first carrier unit can carry a wafer between the cassette and the wafer rack while the second carrier unit is carrying a wafer between the wafer rack and the stage device, so that the inspecting operation can be efficiently carried out. FIGS. 1-7(A) and (B) are diagrams showing an exemplary electron beam calibration mechanism. The electron beam calibration mechanism 480 comprises a plurality of Faraday cups 482, 483 disposed at a plurality of positions on the side of the wafer W placement face 481 on the turntable 455 (FIG. 1-2). The respective Faraday cups are provided to measure a beam current, where the Faraday cup 482 is used for a fine beam of approximately 2 μmφ, for example, while the Faraday cup 483 is used for a thick beam of approximately 30 μmφ, for example. The Faraday cup 482 for thin beam measures a beam profile by moving the turntable 455 in steps, while the Faraday cup 483 for thick beam measures the total current amount of beam. The Faraday cups 482, 483 are disposed such that their top surfaces are at the same level as the top surface of the wafer W placed on the placement face 481. In this way, primary electron beams emitted from the electron gun is monitored at all times. This is because the electron gun cannot always emit a consistent electron beam but varies the amount of electron beam emitted therefrom as it is used. FIG. 2 is a diagram showing the general configuration of an electro-optical system in the inspecting apparatus together with a positional relationship between a sample and a detection system. The electro-optical system is disposed in a vacuum chamber, and comprises a primary electro-optical system (hereinafter simply called the “primary optical system”) PR for emitting a primary electron beam which is guided to a sample SL for irradiation to the sample SL; and a secondary electro-optical system (hereinafter simply called the “secondary optical system”) SE for guiding secondary electron beams emitted from the sample SL to a detection system DT. The primary optical system PR, which is an optical system for irradiating an electron beam onto the surface of the sample SL under inspection, comprises an electron gun 1 for emitting an electron beam; a lens system 2 comprised of an electrostatic lens for converging the primary electron beam emitted from the electron gun 1; a Wien filter or ExB separator 3; and an objective lens system 4, where the optical axis of the primary electron beam emitted from the electron gun 1 is inclined with respect to an irradiation optical axis of the electron beam (perpendicular to the surface of the sample) which is irradiated to the sample SL. An electrode 5 is disposed between the objective lens system 4 and sample SL. This electrode 5 is in a shape axially symmetric to the irradiation optical axis of the primary electron beam, and has its voltage controlled by a power supply 6. The secondary optical system SE comprises a lens system 7 comprised of electrostatic lenses for passing therethrough secondary electrons separated from the primary optical system by the ExB separator 3. This lens system 7 functions as an enlarging lens for enlarging a secondary electron image. The detection system DT comprises a detection unit 8 disposed on a focusing plane of the lens system 7, and an image processing unit 9. The present invention relates to improvements on a detection unit in the inspecting apparatus as described above, and will be described below in greater detail in connection with embodiments of the inspecting apparatus according to the present invention with reference to the drawings. Throughout all drawings, the same reference numerals refer to the same or similar components. FIG. 3 is a diagram schematically showing a first embodiment of the inspecting apparatus according to the present invention, which comprises a detector having an electron sensor and a detector having an optical sensor both contained in a single chamber. In FIG. 3, a CCD detector 11 and a TDI detector 12 are disposed within a vacuum chamber MC such that an EB-CCD (electron bombardment charge coupled device) sensor 13 of the CCD detector 11 is positioned closer to a sample. In FIG. 3, the CCD detector 11 and TDI detector 12 have their electron incident plane perpendicular to the drawing. The EB-CCD sensor 13 is supported such that it can be translated in the left-to-right direction in the figure by a moving mechanism M disposed outside of the vacuum chamber MC. In this way, the EB-CCD sensor 13 can be selectively moved to a position at which it receives an electron beam e, and to a position at which it directly applies the electron beam e into the TDI detector 12, thus making it possible to selectively use the CCD detector 11 and TDI detector 12. Here, the moving mechanism M moves the EB-CCD sensor to a position at which the optical axis to the EB-CCD sensor, the optical axis to lens conditions (lens intensity, beam deflection condition), and the lens conditions (lens intensity, beam deflection condition) match, when the EB-CCD sensor is moved to the position at which it receives an electron beam. This positioning condition can be mechanically modified by capturing images generated by the EB-CCD and EB-TDI for a sample having a known pattern. Though not shown, the CCD detector 11 comprises a camera connected to the EB-CCD sensor 13, a controller, a frame grabber board, a PC and the like, to capture the output of the EB-CCD sensor 13, display images, and control the CCD detector 11. The EB-CCD sensor 13, which comprises a plurality of pixels which are two-dimensionally arranged, receives the electron beam e emitted from a sample and outputs a signal representative of a two-dimensional image of the sample. The EB-CCD sensor 13, when the electron beam is directly incident thereon, provides a gain corresponding to the energy of the incident electron beam, i.e., electrons are amplified to accomplish the accumulation of charges, and the charges are read at intervals of defined time (for example 33 Hz) and output as an electric signal of a two-dimensional image of one frame. For example, the EB=CCD sensor 13 used herein has pixels of 650 (horizontal direction)×485 (vertical direction), a pixel size of 14 μm×14 μm, a frame acquisition frequency of 33 Hz, and a gain of 100-1000. In this event, the gain of the EB-CCD sensor 13 is dominated by the energy of incident electrons, and can provide the gain of 300, for example, when the incident energy is 4 keV. The gain can be adjusted by the structure of the EB-CCD sensor 13. The TDI detector 12, in turn, comprises an MCP 14 for amplifying an electron beam e emitted from a sample; a fluorescent plate 15 for receiving the amplified electron beam for conversion into light; an FOP 16 for transmitting the light generated from the fluorescent plate 15; and a TDI sensor 17 for receiving an optical signal from the FOP 16. The output of the TDI sensor 17 is transmitted to the camera 19 through the pin 18, as swoon in FIG. 28(B). It should be noted that the MCP 14 is disposed when electrons must be amplified, and may be omitted in some cases. The MCP 14, fluorescent plate 15, FOP 16, and TDI sensor 17 are formed into a single package, where output pins of the TDI sensor 17 is connected to pins 18 of the field through unit FT by wire bonding or another connection means. With the TDI sensor 17 operating at high speeds to provide a large number of pixels, a large number of pins 18 are required, for example, 100 to 1000 pines as the case may be. The camera 19 inputs and outputs image signals in accordance with control signals for image capturing. Though not shown, other than the camera 19, the inspecting apparatus is provided with a power supply and a controller for the camera 19, and an image processing system for capturing and processing an image signal from the camera 19. An image evaluation value can be calculated by processing image data generated by the image processing system, and, for example, when used in a defect inspection, sites of defects, type of defects, size of defects and the like can be extracted and displayed on a screen. A moving mechanism M is provided outside of the vacuum chamber M for selectively implementing a case where the CCD detector 11 is used and a case where the TDI detector 12 is used, and mechanically coupled to the EB-CCD sensor 13. When the CCD detector 11 is used to align the optical axes of the EB-CCD sensor and EB-TDI sensor, and adjust the lens condition, the moving mechanism M is operated to move the EB-CCD sensor 13 such that its center comes to the position of the optical axis of the electron beam e. In this state, the electron beam e can be sent into the EB-CCD sensor 13 to generate an image signal representative of a two-dimensional image of the sample. When the TDI detector 12 is used after the completion of adjustments to the optical axes and the like, the EB-CCD sensor 13 is moved by the moving mechanism M to a place away from the optical axis of the electro-optical system, for example, to a place spaced by a distance (for example, approximately 5 to 300 mm) at which the EB-CCD sensor 13 does not affect an electron image and an electron trajectory. In this way, the electron beam e is incident on the MCP 14 of the TDI detector 12 without being impeded by the EB-CCD sensor 13. In this regard, a shield is preferably provided for preventing charge-up at a junction at which the moving mechanism M is coupled to the EB-CCD sensor 13 (described later). The provision of such a mechanism eliminates the need for the TDI in the adjustments of the optical axes and the like, so that the MCP is prevented from being locally damaged. In addition, since the EB-CCD sensor and EB-TDI sensor are disposed within the same vacuum chamber, it is not necessary to break the vacuum atmosphere to change the EB-CCD sensor with the EB-TDI sensor. Also, since the EB-CCD sensor is operated when adjustments are made to the optical axes and the like, the EB-CCD sensor and EB-TDI sensor may be operated for the first one of wafers accommodated in a cassette, and the EB-TDI sensor alone may be operated for the remaining wafers. Alternatively, the EB-CCD sensor may be operated every predetermined number of wafers to readjust the optical axes and the like. FIG. 4 is a diagram schematically showing a second embodiment of an inspecting apparatus according to the present invention. The moving mechanism M shown in FIG. 3 can simply translate in one axial direction (for example, in the X-direction). Instead, in the second embodiment shown in FIG. 4, the moving mechanism M is configured to be movable in three axial directions (X-, Y-, and Z-directions), to finely adjust the center of the EB-CCD sensor 13 with respect to the center of the optical axis of the electro-optical system. In this regard, an electron deflection mechanism may be provided in front of the EB-sensor 13 (closer to a sample) to adjust the position of the electron beam in order to adjust the optical axis of the electro-optical system. FIGS. 5(A)-5(C) schematically shown a third embodiment of an inspecting apparatus according to the present invention, where (A) is a view taken from the front, and (B) and (C) are views taken from one side. As shown, the moving mechanism M in this embodiment utilizes rotational movements rather than movements in one axial or three axial directions. It should be noted that the TDI detector 12 does not comprise the MCP because the electron amplification is not needed in this embodiment. In FIG. 5(A), one end of a rotary shaft 21 is coupled to one end of a flat EB-CCD sensor 13 which contains required circuits, substrates and the like, while the other end of the rotary shaft 21 is coupled to the moving mechanism M. FIGS. 5(B) and 5(C) are views of the configuration shown in FIG. 5(A), taken from the side closer to the moving mechanism M. When the CCD detector 11 is used, the EB-CCD sensor 13 is moved such that the sensor plane thereof is perpendicular to the electron beam e, thus causing the electron beam e to be incident on the EB-CCD sensor 13. When the TDI detector 12 is used, the rotary shaft 21 is rotated by the moving mechanism M, as shown in (C) to move the EB-CCD sensor 13 such that it is in parallel with the optical axis of the electro-optical system. As such, the electron beam e is incident on the fluorescent plate 15 which converts the electron beam e into an optical signal which is then incident on the TDI sensor 17 through the FOP 16. The moving mechanism shown in FIG. 5, which utilizes the rotation, can be advantageously reduced in size and weight, for example, by a factor of two to ten, as compared with the moving mechanism described in connection with FIGS. 3 and 4, which utilizes movements in one or three axial direction. FIG. 6 is a diagram schematically showing a fourth embodiment of an inspecting apparatus according to the present invention, where two EB-TDI sensors are provided instead of the single EB-CCD sensor in the first and third embodiments, such that one can be selected from these EB-CCD sensors and the TDI detector 12. Specifically, a moving mechanism M is coupled to two EB-CCD sensors 131, 132 which differ in performance. For example, the EB-CCD sensor 131 has pixels the size of which is 14×14 μm, while the EB-CCD sensor 132 has pixels, the size of which is 7×7 μm, and these EB-CCD sensors have different electron image resolutions in accordance with their larger and smaller pixel sizes. In other words, an image generated by the EB-CCD sensor having the smaller pixel size (7 μm) achieves a resolution twice or more higher than that generated by the EB-CCD sensor having the larger pixel size (14 μm) in providing an electron image. In this regard, the number of EB-CCD sensors is not limited to two, but three or more EB-CCD sensors may be provided as required. The inspecting apparatus which comprise the three components, i.e., the EB-CCD sensor 131, EB-CCD sensor 132, and TDI detector 12 placed in the same vacuum chamber M may be used, by way of example, in the following manner. Assuming that the EB-CCD sensor 131 has the pixel size of 14 μm, and the EB-CCD sensor 132 has the pixel size of 7 μm, the EB-CCD sensor 131 is used to adjust the optical axis of the electron beam, adjust the image, and extract electron image acquisition conditions. Next, the EB-CCD sensor 131 is moved by the moving mechanism M to a position away from the optical axis, so that the electron beam is incident on the fluorescent plate 15. An optical signal converted from electrons by the fluorescent plate 15 is incident on the TDI sensor 17 through the FOP 16. In this way, the camera 19 captures electron images in succession using the output of the TDI sensor 17. Thus, it is possible to perform, for example, an inspection of an LSI wafer for defects, an inspection of an exposure mask, and the like. Using or referring to setting conditions for the electro-optical system extracted by the EB-CCD sensor 131, the image capturing in the TDI detector 12 is performed in the camera 19. Such image capturing can be performed simultaneously with an inspection for defects (i.e., on-line) or after the image capturing (i.e., off-line). In an inspection for defects, information such as the location, type, size and the like of defects can be provided. After the image capturing and inspection for defects in the TDI detector 12, the moving mechanism M is actuated to move the EB-CCD sensor 132 to the position of the optical axis, allowing the EB-CCD sensor 132 to capture images. In this event, since the location of defects has been known from the previously acquired result of the inspection for defect through the image capturing in the TDI detector 12, the EB-CCD sensor 132 performs image capturing for evaluating the defects in greater detail. In this event, in addition to a high-resolution image capturing resulting from the smaller pixel size of the EBG-CCD sensor 132, electron images can be captured with an increased number of electrons taken for an image, or with a longer image capturing duration. When the image capturing time is prolonged to increase the number of electrons acquired per pixel (the number of electrons per pixel), an electron image of miniature defects can be more clearly captured with high contract (high MTF condition) to acquire data. This is because a larger number of electrons per pixel results in a reduction in noise component due to fluctuations in luminance and the like to improve the S/N ratio and MTF. In this way, the EB-CCD sensor 132 having a smaller pixel size can be used to evaluate defects in detail, for example, the type, size and the like of the defects in detail. The ability to evaluate the type of defect in detail can lead to improvements on the process by feeding back information on where and how many defects of the same type have occurred, and the like, to the process. Fluctuations in luminance are caused by fluctuations in the number of incident electrons, fluctuations in the amount of electrons to light conversion, fluctuations in noise level of the sensor, statistic noise, and the like. Also, when there is an electronic amplifier such as MCP, the fluctuations in the number of electrons by electron amplification constitute a factor as well. Such fluctuation noise can be reduced by increasing the number of electrons, and can be reduced to approximately a root value of an output luminance value at the highest noise fluctuation level (for example, the noise fluctuation level is 700^0.5 with 700 halftone values). Showing an example of the number of electrons per pixel in each detector, the EB-CCD sensor 131 presents 20-1000 per pixel; the EB-CCD sensor 132 200-200000 per pixel; and the TDI detector 12 10-1000 per pixel. When a plurality of detectors are implemented such that they are switched for use in particular functions as shown in FIG. 6, one and the same inspecting apparatus can perform both inspection and detailed evaluation on defects. Conventionally, a wafer is moved to a dedicated analyzer (review SEM or the like) after an inspection for evaluating the type and size of defects in detail. When the detailed evaluation can be performed in the same apparatus, it is possible to make shorter and more efficient the detail evaluation of the inspection for defects and improvements in process. Even when a single EB-CCD sensor 13 is provided, as has been described in connection with FIGS. 3 to 5, defects can be evaluated after inspecting the defects through image capturing using the TDI detector 12, in which case the number of acquired electrons per pixel is increased to reduce noise fluctuation components before the defects are evaluated. In this way, the type and size of the defects can be evaluated without using a dedicated defect analyzer, and even if it is used, the defect analyzer can be reduced, and improvements in process and process management can be more efficiently accomplished. In the embodiment so far described, the mechanism for switching the CCD detector 11 and TDI detector 12 utilizes mechanical movements. In contrast, FIG. 7 is a diagram schematically showing a fifth embodiment of an inspecting apparatus according to the present invention, where an electronic deflector is utilized for a switching mechanism. While this embodiment also uses a single CCD detector 11 and a single TDI detector 12 by selectively switching them, the CCD detector 11 is placed out of the optical axis (trajectory of an electron beam e) at a predetermined angle to the optical axis, as shown. Also, a deflector 41 is disposed on the optical axis for switching the trajectory of the electron beam e between the CCD detector 11 and the TDI detector 12. The deflection angle of the deflector 41 is preferably in the range of 3 to 30°. This is because excessive deflection of secondary beam would result in distortions in a two-dimensional image and larger aberration. In this embodiment, the EB-CCD sensor 13 is electrically connected to a camera 44 through a wire 42 and a feed through flange 43. Thus, when the CCD detector 11 is used, the trajectory of the electron beam e is deflected by the deflector 41, such that the electron beam e is perpendicularly incident on the EB-CCD sensor 13. The incident electron beam e is converted into an electric signal by the EB-CCD sensor 13, and the electric signal is transmitted to the camera 44 through the wire 42. On the other hand, when the TDI detector 12 is used, the deflector 41 is not operated. Consequently, the electron beam e is incident on the fluorescent plate 15 directly or through the MCP 14. The electron beam incident on the fluorescent plate 15 is converted into an optical signal which is transmitted to a TDI sensor 17 through an FOP 16, and is converted into an electric signal by the TDI sensor 17 for transmission to a camera 19. FIG. 8 is a diagram schematically showing a sixth embodiment of an inspecting apparatus according to the present invention, where a CCD detector 11 and a TDI detector 12 each comprise an electron sensor for receiving an electron beam. Specifically, the CCD detector 11 employs an EB-CCD sensor 13, whereas the TDI detector 12 employs an EB-TDI (electron bombardment time delay integration) sensor t1 as an electron sensor, causing an electron beam e to be directly incident on the EB-TDI sensor 51. In this configuration, the CCD detector 11 is used to adjust the optical axis of the electron beam, as well as adjust and optimize image capturing conditions. On the other hand, when the EB-TDI sensor 51 of the TDI detector 12 is used, the EB-CCD sensor 13 is moved by the moving mechanism M to a position away from the optical axis, as previously described, before an image capturing is performed by the TDI detector 12 using or referring to conditions which have been found when the CCD detector 11 is used, to perform evaluation or measurement. As described above, in this embodiment, a semiconductor wafer can be inspected for defects by the EB-TDI sensor 51 using or referring to electro-optical conditions which have been found when the CCD detector 11 is used. Also, an evaluation on defects can be performed for the type, size and the like of the defects using the CCD detector 11 after the inspection for the defects by the TDI detector 12. The EB-TDI sensor 51 is, for example, in a rectangular shape, with its pixels arranged in a two-dimensional array such that the electron beam e can be directly received thereby for use in forming an electron image, where the image size is in the range of 5-20 μm, the number of pixels is in the range of 1000-8000 in the horizontal direction and 1-8000 in the scanning direction, and the gain is in the range of 10-5000. The EB-TDI sensor 51 can be used at a line rate of 1 kHz to 1 MHz. The gain is dictated by the energy of incident electrons. For example, when an incident electron beam has energy of 4 kev, the gain can be set in the range of 200 to 900, and the gain can be adjusted by the sensor structure with the same energy. In this way, when the EB-TDI sensor is used in an apparatus for capturing an electron image, the apparatus can advantageously capture images in succession, as well as achieve higher MTF (or contrast) and a higher resolution, as compared with a TDI sensor for sensing light. Actually, in this embodiment, the TDI detector 12 is also formed into the shape of package, so that the package itself serves as a feed through, with pins 18 of the package connected to the camera 19 on the atmosphere side. When configured as shown in FIG. 8, it is possible to eliminate disadvantages such as a loss in optical conversion due to FOP, optical glass for hermetic sealing, optical lenses and the like, aberration and distortion during optical transmissions and degradation in image resolution caused thereby, failed detection, high cost, increase in size, and the like, as compared with the first to fifth embodiments so far described. FIG. 9 is a plan view showing pixels P11-Pij on a sensor plane 51′ of an EB-TDI sensor 51. In FIG. 9, an arrow T1 indicates an integration direction of the sensor plane 51′, which is a direction perpendicular to a T2 integration direction T1, i.e., a direction in which a stage S is moved in succession. The pixels P11-Pij of the sensor t1 are arranged in 500 steps in the integration direction T1 (number of integration steps i=500), and 4000 (j=4000) in the successive movement direction T2 of the stage S. FIG. 10 is a diagram schematically showing the positional relationship between the EB-TDI sensor 51 and a secondary electron beam. In FIG. 10, when a secondary electron beams EB emitted from a wafer W is emitted from the same positions of the wafer W for a certain time, the secondary electron beam EB is sequentially incident on a series of positions a, b, c, d, e, . . . on a projection optical system MO in the order of a to in association with successive movements of the stage S. The secondary electron beam EB incident on the projection optical system MO is sequentially emitted from a series of positions a′, b′, c′, d′, e′, . . . , i′ on the projection optical system MO. In this event, when a charge integration movement in the integration direction T1 of the EB-TDI sensor 51 is synchronized with the successive movements of the stage S, the secondary electron beams EB emitted from the positions a′, b′, c′, d′, e′, . . . , i′ on the projection optical system MO are sequentially incident on the same positions on the sensor plane 51′, so that the charge can be integrated by the number of integration steps i. In this way, each pixel P11-Pij on the sensor plane 51′ can acquire more signals of radiated electrons, thereby accomplishing a higher S/N ratio, and capturing a two-dimensional image at high speeds. The projection optical system MO has a magnification of 300 times, by way of example. FIG. 11 is a diagram schematically showing a seventh embodiment of an inspecting apparatus according to the present invention. As can be seen from the figure, a TDI detector 12 comprising an electron sensor for detecting an electron beam is used instead of the TDI detector 12 comprising an optical sensor in the fifth embodiment shown in FIG. 7. Likewise, in this embodiment, an EB-CCD sensor 13 of a CCD detector 11 is electrically connected to a camera 44 through a wire 42 and a feed through flange 43. When the CCD detector 11 is used, the trajectory of the electron beam is deflected by a deflector 41, such that the electron beam e is incident perpendicularly to the EB-CCD sensor 13. The incident electron beam is converted into an electric signal by the EB-CCD sensor 13 for transmission to the camera 44 through the wire 42. On the other hand, when the TDI detector 12 is used, the deflector is not operated, so that the electron beam e is directly incident on the EB-TDI sensor 51 for conversion into an electric signal which is then transmitted to a camera 19. FIG. 12 is a diagram schematically showing an eighth embodiment of an inspecting apparatus according to the present invention, where a CCD detector 11 and a TDI detector 12 each comprises an optical sensor for detecting light, and are configured to be switched by making use of deflection of electron beam. Specifically, the CCD detector 11 comprises a CCD sensor for detecting light instead of the EB-CCD sensor 13. The CCD detector 11 comprises an MCP 61 for amplifying an electron beam; a fluorescent plate 62 for converting an amplified electron beam into light; an optical lens 63 for converging light exiting the fluorescent plate 62 and transmitting a light transmission area of a feed through flange 43; a CCD sensor 64 for converting light converged by the optical lens into an electric signal; and a camera 44 for capturing an image using the electric signal. In this embodiment, the two detectors, i.e., the TDI detector 12 and CCD detector 11 are disposed in a single vacuum chamber, but three or more detectors may be provided as long as the size of the vacuum chamber permits. Also, as described above, the MCPs 14, 61 may be omitted if the amplification of electrons is not required. A deflector 41 is provided in this embodiment for switching the trajectory of the electron beam to the TDI detector 12 or to the CCD detector 11. Thus, when the CCD detector 11 is used, the electron beam e is deflected by 5 to 30 degrees by the deflector 41 such that electrons are incident on the fluorescent plate 62 through the MCP 61 or without the intervention of the MCP 61. After an electro-optical conversion has been made herein, optical image information is converged by the optical lens 63 mounted in the feed through flange 43 and directed into the CCD sensor 64. The optical lens 63 and CCD sensor 64 are placed in the atmosphere. The optical lens 63 is provided with a lens (not shown) for adjusting aberration and focus. On the other hand, when the TDI detector 12 is used, the deflector 41 is not operated, permitting the electron beam e to travel directly to be incident on the MCP 14, or on the fluorescent plate 15 when the MCP 14 is not used. An electro-optical conversion is performed by the fluorescent plate 15, and the optical information is transmitted to the TDI sensor 17 through the FOP 16. In the eighth embodiment shown in FIG. 12, the CCD sensor 64 is placed on the atmosphere side, while the TDI sensor 17 is placed in a vacuum. On the other hand, in a ninth embodiment of an inspecting apparatus according to the present invention, schematically shown in FIG. 13, a TDI sensor 17 and a CCD sensor 64 are placed on the atmosphere side. In this embodiment, since the configuration of the CCD detector 11 is the same as that shown in FIG. 12, a description thereon is omitted herein. The TDI detector 12 comprises an MCP 14, a fluorescent plate 15, an optical lens 17, a TDI sensor 17, and a camera 19. An electron beam e, which travels straight without being deflected by the deflector 41, is amplified by the MCP 14, or is directly incident on the fluorescent plate 15, when the MCP 14 is not used, to undergo an electro-optical conversion thereby, and the optical information is converged by an optical lens 71 mounted in a hermetic flange 72, and is incident on the TDI sensor 17. In this way, the trajectory of the electron beam e is switched by the deflector 41 such that the CCD detector 11′ and TDI detector 12 can be selectively used. FIG. 14 is a diagram schematically showing a tenth embodiment of an inspecting apparatus according to the present invention, where a CCD detector 11 and a TDI detector 12 each comprise an optical sensor for detecting light. These optical sensors are disposed within a single chamber, and the detectors are switched through translation or rotation. Specifically, the CCD sensor 64 of the CCD detector 11 and the TDI sensor 17 of the TDI detector 12 are disposed within a single vacuum chamber MC. In this embodiment, since the TDI detector 12 is the same as that shown in FIG. 12, a repeated description is omitted herein. The CCD detector 11 comprises an MCP 61, a fluorescent plate 62, an FOP 81, and a CCD sensor 64. When the TDI detector 12 is used, the CCD detector 11 is moved by a moving mechanism M to go away from the optical axis of the electron beam e (to the right in the figure). In either of the detectors, during use, the electron beam e is amplified by MCP 14, 61, or is directly incident on the fluorescent plate 15, 62 without using the MCP 14, 61 to undergo an electro-optical conversion, and the resulting optical information is transmitted to the sensor 17, 64 through the FOP 16, 81 for conversion into an electric signal which is then captured by the camera. FIG. 15 is a diagram schematically showing an eleventh embodiment of an inspecting apparatus according to the present invention, where a moving mechanism is used in combination with a deflector 41 as a switching mechanism such that one can be selected from five detectors. In FIG. 15, an EB-CCD sensor 92 of a first detector, an EB-CCD sensor 93 of a second detector, and an EB-CCD sensor 94 of a third detector are mounted in a cylindrical shield block 91 which translates in a direction indicated by an arrow by the moving mechanism M. A shield hole 95 is formed through the shield block 91 at a proper site for passing an electron beam e therethrough, and an EB-TDI sensor 51 of a fourth detector is provided on a trajectory along which the electron beam e travels straight after it has passed through the shield hole 95. Further, a TDI detector 12, which is a fifth detector, is provided at a position at which it receives the electron beam which has been deflected by the deflector 41 in the trajectory direction and passed through the shield hole 95. The shield block 91 used herein may be a cylindrical structure of 1-100 mm diameter, by way of example, which is preferably made of such a material as a metal such as titanium, phosphor bronze, aluminum or the like, or a non-magnetic material, or aluminum plated with gold or titanium plated with gold may also be used. Thus, when an image is captured by any of the EB-CCD sensors 92-94 of the first to third detectors, the shield block 91 is moved by the moving mechanism M without actuating the deflector 41, such that the center of any EB-TDI sensor may be moved to the position of the trajectory of the electron beam e. When the electron beam is incident on the EB-TDI sensor of the fourth detector, the shield block 91 is moved by the moving mechanism M without actuating the deflector 41 to a position at which the electron beam can pass through the shield hole 95. Also, when an image is captured by the TDI detector 12 which is the fifth detector, the deflector 41 is actuated, and the shield block 91 is moved by the moving mechanism M to a position at which the electron beam can pass through the shield hole 95. The EB-CCD sensors 92-94, TDI sensor 17, and EB-TDI sensor 51 used in this embodiment differ from one another in performance such as the element size, driving frequency, sensor size and the like, depending on their respective uses and purposes. One example is listed below. First EB-CCD Sensor 92: Pixel Size: 14 μm, Frame rate: 100 Hz, Sensor Size: 3500×3500 μm; Second EB-CCD Sensor 93: Pixel Size: 7 μm, Frame rate: 33 Hz, Sensor Size: 3500×3500 μm; Third EB-CCD Sensor 94: Pixel Size: 3 μm, Frame rate: 10 Hz, Sensor Size: 3000×3000 μm; EB-TDI Sensor 51: Pixel Size: 14 μm, Scan Rate: 100-1000 kHz supported, Sensor Size: 56×28 mm; and TDI sensor 17: Pixel Size: 14 μm, Scan Rate: 1-100 kHz supported, Sensor Size: 56×28 mm. Describing an exemplary usage of a plurality of sensors as mentioned above, the EB-CCD sensor 92 is used to adjust the electro-optical system of the optical beam, i.e., for optimization of lens conditions, aligner conditions, magnification, and stig conditions. While a lens voltage, an aligner voltage, a stig voltage and the like are controlled by image processing, such control and image processing are fully automated suing a personal computer which incorporates an automatic control function. Images are captured at high speeds using the EB-CCD sensor 92 which provides a high frame rate to adjust automatic conditions. The EB-CCD sensor 93 operates at a frequently used frame rate of 33 Hz, a speed which can be sufficiently determined by the human's eyes. Therefore, a work for confirming adjustment, and observation of a sample, for example, observation, evaluation and the like of an image of defects after an inspection for defects are performed while viewing the image. When miniature defects are found during observation so that observation, evaluation, and classification of defects at higher resolution are desired, the EB-CCD sensor 94 is used. The EB-CCD sensor 94 has smaller pixels and accordingly a higher resolution, but requires a longer time for image capturing due to its lower frame rate. It is therefore necessary to select a site to be observed for image capturing. The TDI detector 12 and EB-TDI sensor are properly used in accordance with their different scan rates (line rates). Generally, frequencies corresponding to the scan rate of a TDI sensor are limited in a frequency range supported by a circuit. Also, it is difficult to design a driving circuit which satisfies both low frequencies and high frequencies. As such, the E-TDI sensor 51 is used to inspect at high speeds and at high frequencies, while the TDI detector 12 is used to perform an inspection for defects at lower frequencies of 1-100 kHz. However, any of the TDI detector 12 and the EB-TDI sensor 51 may be used for high frequencies and low frequencies without any hitch. Nevertheless, since the electron beam directly enters the sensor, the EB-TDI sensor 51 presents a higher sensor temperature. Also, since the EB-TDI sensor 51 suffers from relatively much thermal noise, it is suited to high frequencies at which a short time is taken for capturing images. In the eleventh embodiment shown in FIG. 15, an arbitrary number of detectors can be disposed within a single vacuum chamber as required. For example, one or more EB-CCD sensors can be mounted in the shield block 91 in accordance with its length and necessity, and any of the detector having the EB-TDI sensor 51 and the TDI detector 12 may be omitted. FIG. 16 is a diagram schematically showing a twelfth embodiment of an inspecting apparatus according to the present invention. In the embodiments so far described, a plurality of detectors or sensors are disposed within a single vacuum chamber MC in all the embodiments except for the eighth and ninth embodiments. In this twelfth embodiment, two vacuum spaces are defined in a single vacuum chamber MC, such that a detector is disposed in each of the vacuum spaces. Specifically, an EB-TDI sensor 51 of a TDI detector 12 is disposed in one space of the vacuum chamber MC, while an EB-CCD sensor of a CCD detector 11 is disposed in the other vacuum space coupled to the vacuum chamber MC. For implementing this, a port 101 is provided so as to extend from the vacuum chamber MC at a proper position in FIG. 16, and one end thereof is connected to one end of a vacuum chamber MC′, which provides the other vacuum space, through a gate valve 102. The other end of the vacuum chamber MC′ is sealed by a feed through flange FF′. An EB-CCD sensor 13 is disposed within the vacuum chamber MC′ which provides the other vacuum space, and the EB-CCD sensor 13 is connected to a camera 44 on the atmosphere side through a wire 42 which passes through the feed through flange FF′. In FIG. 16, when the electron beam is incident on the EB-CCD sensor 13 disposed in the vacuum chamber MC′, the traveling direction of the electron beam e is switched by the deflector 41, and the gate valve 102 is opened. An output signal from the EB-CCD sensor 13 is transmitted to the camera 44 through the wire 42. Advantageously, with the EB-CCD sensor 13 which is disposed in a different vacuum space from the vacuum space in which the EB-TDI sensor 51 is disposed, the one vacuum space is not open to the atmosphere only if the gate valve 102 is closed, when the EB-CCD sensor 13 is changed. However, due to different conditions for focusing on the sensor plane (distance, magnification and the like), it is necessary to establish appropriate focusing conditions for the electron beam by controlling a voltage applied to a lens (not shown) placed in front of the deflector 41. As described above, in the first to twelfth embodiments, the EB-CCD sensor, TDI sensor, EB-TDI sensor, and CCD sensor are disposed within a vacuum chamber, so that images can be captured with high contrast and high resolution, and a higher throughput and a lower cost can be accomplished because of the elimination of optical transmission loss, as compared with conventional approaches. In regard to the number of pixels, arbitrary numbers of pixels may be selected for the TDI sensor, CCD sensor, EB-TDI sensor, and EB-CCD sensor used in the first to twelfth embodiments. The numbers of pixels used in general are shown below: CCD Sensor: 640 (horizontal)×480 (vertical), 1000 (horizontal)×1000 (vertical), 2000 (horizontal)×2000 (vertical); EB-CCD Sensor: 640 (horizontal)×480 (vertical), 1000 (horizontal)×1000 (vertical), 2000 (horizontal)×2000 (vertical); TDI Sensor: 1000 (horizontal)×100 (vertical), 2000 (horizontal)×500 (vertical), 4000 (horizontal)×1000 (vertical), 4000 (horizontal)×2000 (vertical); and EB-TDI Sensor: 1000 (horizontal)×100 (vertical), 2000 (horizontal)×500 (vertical), 4000 (horizontal)×1000 (vertical), 4000 (horizontal)×2000 (vertical). The numbers of pixels listed above are merely exemplary, and intermediate values between the foregoing numbers of pixels, or larger numbers of pixels can be used as well. While the TDI sensor and EB-TDI sensor typically integrate (scan) in the vertical direction, they may have one pixel in the vertical direction (for example, 2000×1) if there are sufficient input signals. On the other hand, while the TDI sensor and EB-TDI sensor operate at line rates of 1 kHz to 1 MHz (moving speed in the integration direction), they are often used at 10 to 500 kHz. While the CCD sensor and EB-CCD sensor operate at frame rate of 1 to 1000 Hz, they are typically used at 1 to 100 Hz. These frequencies are selected to appropriate values depending on applications such as adjustments of the electro-optical system, observation of review, and the like. When a sensor having a large pixel size is disposed in the vacuum chamber MC, a larger number of pins are required such as pins for transmitting sensor driving signals, control signals and output signals, common pins, and the like. For example, the number of pins can amount to approximately 100-500 in some cases. With the number of pins thus increased, difficulties are experienced in the connection with the feed through flange using a normal contact socket. Also, the normal contact socket suffers from a high insertion pressure which will exceeds 100 g/pin. If the insertion pressure exceeds 1 kg/cm2 when a sensor package is fixed, the package can be damaged. For example, with a securing member for fixation of approximately 4 cm2, a securing pressure must be limited to 4 kg/4 cm2 or less. Assuming that there are 100 pins with a required insertion pressure of 100 g/pin, the securing pressure amounts to 10 kg, resulting in damages of the package. It is therefore important to use a connection socket which has a resilient member such as a spring for connection of the package with pins of the feed through flange. Such a connection socket incorporating a resilient member can be used with an insertion pressure of 5-30 g/pin, so that the package can be fixed without damages, and driving signals and output signals can be transmitted therethrough without problem. Also, when a sensor is used in vacuum, the emission of gas is problematic. Accordingly, a connection socket used therefor may be formed with a vent hole, the interior and periphery of which is plated with gold. Generally, a sensor is placed in a ceramic package, where required wires are connected to wire pads of the ceramic packages by wire bonding or the like. The ceramic package has wires incorporated therein, and is provided with connection pins on the back surface thereof (opposite to the surface on which the sensor is mounted). The connection pins are connected to pins of a feed through flange by connection parts. Pins outside of the feed through flange (on the atmosphere side) are connected to a camera. Now, a description will be made on the moving mechanism M which is used in the embodiment so far described. FIG. 17 schematically shows the moving mechanism for translating the EB-CCD sensor 13. The moving mechanism M comprises a shield block 112 which is a cylindrical or hollow prism member extending through an opening 111 formed through a vacuum chamber MC at an appropriate position, and the EB-CCD sensor 13 and a circuit board 113 are provided in the shield block 112. The shield block 112 is formed with a shield hole 114 having a size similar to that of the EB-CCD sensor 13 or a size of approximately 0.5 to 1 mm, through which an electron beam is incident on the EB-CCD sensor 13. The shield hole 114 serves as a noise cut aperture for removing unwanted electrons. The shield block 112 is provided for preventing electron beams from impinging on insulated portions to cause charge-up to impede normal operations. In this regard, a preferable material for the shield block 112 is a metal such as titanium, phosphor bronze, aluminum or the like, or a non-magnetic material, in order to reduce the influence of a metal oxide film and sticking of contamination. Alternatively, aluminum plated with gold or titanium plated with gold may also be used for the shield block 112. On end of the shield block 112 is coupled to a feed through flange 116 fixed to a bellows arranged to surround the periphery of the opening 111. Therefore, wires extending from the circuit board 113 are connected to a camera 118 through the feed through portion 117 of the feed through flange 116. The wires 42 are routed to pass through a hollow portion of the shield block 112, which is considered to prevent electron beams from impinging on the wires 42. This is because electron beams impinging on the wires 42 cause charge-up on the wires 42, resulting in adverse affects such as a change in the trajectory of the electron beams. On end of the feed through flange 116 is coupled to a ball screw mechanism 119, and a rotary motor 120 or a rotary handle is connected to an end of the ball screw mechanism 119. Further, both ends of the feed through flange 116 are coupled to a guide rail 121 which extends from the vacuum chamber MC. As such, as the rotary motor 120 is actuated or the handle is turned, the ball screw mechanism 119 translates in a direction perpendicular to the wall surface of the vacuum chamber MC, and the feed through flange 116, in association therewith, moves along the guide rail 121, causing translations of the shield block 112 as well as the EB-CCD sensor 13 and circuit board 113 contained therein. As a result, it is possible to selectively create a scenario in which the electron beam is incident on the EB-CCD sensor 13, and a scenario in which the EB-CCD sensor 13 is moved such that the electron beam is incident on the TDI detector 12. Next, FIG. 18 schematically shows the configuration of a moving mechanism M for causing translations using an air actuator mechanism instead of the rotary motor. As described in connection with FIG. 17, the EB-CCD sensor 13 and circuit board 113 are disposed within the shield block 112 which passes through the opening 111 formed through the vacuum chamber MC at an appropriate position. The shield block 112 is formed with the shield hole 114 for causing the electron beam to be incident on the EB-CCD sensor 13. Also, one end of the shield block 112 is coupled to the feed through flange 116 fixed to the bellows 115 arranged to surround the periphery of the opening 111. The wires 42 extending from the circuit board 113 are connected to the camera 118 through the feed through portion 117 of the feed through flange 116. Further, a shield hole 114′ is formed through the shield block 112 at an appropriate position for causing the electron beam to be incident on the TDI detector 12 when the EB-CCD sensor 13 is moved. On the other hand, an opening 131 is also formed through a wall surface opposite to the opening 111, a hollow cylindrical member 132 is provided to surround the opening 131, and a flange 134 mounted with an air actuator mechanism 133 is fixed to one end of the cylindrical member 132. The air actuator mechanism 133 comprises a piston 135 coupled to an end of the shield block 112. The piston 135, which is vacuum shielded by an O-ring or omni-seal 136, is made movable relative to the flange 134. Also, the air actuator mechanism 133 comprises a hole 138 for introducing or exhausting compressed air into or from an air tight chamber 137 for moving the piston 135 to the left or right in the figure. Thus, the air actuator mechanism 133 is actuated to introduce or exhaust compressed air into or from the air tight chamber through the hole 138 to move the piston 135 to the right, and simultaneously, the shield block 112 is moved in the same direction along the guide rail 121, causing the shield hole 114′ to move to a position at which the electron beam is incident on the TDI detector 12. Conversely, for causing the electron beam to be incident on the EB-CCD sensor 13, the piston 135 may be moved to the left to place the shield hole 114 of the shield block 112 at a position on the optical axis of the electron beam. The air actuator mechanism 133 can be operated with air pressure of 0.1 to 0.5 MPa. For example, a pressure difference is generated on the piston 1335 by switching the introduction and exhaustion direction of the compressed air, for example, by an electromagnetic valve, to operate the air actuator mechanism 133. In this way, it is possible to selectively create a scenario in which the electron beam is incident on the EB-CCD sensor 13, and a scenario in which the EB-CCD sensor 13 is moved such that the electron beam is incident on the TDI detector 12. Further, FIG. 19 shows a moving mechanism which utilizes the rotation. An opening 111 is formed through the wall of a vacuum chamber MC at an appropriate position, and a cylindrical member 114 is protrusively arranged to surround the opening 111. A cylindrical shaft 142 is supported by a bearing 143 so as to be rotatable relative to the cylindrical member 141, and the cylindrical shaft 142 vacuum seals the cylinder member 141 with a sealing member 144. An omni-seal is a sealing member made of Teflon, and is effective for the sealing member 144 which involves movements such as rotation, translation and the like, because of its small coefficient of dynamic friction. Also, the use of the bearing 143 can stabilize the rotation of the cylindrical shaft 142, and keep fluctuations of the axis of rotation small. An EB-CCD sensor 13, a circuit board 113, and wires 42 are disposed in the cylindrical shaft 142. The cylindrical shaft 142 has a flange-shaped end, and a gear 145 is fitted on the periphery of the cylindrical shaft 142. A feed through flange 116 is attached to the flange through an O-ring or ICF vacuum sealing structure 146, and a camera 118 is connected to the feed through flange 116. In the ICF vacuum seal structure, a sealing member for ICF is used for vacuum sealing. The wires 42 within the cylindrical shaft 142 are connected to the camera 118 by way of a plurality of pins of the feed through flange 116 for connection. A gear 147 is provided in correspondence to the gear 145 fitted on the flange at the end of the cylindrical shaft 142. The gear 147 is driven by a rotary actuator 148. Thus, as a rotating shaft of the rotary actuator 148 rotates, the gear 147 rotates, causing the gear 145 to rotate. A rotating angle of the gear 145 can be adjusted by the rotary actuator 148, so that the actuator can be used with a desired defined angle such as 90 degrees, 180 degrees and the like. For example, assuming that the gear ratio is at 1:1, the rotating angle of the rotary actuator 148 may be 90°. In this way, by rotating the rotary actuator 148 by 90°, the electron beam can be selectively incident on any of the EB-CCD sensor 13 and TDI detector 12. A description has been so far made, centered on the detectors, on its configuration and mechanisms for selective usage thereof. In the following, the general configuration of an inspecting apparatus comprising such a detector will be described, including an electro-optical system, with reference to FIGS. 20 to 23. In these figures, a detection unit DU is provided with any of the first to twelfth embodiments, and an electro-optical system is provided at the preceding stage to the detection unit DU. The detection unit DU preferably has the ability to form a two-dimensional image. For this purpose, it is necessary to employ a detector which receives an electron beam representative of a two-dimensional electron image to form a two-dimensional image. As previously described, there are a detector which employs an EB-CCD sensor and/or an EB-TDI sensor on which electrons are directly incident, and a detector which detects light converted from incident electrons using a CCD sensor and/or a TDI sensor. First, an inspecting apparatus shown in FIG. 20 is an example which is combined with a detection unit which includes an electron source, a projection optical system, and a plurality of detectors. A primary electron beam emitted from an electron gun 151 passes through a lens 152, an apertures 153, 154, and a lens 155 in this order, and is incident on an ExB filter 156. The primary electron beam, which travels in a direction deflected by the ExB filter 156, passes through a lens 157, an aperture 158, and lenses 159, 160, and is irradiated to the surface of a wafer W carried on an XYZθ stage S. The wafer W is, for example, an Si wafer of 300 mm diameter, which is formed thereon with a pattern structure in the middle of a semiconductor circuit manufacturing process. The stage S can move in three orthogonal directions, X-, Y-, Z-directions, and rotate in a θ-direction, and the wafer W is fixed on the stage S by an electrostatic chuck. Electron beams emitted from the surface of the wafer W represents a two-dimensional electron image which reflects the shape of patterns formed in the surface of the wafer. The electron beams emitted from the wafer W pass through the lenses 160, 159, aperture 158, and lens 157, and travels straight, without being bent by the ExB filter 156, pass through a lens 161, an aperture 162, a lens 163, and an aligner 164, and is introduced into the detection unit DU. The electron beams thus introduced into the detection unit DU are incident on a detector selected from a plurality of detectors which have been described in the first to twelfth embodiments. The apertures 158, 162 perform noise cut operations. It should be noted that voltages applied to the respective lenses are set to meet conditions for focusing the emitted electrons at a predefined magnification. Also, focus adjustment, distortion adjustment, aligner adjustment, aperture position adjustment, and ExB condition adjustment are performed as optical axis adjustments. The lenses 157, 159 are tablet lenses which are dual telecentric and accomplish low aberration and low distortions. This lens system can provide magnification of 5-1000 times. Distortions are corrected by a stig (not shown), and conditions for adjustment have been periodically calculated using a reference wafer. For adjusting the positions of the aligner and aperture, previously found values are used for a predefined magnification to be used, and ExB is adjusted using a voltage of the electron source 151, i.e., a value previously found for the energy of the primary electron beam. When a wafer has a pattern of oxide films and/or nitride films, an optical correction for distortions alone is not sufficient, so that evaluation points are sampled from a captured image to evaluate shifts in position for correcting distortions. For example, the wafer may be compared with CAD data or review SEM image for evaluation with respect to the horizontal degree, vertical degree, coordinate position, and the like. Subsequently, an inspection can be made for defects on a die-to-die or a cell-to-cell basis or the like. IN the die-to-die inspection for defect, an inspection area is set within a die, and captured images of the same inspection areas from other dies are compared to determine the presence/absence and type of defects. It should be noted that electron beams emitted from the wafer W may be any of secondary electrons, reflected electrons, back scattered electrons, and Auger electrons. Since these electrons differ in energy from one another, an electron image can be captured by selecting focusing conditions with the energy of desired electrons. Voltage conditions for focusing can be previously calculated through simulations or the like. The detection of the image of the wafer W in the detection unit DU involves first moving the stage S such that a predetermined position of the wafer W can be detected, and next detecting a viewing field corresponding to a magnification at that position, for example, an image of an area of 200×200 μm at a magnification of 300 times. By repeating this operation at high speeds, a plurality of positions are detected on the wafer W. Likewise, a comparison of images involves repetitions of operations for moving the stage S to allow the detection unit DU to detect a desired area on the wafer W and capturing an image, and comparing captured data with one another. Through such an inspection process, it is possible to determine the presence/absence of defects such as debris, defective conduction, defective pattern, missing pattern and the like, determine the states of the defects, and classify the defects. An example of specific operation conditions for the inspecting apparatus shown in FIG. 20 is listed below. Pressure within Vacuum Chamber MC during Operation: 1×10-1×10−4 Pa; Stage Moving Speed: 0.1-100 mm/s; Wafer Irradiated Current Density: 1×10−5-1×10−1 A/cm2; Size of Irradiated Electron Beam: Ellipse of 500×300-10×5 μm; Magnification: 10-2000; Amount of Electrons Incident on Detection Unit: 10 pA-1 mA; and Energy Incident on Detection Unit: 1-8 keV. The irradiated current density is controlled by feeding back the output of the detection unit DU. When the outputs of the CCD detector and TDI detector are controlled to fall within 50-80% of their saturation values, they can be used within a range in which the input/output relationship of these detectors can maintain the linearity (i.e., a range in which a shift in linearity is 3% or less), so that images can be highly accurately evaluated. Particularly, with the performance of shading processing for subtracting background noise, or the like, the processing effect is low, and pseudo effects can occur to the contrary in a region with low linearity. Alternatively, the irradiated current density can be controlled using an image evaluation value by an image processing system or the like, instead of the output of the detection unit DU. The control of the irradiated current density using the contrast, maximum luminance, minimum luminance, average luminance, and the like of an image is effective in capturing stable images. It is also possible to perform stable image comparisons by standardizing the luminance and contrast of images to be compared, i.e., under the same conditions. FIG. 21 shows an example which is configured to use one of UV light, UV laser light, and X-ray instead of an electron beam in the inspecting apparatus described in FIG. 20. Specifically, an UV light source 171 is provided, for irradiating a wafer W with UV light, by way of example, instead of the electron gun 151, lenses 152, 155, and apertures 153, 154. In this way, the UV light is incident on the surface of the wafer W as a primary beam, and optical electrons emitted from the wafer W are enlarged by a lens, an aperture or the like of an illustrated electro-optical system, and directed into a detection unit DU which detects an image of patterns on the wafer W. The UV light from the UV light source 171 is actually transmitted to the wafer W through a hollow fiber, and is irradiated to a viewing field region near the center of the wafer W, for example, in a region of 300 μm diameter. In this regard, the X-ray or UV laser light may be used as a primary beam in a similar manner, where optical electrons emitted from a wafer W irradiated therewith can be utilized to capture an electron image of patterns on the wafer W. FIG. 22 in turn shows an example which employs in combination a primary electron beam from an electron gun 151, and UV laser light from a UV laser source 181 for irradiating the surface of a wafer W with the two types of beams. In this example, as will be understood from the descriptions made in connection with FIGS. 20 and 21, the primary electron beam emitted from the electron gun 151 is deflected by an ExB filter 156 to travel along the optical axis of an electro-optical system, and is irradiated to the wafer W. Electron beams emitted from the wafer W travels straight through the electro-optical system. The UV laser used in combination with the primary electron beam is also incident on the surface of the wafer W as a primary beam, and optical electrons emitted therefrom are enlarged by a lens, an aperture and the like of the illustrated electro-optical system, and are directed into a detection unit DU which detects an image of patterns on the wafer W. The UV laser light used herein may be a four-time wave of YAG or exima laser light which is introduced to the surface of the wafer W through a hollow fiber. In the inspecting apparatus so far described in connection with FIGS. 20 to 22, the lens 160 operates as a control electrode. When the wafer W includes a number of oxide films and/or nitride films, the wafer W irradiated with an electron beam readily results in charge-up on the oxide film or the like on the surface. This will cause the trajectory of electron beams emitted from the surface of the wafer W to curve, or a discharge to occur between the wafer W and an electrode, for example, the lens 159 or the like. This influence is particularly grave in the projection optical system shown in FIGS. 20 to 22. This is because electron beam impinges on a wider region at one time, as compared with a SEM scheme, due to a rectangular or oval shape of the irradiated electron beam. In the SEM scheme, since converged electron beams are scanned, the charge-up is mitigated, resulting in a relatively small amount of charge-up. However, for the reason set forth above, the projection optical system is more susceptible to charge-up and largely affected thereby. A discharge occurs between the wafer W and the lens 159 because a potential on the lens 160 is relatively low and can be freely changed, whereas the lens 159 is applied with a high voltage in the range of 15 to 30 kV which cannot be varied. In this event, a lens electric field distribution on the surface of the wafer W is determined by the voltage applied to the lens 159, and a voltage applied to the wafer W (for example, −3 kV), for example, 1-3 kV/mm. Therefore, the lens 160 is used to adjust the electric field distribution on the surface of the wafer W by adjusting the voltage applied to the lens. By adjusting the voltage of the lens 160, the electric field distribution on the surface of the wafer W can be adjusted in the range of 0.1 to 1 kV/mm, thus restraining the discharge. This is because, by debilitating the positive electric field distribution, an initial acceleration of electrons emitted from the surface of the wafer W can be reduced, i.e., an emitted electric field strength can be debilitated, to reduce the emission of electrons which contribute to the discharge. Actually, it is thought that electrons are more likely to be emitted at corners and in regions with high electric field strength. For example, assuming that an insulating film is positively charged up, and a miniature plug structure electrically conducted to a lower layer exists below the insulating film, the plug is at a substrate potential (for example, −3 kV), with the surrounding insulator positively charged up. When the surface of the plug has a diameter of 100 nm, and the charge-up is +10 V, the average electric field strength of the plug is calculated to be 100 kV/mm. Further, if the electric field strength increases in fine gaps and asperities in a boundary region between the plug and the insulator beyond 108-109 V/mm, by way of example, electrons will be emitted, causing a discharge to readily occur. Next, FIG. 23 shows an example off a transmission-type inspecting apparatus. While the inspecting apparatus shown in FIGS. 20 to 22 irradiates a wafer with an electron beam, UV light, or UV laser light to use electrons emitted from the wafer, the inspecting apparatus shown in FIG. 23 inspects a sample utilizing electrons which are generated by an electron beam that has transmitted a sample. Specifically, an electron beam emitted from an electron gun 151 passes through a lens 191 and an aperture 192 to control the angle of electrons and the amount of electrons incident on zoom lenses 193, 194. An incident angle to the aperture 195 is controlled by these lenses. The electron beam, which has been adjusted for the amount of electrons by the aperture 195, is made parallel with the optical axis by a lens 196, and irradiated to a sample SL. By adjusting voltages applied to the zoom lenses 193, 194, the zooming magnification is change, for example, from one to 200 times, and the size of the electron beam irradiated to the sample SL is controlled to have the diameter, for example, in the range of 5 to 1000 μm. The electron beam which has passed through or transmitted the sample SL is enlarged by a secondary optical system which comprises lenses 197, 198, 200, 201, 203, and apertures 199, 202, and is introduced into a detection unit DU. The lens 197 comprises an electrode for adjusting the electric field strength with the sample SL. The lenses 198, 200 are doublet lenses and satisfy dual centric conditions, and therefore provide electron images with low aberration. The lenses 201, 203 are lenses for enlarging an electron image. The lens 203 is adjusted such that the electron beam is focused on the sensor of the detection unit DU, the fluorescent plate, or the surface of the MCP. The apertures 199, 202 control aberration and the amount of electrons introduced into the detection unit DU. The sample SL can be any arbitrary item such as an exposure mask, a stencil mask, a micro-machine having a miniature structure, MEMS parts and the like, in addition to a semiconductor wafer and a semiconductor device. It is necessary to adjust the energy of the electron beam irradiated to the sample in accordance with the characteristics of each sample, such as the material, pattern shape, and the like of the sample SL. For permitting the electron beam to transmit the sample SL, high energy is required, and can be 50-100 keV in some cases. With a sample SL having openings such as holes, slits and the like, and/or interstices, for capturing electron beams which have passed through such openings and interstices, the electron gun 151 is required to generate electrons of 10-10000 eV. For example, assume that a sample SL is irradiated with an electron beam having energy of 5 keV, generated from the electron gun 151. In this event, assuming that the potential of the sample is −4 kV, the electron beam is incident on the sample SL at 1 kev. The electron beam which has passed through the sample SL reflects patterns on the sample SL, and is introduced into the detection unit DU. In the inspecting apparatus which has been described above with reference to a variety of embodiments, the CCD sensor or EB-CCD sensor is used to capture a still image, and adjustment of beam axis, observation of sample, inspection for defects, capturing of review image, review observation, measurement, and evaluation can be performed utilizing a step-and-repeat function. In the following, the step-and-repeat function will be described with reference to FIG. 24. FIG. 24(A) schematically shows the positional relationship between a wafer W and a plurality of dies 211. As shown, a notch 212 is formed in a right-hand region. The dies 211 include a plurality of patterns, classified into a cell pattern area and a random pattern area, and therefore, a plurality of types of cells and random pattern areas exist. The size of the dies is generally on the order of 1×1 mm to 30×30 mm, though it depends on a wafer of a process. As shown in FIGS. 23(B) and 23(C), a care pattern 213 refers to a pattern portion for which an inspection, a measurement, or an evaluation is desired, within such a pattern, and a particular site 214 refers to a portion which should be particularly noted. The particular sites include, for example, a site which is highly likely to become defective during a process period due to difficulties in processing because of a small pattern size, a defective site after an inspection for defects, a site which is evaluated for a shift in position with an underlying lager in a lamination process, a turn site for evaluating distortion and aberration of the electro-optical system, and the like. For the particular sites as listed above, the step-and-repeat is performed using a CCD sensor or an EB-CCD sensor to compare required images, evaluate shifts, to observe details, and so on. For inspecting a care area in a cell portion for defects, patterns are compared with one other in repeated pattern areas in the cell portion. For example, a viewing field of 5×5 to 500×500 μm on a sample surface can be observed in a capturing time of 10 to 100 minutes with a magnification of approximately 50 to 1000. As one still image (CCD image or EB-CCD image) has been captured, the observation area is moved by a predefined distance to capture the same pattern in a similar manner. With repeated patterns, the next one of successive patterns is captured. In this way, a plurality, generally, three or more, of the same patterns are captured, and the captured images are compared with one another. As the result of the comparison, if there is only one different pattern or contrast, or the like, this part is regarded as defective. Such an inspection is made simultaneously with the image capturing (on-line), or after capturing inspected images (off-line), to classify the coordinates and types of defective sites. For inspecting random patterns for defects, random patterns in care areas of each die are compared with one another. In this event, a care area of a random pattern is captured on one die. This may be performed using any of an approach for capturing a plurality of still images at one time and an approach for capturing one by one. Next, the inspecting apparatus is moved to a random pattern in a care area of another die for capturing. By thus capturing three or more still images, comparing corresponding patterns with one another, and finding an failure which exists only on one image, defective patterns, debris, defective contrast, and the like are sensed. With this inspection, the coordinates of defects and the type of defects can be classified on-line or off-line. This is referred to as a die-to-die inspection based on step-and-repeat. Otherwise, the inspecting apparatus may be used to evaluate a positional shift with an underlying layer in a process. In this event, alignment marks are placed on an underlying layer and an overlying layer laminated thereon. A positional shift is evaluated by measuring a degree to which these alignment marks overlap, for example, a shift of the position of center of gravity, a shift of the centers of representative lengths from one another, and the like. This evaluation is made after CMP in a wiring structure for the underlying layer, and after the formation of resist, or after resist covering and exposure for the overlying layer. Examples of alignment marks are shown in FIG. 25. (A) shows a cross-shaped alignment mark, arranged on an overlying layer and an underlying layer, which comprises two rectangle of 15 μm long placed one on the other to appear as a cross shape. Based on how these alignment marks overlap, the amount of shift is found for a representative position such as a pattern center position or the like, calculated from the positions of the centers of gravity of the underlying layer and overlying layer, and the vertical and horizontal lengths to compare the overlying and underlying layers. (B) shows a square alignment mark 222 having a side of 20 μm attached to an underlying layer, and a square alignment mark 223 having a side of 7 μm attached to an overlying layer, which overlap one on the other. Likewise, in this event, a positional shift is evaluated by calculating the center position of the mark from a sift of the positions of the centers of gravity, and a die row length. In this regard, the size of the alignment marks is not limited to the values shown in FIG. 25, but an alignment mark of a smaller size may be used, for example, a total size of 1×1 μm. 10-50 of such alignment marks are attached to one wafer. A shift amount is calculated for each alignment mark, and if there is a relative directivity in the shift amount (for example, when a larger shift is found generally in the left-hand direction), the exposure position is adjusted to make a correction therefor. In this way, with the use of the step-and-repeat function, the CCD sensor or EB-CCD sensor provides a higher resolution and MTF, as compared with the TDI detector. When images can be captured in a situation in which a large number of electrons can be captured per pixel, inspection for defects, review inspection, position shift inspection can be performed with high accuracy, taking advantage of the characteristics of the CCD sensor and EB-CCD sensor. As described above, the inspecting apparatus according to the present invention can use the CCD detector 11 and TDI detector 12 by switching one to the other, and therefore provides advantages as described below. First, the CCD detector 11 using the CCD sensor or EB-CCD sensor can be used to capture a still image, while the TDI detector 12 using the TDI sensor or EB-TDI sensor can be used to capture sequential images by capturing images while moving the stage device. For switching these detectors to selectively capturing a still image and sequential images, the axes of the sensors used in the respective detectors must be in alignment. It is also necessary that the lens conditions (intensities of the lenses, beam deflection conditions, and the like) are the same when the CCD detector 11 is used and when the TDI detector 12 is used. Further, the primary optical system and secondary optical system must operate under the same conditions. In this regard, the sensors of the respective detectors can be corrected for a relative positional shift of their axes by comparing images captured by the sensor of the CCD detector 11 and the sensor of the TDI detector 12. Describing the operation in the inspecting apparatus according to the present invention in a specific manner, first at step S1, the CCD detector 11 is placed in front of the TDI detector 12 to capture a still image to align the primary optical system to the secondary optical system. Next, after the secondary optical system is adjusted (for example, the size, magnification, and contrast of secondary beams, centering of lenses), the size and current density distribution of the primary beam are adjusted. Subsequently, at step S2, the CCD detector 11 is moved to direct secondary electron beams into the TDI detector 12, thereby capturing sequential images to ensure sample inspection images. Further subsequently, at step S3, the CCD detector 11 is removed and placed in front of the TDI detector 12 to capture a review image which is then compared with the inspection images captured by the TDI detector 12 to determine whether a defective site confirmed in an inspection image captured by the TDI detector 12 is a false defect or a true defect. It should be noted that in general, the aforementioned step S1 is performed only for the first one of a plurality of wafers accommodated in a cassette, while steps S2 and S3 are performed for the second wafer onward. However, for confirming the stability of the inspection, step S1 may be performed on a periodic basis. As described above, since still images can be captured by the CCD detector 11, the optical system can be adjusted by attaching a standard chip at an arbitrary end of the stage device, without the need for transferring a wafer. In other words, a still image of the standard chip can be captured while a wafer is being loaded, to confirm the reproductivity of the primary beam, secondary beam, and electron beam (free of variations). When a difference is found by confirming a difference between the image of the standard chip and the image of the wafer, no inspection is performed on the assumption that chucking conditions of the electrostatic chuck have varied. It is also possible to check variations in the current density of the primary beam and the beam size. The size, position, and profile of the primary beam are adjusted with reference to the image captured by the CCD detector 11 at the aforementioned step S1. Also, when variations in these parameters exceed a certain basis, the electron gun or FA (aperture plate) is replaced. In a process of aligning the primary beam to the secondary beam, an image of low magnification, for example, 30 times, 80 times or the like is used. However, since the secondary beam locally impinges on MCP when a low-magnification image is captured, the MCP is locally damaged, resulting in a failure in detecting defects. Accordingly, the MCP must be replaced when an observation time at low magnifications has exceeded a certain time (for example, 1000 hours). On the other hand, the EB-CCD sensor can be used for a long term because it is not particularly damaged by the irradiation of the electron beam. Also, the secondary beam is aligned with reference to the image captured by the CCD detector 11. For example, the centering of the lenses, optimization for operating conditions of the beam deflector (for example, the ExB separator 3 in FIG. 2) (for example, adjustments to conditions for projecting an image onto the center of the sensor) can be performed. In this way, highly accurate adjustments can be accomplished. For example, the MTF can be adjusted in the range of 30 to 50%. Also, by using the image captured by the CCD detector 11, it is possible to check fluctuations in the secondary beam, changes in stig condition, a shift of the center of lens, fluctuations in beam deflection conditions, and the like. In regard to the image processing system (for example, the image processing unit 9 in FIG. 2), a step-and-repeat based inspection can be performed because a still image can be captured by the CCD detector 11. Also, since the detectors can be rapidly switched, an inspection can be performed after switching from the TDI detector 12 to the CCD detector 11 when the inspection involves a small number of points under inspection, such as an overlay inspection. Preferably, the TDI detector 12 is used for an inspection when the inspection speed is 10 MPPS (mega-pixel/sec) or higher, and the CCD detector 11 is used for an inspection when the inspection speed is 10 MPPS or lower. Also, since the sensor of the CCD detector 11 has been brought into alignment to the sensor of the TDI detector 12, the sensor of the CCD detector 11 need not be again aligned when a review image is captured at the aforementioned step S3. By incorporating the inspecting apparatus according to the present invention into a factory network, operation situations such as axis adjustment, inspection, review and the like can be communicated to a manager through the factory network, thus permitting the manager to immediately know failures in apparatuses and defective adjustments and take appropriate actions therefor. Now, an example of a semiconductor manufacturing method performed using the inspecting apparatus described above will be described with reference to flow diagrams of FIGS. 26 and 27. As shown in FIG. 26, the semiconductor device manufacturing method includes, as main processes, a wafer manufacturing process 231 for manufacturing wafers or a wafer preparing process for preparing wafers, a mask manufacturing process f236 or manufacturing masks and reticles for use in exposure or a mask preparing process for preparing masks, a wafer processing process 232 for performing processing required to the wafer, a chip assembling process 233 for cutting, one by one, chips formed on the wafer and making them operable, a chip inspecting process for inspecting chips manufactured in the chip assembling process, and a process for producing products (semiconductor devices) from chips which have passed the inspection. In this regard, since the wafer manufacturing process 231, wafer processing process 232, and lithography process 2323 are known, a description thereon is omitted here. These main processes are further comprised of several sub-processes, respectively. A main process which exerts critical affections to the performance of resulting semiconductor devices is the wafer processing process. This process involves sequentially laminating designed circuit patterns on the wafer to form a large number of chips which operate as memories or MPUs. The wafer fabricating process includes sub-processes as shown in an area surrounded by dotted lines in the figure. Specifically, the wafer processing process 232 includes a thin film forming sub-process 2321 for forming dielectric thin films serving as insulating layers, metal thin films for forming wires or electrodes, and so on using CVD, sputtering and so on; an oxidation sub-process 2322 for oxidizing metal thin film layers and wafer substrate; a lithography sub-process 2323 for forming a resist pattern using masks or reticles for selectively fabricating the thin film layers and the wafer substrate; an etching sub-process 2324 for fabricating the thin film layers and the substrate in conformity to the resist pattern using, for example, dry etching techniques; an ion/impurity implantation/diffusion sub-process 2325; a resist striping sub-process; and an inspection sub-process 2326 for inspecting the fabricated wafer. As appreciated, the wafer processing process 232 is repeated a number of times equal to the number of required layers to manufacture semiconductor devices which operate as designed. By applying the inspecting apparatus according to the present invention to the inspection sub-process 2326, it is possible to inspect even a semiconductor device which has miniature patterns. Since a total inspection can be accomplished, it is possible to manufacture semiconductor devices which operate as designed to improve the yield rate of products and prevent defective products from being shipped. FIG. 27 shows steps performed in the lithography sub-process 2323 in FIG. 26. The lithography sub-process 2323 includes a resist coating step 241 for coating a resist on the wafer on which circuit patterns have been formed in the previous process; a resist exposing step 242 for exposing the resist; a developing step 243 for developing the exposed resist to produce a resist pattern; and an annealing step 244 for stabilizing the developed resist pattern. While the inspecting apparatuses according to the present invention have been described in connection with a variety of embodiments thereof with reference to the drawings, the present invention is not limited to such embodiments. For example, in the embodiments so far described, the sensors and electro-optical systems are disposed within the vacuum chamber, but the vacuum chamber is not necessarily used in an environment in which sensors such as the CCD sensor, TDI sensor and the like can operate. Also, while the embodiments shown in FIGS. 3 to 7, FIG. 12, FIG. 14, FIG. 15, and FIGS. 17 to 19 uses the FOP at one stage, the FOP is not limited to one stage, but the FOPs can also be used at a plurality of stages. For example, it is possible to use two FOPs which comprise an FOP coated with a fluorescent agent for use in combination with MCP, and an FOP adhered to a TDI sensor and in close contact with the former FOP. In doing so, the assembly is improved in accuracy and efficiency. Specifically, if a FOP coated with a fluorescent agent is adhered to a TDI sensor, contamination and adhesive, if sticking to the fluorescent agent of the FOP, would be difficult to wash away. Also, when a fluorescent agent is coated after adhesion, a special process and technique will be required such that the fluorescent agent is not coated on the TDI sensor itself. Further, a high level of stringency is required for an assembling accuracy for the parallelism of the FOP coated with the fluorescent agent with an MCP and the like, so as not to affect the resolution and anti-discharge performance. Such intricacy is eliminated by the use of the aforementioned FOPs at two stages. This is true when a plurality of FOPs are used. As will be understood from the foregoing description, the present invention relies on a moving mechanism or a deflecting means to select a detector which provides appropriate performance without requiring a work for changing one detector to another as before, thus making it possible to reduce a long time taken for the restoration of a vacuum state after the exposure to the atmosphere due to the change of the detector, and to efficiently perform works such as adjustments to certain electro-optical systems, sequential inspections, defect evaluation, and the like. Also, the present invention has a great significance in a technological and industrial sense such as the accomplishment of remarkable improvements on work efficiency, reduction in cost, higher performance of surface inspection, higher throughput, and the like. |
|
description | This application is a continuation of U.S. patent application Ser. No. 12/803,075, filed on Jun. 18, 2010, which has issued on Dec. 11, 2012, as U.S. Pat. No. 8,330,131, and from which priority is claimed, and which application is incorporated by reference herein, and which claims the benefit of priority under 35 U.S.C. §119(e) of U.S. Provisional Application Ser. No. 61/335,700 filed on Jan. 11, 2010, which application is incorporated by reference herein. The present disclosure relates generally to grazing-incidence collectors (GICs), and in particular to a source-collector module for use in an extreme ultraviolet (EUV) lithography system that employs a laser-produced plasma. Laser-produced plasmas (LPPs) are formed in one example by irradiating Sn droplets with a focused laser beam. Because LPPs can radiate in the extreme ultraviolet (EUV) range of the electromagnetic spectrum, they are considered to be a promising EUV radiation source for EUV lithography systems. FIG. 1 is a schematic diagram of a generalized configuration for a prior art LPP-based source-collector module (“SOCOMO”) 10 that uses a normal-incidence collector (“NIC”) mirror MN, while FIG. 2 is a more specific prior art example configuration of the “LPP-NIC” SOCOMO 10 of FIG. 1. The LPP-NIC SOCOMO 10 includes a high-power laser source 12 that generates a high-power, high-repetition-rate laser beam 13 having a focus F13. LPP-NIC SOCOMO 10 also includes along an optical axis A1 a fold mirror FM and a large (e.g., ˜600 mm diameter) ellipsoidal NIC mirror MN (having an on axis aperture hole to allow passage of the laser beam 13 to the target) that includes a surface 16 with a multilayer coating 18. The multilayer coating 18 is essential to guarantee good near normal mirror reflectivity at EUV wavelengths. LPP-NIC SOCOMO 10 also includes a Sn pellet (droplet) source 20 that emits a stream of Sn pellets (droplets) 22 that pass through focus F13 for the laser beam 13. In the operation of LPP-NIC SOCOMO 10, laser beam 13 irradiates Sn pellets (droplets) 22 as the pellets pass through the focus F13 for the laser beam 13, thereby produce a high-power LPP 24. LPP 24 typically resides on the order of hundreds of millimeters from NIC mirror MN and emits EUV radiation 30 as well as energetic Sn ions, particles, neutral atoms, and infrared (IR) radiation. The portion of the EUV radiation 30 directed toward NIC mirror MN is collected by the NIC mirror MN and is directed (focused) to an intermediate focus IF to form an intermediate focal spot FS. Advantages of LPP-NIC SOCOMO 10 are that the optical design is simple (i.e., uses a single ellipsoidal NIC mirror) and the nominal collection efficiency can be high because NIC mirror MN can be designed to collect a large angular fraction of the EUV radiation 30 emitted from LPP 24. It is noteworthy that the use of the single-bounce reflective NIC mirror MN placed on the opposite side of LPP 24 from the intermediate focus IF, while geometrically convenient, requires that the Sn pellet (droplet) source 20 not significantly obstruct EUV radiation 30 being delivered from the NIC mirror MN to the intermediate focus IF. Thus, there is generally no obscuration in the LPP-NIC SOCOMO 10 except perhaps for the hardware needed to generate the stream of Sn pellets (droplets) 22. LPP-NIC SOCOMO 10 works well in laboratory and experimental arrangements where the lifetime and replacement cost of LPP-NIC SOCOMO 10 are not major considerations. However, a commercially viable EUV lithography system requires a SOCOMO that has a long lifetime. Unfortunately, the proximity of the surface 16 of NIC mirror MN and the multilayer coatings 18 thereon to LPP 24, combined with the substantially normally incident nature of the radiation collection process, makes it highly unlikely that the multilayer coating 18 will remain undamaged for any reasonable length of time under typical EUV-based semiconductor manufacturing conditions. The damage can come from ions incident on the multilayer coating 18 causing mixing and or absorption of EUV radiation 30; from Sn atoms which could coat the multilayer coating 18 and thereby inhibit reflection of the EUV radiation 30; from thermal loading; and/or from ionizing EM radiation; and/or from energetic electrons. A further drawback of the LPP-NIC SOCOMO 10 is that it cannot easily be used in conjunction with a physical debris mitigation device (DMD) because the DMD would obstruct the EUV radiation 30 from being reflected from NIC mirror MN. In addition the NIC architecture using a high rep-rate droplet target places precise rep-rate demands on the laser system which adds to the cost of the laser system and adds additional reliability risk to the SOCOMO system. Multilayer coating 18 is also likely to have its performance significantly reduced by the build-up of Sn. Even a few nanometers of such build-up will significantly absorb the EUV radiation 30 and reduce the reflectivity of the multilayer coating 18. Also, the aforementioned energetic ions, atoms and particles produced by LPP 24 will bombard multilayer coating 18 and can destroy the layered order of the top layers of the multilayer coating 18. In addition, the energetic ions, atoms and particles will erode multilayer coating 18, and the attendant thermal heating from the generated IR radiation can act to mix or interdiffuse the separate layers of the multilayer coating 18. While a variety of subsystems have been proposed to mitigate the above-identified problems with LPP-NIC SOCOMO 10, they all add substantial cost, reliability risk and complexity to the SOCOM system, to the point where it becomes increasingly unrealistic to include it in a commercially viable EUV lithography system. What is needed therefore is a less expensive, less complex, more robust and generally more commercially viable SOCOMO for use in an EUV lithography system that uses an LPP-based EUV radiation source. The present disclosure is generally directed to grazing incidence collectors (GICs), and in particular to GIC mirrors used to form a source-collector module (SOCOMO) for use in EUV lithography systems that have a LPP-based EUV light source based on a movable Sn target with a much simpler architecture then a droplet system—such as described earlier. Such simple architecture could include a rotating Sn-coated wheel or disk. Aspects of the LPP-GIC SOCOMO include a debris-mitigation device arranged between the LPP source and GIC mirror to reduce the thermal and debris load on the GIC and thereby extend the lifetime of the GIC mirror. An aspect of the invention is a source-collector module for an extreme ultraviolet (EUV) lithography system. The source-collector module includes a laser, a solid laser-produced plasma (LPP) target and a grazing-incidence collector (GIC) mirror. The laser generates a pulsed laser beam along a source-collector module axis. The solid laser-produced plasma (LPP) target has a surface configured to receive the pulsed laser beam and create an LPP that generates EUV radiation. The grazing-incidence collector (GIC) mirror has an input end and an output end. The GIC mirror is arranged to receive the EUV radiation at the input end and focus the received EUV radiation at an intermediate focus adjacent the output end. In the source-collector module, the GIC mirror preferably provides a first reflecting surface that does not have a multilayer coating covering a significant portion of the first reflecting surface. In the source-collector module, the GIC mirror preferably includes a Ru coating. In the source-collector module, the GIC mirror preferably includes a multilayer coating. In the source-collector module, the GIC mirror preferably includes at least one segmented GIC shell having the first reflecting surface and a second reflecting surface, with the second reflecting surface having a multilayer coating. In the source-collector module, the laser beam preferably travels through the GIC from the output end to the input end and then to the LPP target surface. In the source-collector module, the LPP target surface preferably includes a coating of LPP-generating material formed atop a substrate. In the source-collector module, the LPP target surface preferably includes one of Sn and Xe. The source-collector module preferably further includes a radiation-enhancement collection device disposed between the GIC mirror output end and the intermediate focus and configured to direct EUV radiation to the focus spot. Another aspect of the invention is an extreme ultraviolet (EUV) lithography system for illuminating a reflective reticle. The EUV lithography system includes the above-mentioned source-collector module and an illuminator. The illuminator is configured to receive the focused EUV radiation formed at the intermediate focus and from condensed EUV radiation for illuminating the reflective reticle. The EUV lithography system preferably forms a patterned image on a photosensitive semiconductor wafer. The EUV lithography system preferably further includes a projection optical system. The projection optical system is arranged downstream of the reflective reticle and configured to receive reflected EUV radiation from the reflective reticle and form therefrom the patterned image on the photosensitive semiconductor wafer. Another aspect of the invention is a method of collecting extreme ultraviolet (EUV) radiation from a laser-produced plasma (LPP). The method includes providing a grazing incidence collector (GIC) mirror along an axis. The GIC mirror having input and output ends. The method also includes arranging adjacent the GIC mirror input end an LPP target system having a solid LPP target with a target surface. The method also includes sending a pulsed laser beam down the GIC axis and through the GIC from the output end to the input end and to the target surface while moving the LPP target to form the LPP that emits the EUV radiation. The method further includes collecting with the GIC mirror at the GIC input end a portion of the EUV radiation from the LPP and directing the collected radiation out of the GIC mirror output end to form a focus spot at an intermediate focus. The method preferably further includes employing a radiation collection enhancement device arranged between the GIC output end and the intermediate focus to direct EUV radiation to the focus spot that would not otherwise be directed to the focus spot by the GIC mirror. The method preferably further includes providing the target as a movable substrate having a coating of LPP-generating material formed thereon. The LPP-generating material includes Sn or Xe. In the method, the substrate preferably has a surface and an edge. And the method preferably further includes providing the coating on at least one of the surface and the edge. The method preferably further includes providing the GIC mirror with a first reflecting surface that does not have a multilayer coating. In the method, the GIC mirror preferably includes a Ru coating. In the method, the GIC mirror preferably includes a multilayer coating. In the method, the GIC mirror preferably includes at least one segmented GIC shell that includes the first reflecting surface and a second reflecting surface, with the second reflecting surface having the multilayer coating. The method preferably further includes forming from EUV radiation at the intermediate focus condensed EUV radiation for illuminating a reflective reticle. The method preferably further includes receiving reflected EUV radiation from the reflective reticle and forming therefrom the patterned image on the photosensitive semiconductor wafer using a projection optical system. Another aspect of the invention is a grazing incidence collector (GIC) mirror having an input end and an output end and for use with a laser-produced plasma (LPP) target system that generates an LPP that emits extreme ultraviolet (EUV) radiation. The GIC mirror includes three concentrically arranged innermost GIC shells and five outermost GIC shells. The three concentrically arranged innermost GIC shells have an elliptical shape. The five outermost GIC shells concentrically surround the three innermost GIC shells. And the five outermost GIC shells provide a double reflection of the EUV radiation, with each of the outermost GIC shells having a curvature defined by revolving an elliptical section and a hyperbolic section around a common axis not coincident with respective ellipse and hyperbola axes. And the five outermost GIC shells are respectively configured to provide a single reflection for EUV radiation that enters the input end and exits the output end. In the GIC mirror, each GIC shell has a thickness. The GIC shell preferably includes a polynomial surface-figure correction to uniformize variations in an intermediate image due to the GIC shell thickness. Another aspect of the invention is a source collector module (SOCOMO) for an extreme ultraviolet (EUV) lithography system. The SOCOMO includes the above-mentioned GIC mirror and the LPP target system. The LPP target system is configured so that the LPP is formed adjacent the input end of the GIC mirror. Additional features and advantages of the disclosure are set forth in the detailed description below, and in part will be readily apparent to those skilled in the art from that description or recognized by practicing the disclosure as described herein, including the detailed description which follows, the claims, as well as the appended drawings. The various elements depicted in the drawing are merely representational and are not necessarily drawn to scale. Certain sections thereof may be exaggerated, while others may be minimized. The drawing is intended to illustrate an example embodiment of the disclosure that can be understood and appropriately carried out by those of ordinary skill in the art. The present disclosure is generally directed to GICs, and in particular to GIC mirrors used to form a source-collector module (SOCOMO) for use in EUV lithography systems that have a LPP-based EUV light source. Aspects of the LPP-GIC SOCOMO may include a debris-mitigation device (DMD) arranged between the LPP and GIC mirror to extend the lifetime of the GIC mirror. FIG. 3A is a generalized schematic diagram of example LPP-GIC SOCOMO 100, wherein LPP 24 and intermediate focus IF are on opposite sides of a GIC mirror MG. GIC mirror MG has an input end 3 and an output end 5. An LPP target system 40 that generates LPP 24 is also shown, and examples of the LPP target system 40 are discussed in detail below. FIG. 3B is similar to FIG. 3A, but illustrating an example LPP-GIC SOCOMO 100 that includes a radiation-collection enhancement device (RCED) 37 arranged adjacent an aperture stop AS at or near where an intermediate focus spot FS is formed. RCEDs 37 are discussed in U.S. Provisional Patent Application No. 61/341,806, entitled “EUV collector system with enhanced EUV radiation collection,” which application is incorporated by reference herein. RCED 37 is disposed between the output end of GIC mirror MG and the intermediate focus spot FS and is configured to direct EUV radiation 30 to the intermediate focus spot FS that would not otherwise contribute to forming the intermediate focus spot FS. FIG. 4 is a schematic diagram of an example LPP-GIC SOCOMO 100 based on the general configuration of FIG. 3A. LPP-GIC SOCOMO 100 of FIG. 4 utilizes an LPP target system 40 having a Sn pellet (droplet) source 20 that generates the aforementioned series of Sn pellets (droplets) 22. In the present embodiment, Sn pellets (droplets) 22 are relatively low-mass pellets that when irradiated with laser beam 13 generate substantially isotropic EUV radiation 30. This allows for a configuration where multi-shell GIC mirror MG (shown with two GIC shells M1 and M2) is arranged along optical axis A1 between LPP 24 and intermediate focus IF. A lens 17 assists in focusing laser beam 13 to focus F13. In an example embodiment, GIC shells M1 and M2 include Ru coatings, which are relatively stable and can tolerate a certain amount of Sn coating from LPP 24 without significantly reducing the reflectivity of the GIC mirrors MG. LPP-GIC SOCOMO 100 of FIG. 5A is similar to that of FIG. 4, except that Sn pellets (droplets) 22 are relatively high-mass pellets that result in an anisotropic emission of EUV radiation 30 when irradiated by focused laser beam 13. In LPP-GIC SOCOMO 100 of FIG. 5A, laser source 12, focusing lens 17 and fold mirror FM are arranged so that Sn pellets (droplets) 22 are irradiated in the −X direction along optical axis A1, thereby creating EUV radiation 30 that is emitted substantially in the +X direction. The axial obscuration presented by fold mirror FM is minimal because of the finite diameter of the innermost GIC shell. Thus, laser beam 13 travels in one direction through GIC mirror MG generally along optical axis A1 and EUV radiation 30 travels in the opposite direction through the GIC mirror MG and to intermediate focus IF. FIG. 5B is similar to FIG. 5A, but with the LPP-GIC SOCOMO 100 including an RCED 37 arranged adjacent aperture stop AS at or near where intermediate focus spot FS is formed. LPP-GIC SOCOMO 100 of FIG. 6A is similar to that of FIG. 5A, except that LPP target system 40 includes a relatively high-mass, solid, moveable LPP target 27 having a surface 29. In various examples, LPP target material may include Sn or Xe. LPP target system 40 includes a target assembly 41 having target driver 31 (e.g., a motor), a drive shaft 32 attached to the target driver 31, and a mounting plate MP attached to the drive shaft 32. LPP target 27 mounts to mounting plate MP. The incident laser beam 13 from laser source 12 is directed to travel axially through GIC mirror MG in the −X direction and is incident upon the surface 29 of LPP target 27 to form LPP 24. Moving LPP target 27 allows for laser beam 13 to be incident upon surface 29 of LPP target 27 at a different location for each laser pulse, or to have a limited number of laser pulses for each target location. The EUV radiation 30 from LPP 24 formed on LPP target 27 is generally emitted in the +X direction and travels through GIC mirror MG in the opposite direction of laser beam 13. FIG. 6B is similar to FIG. 6A and illustrates an example embodiment of a LPP target system 40 having a solid LPP target 27 in the form of a rotating disc, where the surface 29 of LPP target 27 is now the disc edge rather than the disc face. This embodiment for LPP target system 40 is discussed in greater detail below. Both embodiments of LPP-GIC SOCOMO 100 of FIGS. 6A and 6B include an optional RCED 37. FIG. 7A is a detailed schematic side view of LPP target system 40 of FIG. 6A. LPP target system 40 includes a vacuum chamber 42 having an interior 43 and an opening 44 surrounded by a flange 45 used to connect vacuum chamber 42 to a larger vacuum chamber (not shown) for the LPP-GIC SOCOMO 100. LPP target system 40 includes the aforementioned target assembly 41 that supports LPP target 27. Target assembly 41 is configured to translate and/or rotate LPP target 27 so that laser beam 13 is scanned (e.g., raster scanned, spiral scanned, etc.) over surface 29 of LPP target 27. With reference to FIG. 7B, in one embodiment, LPP target 27 is a substrate 50 (e.g., glass, ceramic, metal, etc.) having a coating 52 made of an LPP-generating material such as Sn or Xe. An example thickness TH of coating 52 is between 1 micron and 5 microns. In one embodiment, substrate 50 is a standard blank reticle used in semiconductor manufacturing, with the advantage that handling equipment for such substrates has been developed and is readily available. In another embodiment, substrate 50 is a standard blank compact-disc (CD) used for data storage, except that it is covered with coating 52. Standard handling equipment is also available for such substrates. Both types of substrates can be inexpensively refurbished and reused. With reference also to the front-on view of FIG. 7C, LPP target system 40 also includes a first cassette 60N for storing new LPP targets 27 and a second cassette 60U for storing used LPP targets 27. LPP target system 40 also includes target handling system 62 configured with a movable target holder 64 to remove used LPP targets 27 from mounting plate MP and move them (via path P1) to the second cassette 60U for storage and to remove (via path P2) new targets from first cassette 60N to the mounting plate MP. In an example embodiment, target handling system 62 makes use of vacuum interlocked robots. Other embodiments include moving (translating) target assembly 41 to move used LPP targets 27 to second cassette 60U and pick up a new LPP target 27 from first cassette 60N. This particular embodiment is best suited for lightweight LPP targets 27. In another embodiment illustrated in FIG. 7D, a pair of target assemblies 41, with one target assembly 41 being “off-line” to remove a used LPP target 27 and replace it with a new one, while the other target assembly 41 is “on-line” and being used to generate LPP 24. FIG. 8A is a detailed schematic side view of the example LPP target system 40 shown in FIG. 6B that includes a disc-type LPP target 27, with the surface 29 of LPP target 27 being on the edge of the disc rather than on its front surface. Target assembly 41 is still used to drive LPP target 27, but now the LPP target 27 is oriented so that laser beam 13 strikes the edge of LPP target 27 (see laser spots 13S formed at angle φ) as the LPP target 27 is driven to rotate about the Z-axis. FIG. 8B is a perspective view and FIG. 8C is a cross-sectional view of an example LPP target 27 taken along the line 8C-8C that shows substrate 50 with its edge 51 coated with coating 52 made from LPP-generating material. This configuration forms a coating 52 that defines surface 29 of LPP target 27. An example coating width W is between 1 mm and 5 mm, and an example thickness TH of coating 52 is 1 micron to 5 microns. The LPP target system 40 of FIG. 8A also includes a melting source 70 that emits an energy beam 72 that irradiates surface 29 of LPP target 27 on the side opposite where laser beam 13 is incident upon the surface 29 of LPP target 27. Energy beam 72 serves to locally melt coating 52 to refurbish surface 29 of LPP target 27. Example melting sources 70 include e-beam systems, lasers, heating elements, filaments, etc. A surface finish monitor 80 is arranged relative to where energy beam 72 is incident upon surface 29 of LPP target 27 to monitor the refurbished (refinished) surface 29 of LPP target 27. A fold mirror 81 is shown to facilitate viewing the refurbished surface 29 of LPP target 27 by surface finish monitor 80. In an example embodiment, LPP target system 40 includes a controller 90 operably connected to target driver 31, melting source 70 and surface finish monitor 80 and is configured to control the overall operation of the LPP target system 40. An example controller 90 includes a computer that can store instructions (software) in a computer readable medium (memory) to cause the computer (via a processor therein) to carry out the instructions to operate LPP target system 40 to generate LPP 24. One example operation of controller 90 is to shift LPP target 27 in the direction of laser beam 13 as the thickness TH of coating 52 decreases while generating LPP 24. FIG. 8D is a close-up view of example embodiment of a portion of target assembly 41, wherein drive shaft 32 includes a cooling channel 33 with a divider 33D, and wherein LPP target 27 includes an internal target chamber 28. Cooling channel 33 of drive shaft 32 and internal target chamber 28 are fluidly connected so that a cooling fluid CF can flow from a cooling fluid source CFS through cooling channel 33 and to the internal target chamber 28 and then back to the cooling fluid source CFS, as illustrated. Other cooling configurations are contemplated beyond this illustrative example. Such a cooling system is desirable for high-repetition-rates since the attendant differential heating of coating 52 can degrade surface 29 of LPP target 27. SOCOMO with No First-Mirror Multilayer An example configuration of LPP-GIC SOCOMO 100 has no multilayer-coated “first mirror,” i.e., the mirror or mirror section upon which EUV radiation 30 is first incident (i.e., first reflected) does not have a multilayer coating 18 that covers a significant portion of the reflecting surface. In another example configuration of LPP-GIC SOCOMO 100, the first mirror is substantially a grazing incidence mirror. In an example, only a small section (i.e., a non-significant portion) of the reflective surface has a multilayer coating 18, e.g., for diagnostic purposes. A major advantage of LPP-GIC SOCOMO 100 is that its performance is not dependent upon on the survival of a multilayer coated reflective surface. Example embodiments of GIC mirror MG have at least one segmented GIC shell, such as GIC shell M1 shown in FIG. 9. GIC shell M1 is shown as having a two mirror segments M1A and M1B with respective first and second surfaces S1 and S2. First surface S1 provides the first reflection (and is thus the “first mirror”) and second surface S2 provides a second reflection that is not in the line of sight to LPP 24. In an example embodiment, second surface S2 supports a multilayer coating 18 since the intensity of the once-reflected EUV radiation 30 is substantially diminished and is not normally in the line of sight of LPP 24, thus minimizing the amount of ions and neutral atoms incident upon the multilayer coating 18. Finally, radiation is also grazingly incident upon the second surface S2 on the second reflection, thereby presenting less risk of damaging multilayer coating 18. SOCOMO Lifetime Another advantage of LPP-GIC SOCOMO 100 of the present disclosure is that its anticipated lifetime is in excess of 1 year, which is a commercially viable lifetime for a EUV lithography system used in semiconductor manufacturing. Another advantage is that it supports embodiments wherein the LPP target system 40 need not be based on dispensed Sn pellets (droplets) 22 but rather employs a solid LPP target 27 (see e.g., FIG. 6A and FIG. 6B). GIC vs. NIC SOCOMOs There are certain trade-offs associated with using a LPP-GIC SOCOMO 100 versus a LPP-NIC SOCOMO 10. For example, for a given collection angle of the EUV radiation 30 from the LPP 24, the LPP-NIC SOCOMO 10 can be designed to be more compact than the LPP-GIC SOCOMO 100. Also, the LPP-NIC SOCOMO 10 can in principle be designed to collect EUV radiation 30 emitted from the source at angles larger than 90° (with respect to the optical axis A1), thus allowing larger collection efficiency. However, in practice this advantage is not normally used because it leads to excessive NIC diameters or excessive angles that the EUV radiation 30 forms with the optical axis A1 at intermediate focus IF. Also, the far field intensity distribution generated by a LPP-GIC SOCOMO 100 has additional obscurations due to the shadow of the thickness of the GIC shells and of the mechanical structure supporting the GIC mirrors MG. However, the present disclosure discusses embodiments below where the GIC surface includes a surface correction that mitigates the shadowing effect of the GIC shells thicknesses and improves the uniformity of the intermediate focus spot FS at the intermediate focus IF. Further, the intermediate focus spot FS at intermediate focus IF will in general be larger for a LPP-GIC SOCOMO 100 than for a LPP-NIC SOCOMO 10. This size difference is primarily associated with GIC mirror figure errors, which are likely to decrease as the technology evolves. On the whole, it is generally believed that the above-mentioned trade-offs are far outweighed by the benefits of a longer operating lifetime, reduced cost, simplicity, and reduced maintenance costs and issues associated with a LPP-GIC SOCOMO 100. LPP-GIC SOCOMO with Debris Mitigation FIG. 10 illustrates an example embodiment of generalized a LPP-GIC SOCOMO 100 similar to FIG. 3B but that includes a debris mitigation device (DMD) 200 arranged between LPP 24 and GIC mirror MG. DMD 200 is shown in phantom to illustrate the fact that it typically passes EUV radiation 30 while blocking other damaging ions and particles (e.g., such as energetic Sn ions) from LPP 24. DMD 200 may also be configured to remove debris, such as Sn, formed in GIC mirror MG. Optional RCED 37 is shown in FIG. 10. Example DMDs 200 include those used in LPP-NIC SOCOMO technology, such as magnetic-field-based DMDs or DMDs based on a plurality of radial metal lamellas. Example DMDs are discussed in U.S. Pat. Nos. 7,230,258, 7,423,275, 7,372,049, 7,355,190 and 7,193,229, 7,180,083 and 6,963,071, which patents are incorporated herein by reference, and also in the article by D. J. W. Klunder, et al., “Debris Mitigation and Cleaning Strategies for Sn-Based Sources for EUV Lithography,” Proceedings of SPIE, vol. 5751, pp. 943-951, which article is incorporated by reference herein. Example GIC Mirror for LPP-GIC SOCOMO FIG. 11 is a schematic side view of a portion of an example GIC mirror MG for use in LPP-GIC SOCOMO 100. The optical design of GIC mirror MG of FIG. 11 actually consists of eight nested GIC shells 210 with cylindrical symmetry around the optical axis A1, as shown in FIG. 12. To minimize the number of GIC shells 210, the first three innermost GIC shells 210 are elliptical, whereas the five outermost GIC shells 210 are based on an off-axis double-reflection design having elliptical and hyperbolic cross sections, such as described in European Patent Application Publication No. EP1901126A1, entitled “A collector optical system,” which application is incorporated by reference herein. FIG. 11 shows two of the outermost GIC shells 210 having an elliptical section 210E and a hyperboloidal section 210H. FIG. 11 also shows the source focus SF, the virtual common focus VCF, and the intermediate focus IF, as well as the axes AE and AH for the elliptical and hyperboloidal GIC shells 210E and 210H, respectively. The distance between virtual common focus VCF and intermediate focus IF is ΔL. The virtual common focus VCF is offset from the optical axis A1 by a distance Δr. The full optical surface is obtained by a revolution of the cross sections of the elliptical and hyperboloidal GIC shells 210E and 210H around the optical axis A1. Example designs for the example GIC mirror MG are provided in Table 1 and Table 2 below. The main optical parameters of the design are: a) a distance ΔL between LPP 24 and intermediate focus IF of 2400 mm; and b) a maximum collection angle at the LPP side of 70.7°. In an example embodiment, GIC shells 210 each include a Ru coating for improved reflectivity at EUV wavelengths. The nominal collection efficiency of the GIC mirror MG for EUV radiation 30 of wavelength of 13.5 nm when the optical surfaces of GIC shells 210 are coated with Ru is 37.6% with respect to 2π steradians emission from LPP 24. Since an LPP EUV source is much smaller than a discharge-produced plasma (DPP) EUV source (roughly by a factor of 10), the use of LPP 24 allows for better etendue matching between the GIC mirror output and the illuminator input. In particular, the collection angle at LPP 24 can be increased to very large values with negligible or very limited efficiency loss due to mismatch between the GIC mirror MG and illuminator etendue. In an example embodiment, the collection angle exceeds 70°. The dimension of LPP 24 has a drawback in that the uniformity of the intensity distribution in the far field tend to be worse than for a DPP source, for a given collector optical design. Indeed, since the LLP 24 is smaller, the far-field shadows due to the thicknesses of GIC shells 210 tend to be sharper for an LPP source than for a DPP source. To compensate at least partially for this effect, a surface figure (i.e., optical profile) correction is added to each GIC shell 210 to improve the uniformity of the intensity distribution in the far field (see, e.g., Publication No. WO2009-095219 A1, entitled “Improved grazing incidence collector optical systems for EUV and X-ray applications,” which publication is incorporated by reference herein). Thus, in an example embodiment of GIC mirror MG, each GIC shell 210 has superimposed thereon a polynomial (parabolic) correction equal to zero at the two edges of the GIC shells 210 and having a maximum value of 0.01 mm. Table 1 and Table 2 set forth an example design for the GIC mirror MG shown in FIG. 10. The “mirror #” is the number of the particular GIC shell 210 as numbered starting from the innermost GIC shell 210 to the outermost GIC shell 210. TABLE 1HyperbolaEllipseMirror radii [mm]Radius ofRadius ofEllipse-ConiccurvatureConiccurvaturehyperbolaMirror #Constant[mm]Constant[mm]MaximumjointMinimum1——−0.990478 11.481350 83.347856— 65.3692922——−0.979648 24.674461122.379422— 94.6443373——−0.957302 52.367323179.304368—137.3877444−1.066792 29.401382−0.963621 61.100890202.496127192.634298152.3841675−1.072492 34.268782−0.949865 86.379783228.263879216.839614169.6391616−1.090556 46.865545−0.941216 104.704248 257.297034243.541412188.5593787−1.11116361.694607−0.926716 134.626393 293.432077276.198514208.6717688−1.134540 81.393448−0.905453180.891785 340.258110317.294990229.102808 TABLE 2Position of virtual common focus VCF with respect to intermediate focus IFΔL, parallel to Δr, transverse toMirror #optical axis A1 [mm]optical axis A1 [mm]1——2——3——43293.000000171.50000053350.000000237.00000063445.000000276.30000073521.000000335.25000083616.000000426.950000 FIG. 13A is a plot of the normalized far-field position at the intermediate focus IF vs. intensity (arbitrary units) for light rays incident thereon for the case where there is no correction of the GIC shell profile. The plot is a measure of the uniformity of the intermediate image (i.e., “intermediate focus spot” FS) of LPP 24 as formed at the intermediate focus IF. LPP 24 is modeled as a sphere with a 0.2 mm diameter. FIG. 13B is the same plot except with the above-described correction added to GIC shells 210. The comparison of the two plots of FIG. 13A and FIG. 13B shows substantially reduced oscillations in intensity in FIG. 13B and thus a significant improvement in the far field uniformity the intermediate focus spot FS at the intermediate focus IF as a result of the corrected surface figures for the GIC shells 210. EUV Lithography System with LPP-GIC SOCOMO FIG. 14 is an example EUV lithography system (“lithography system”) 300 according to the present disclosure. Example EUV lithography systems are disclosed, for example, in U.S. Patent Applications No. US2004/0265712A1, US2005/0016679A1 and US2005/0155624A1, which are incorporated herein by reference. Lithography system 300 includes a system axis ASy and an EUV light source LS that includes an LPP target system 40, such as one of those discussed above, which generates LPP 24 that emits working EUV radiation 30 at λ=13.5 nm. Lithography system 300 includes an EUV GIC mirror MG such as that described above. In an example embodiment, EUV GIC mirror MG is cooled as described in U.S. patent application Ser. No. 12/592,735, which is incorporated by reference herein. EUV GIC mirror MG is arranged adjacent and downstream of EUV light source LS, with collector axis AC lying along system axis ASy. EUV GIC mirror MG collects working EUV radiation 30 (i.e., light rays LR) from EUV light source LS located at source focus SF and the collected radiation forms intermediate source image IS (i.e., intermediate focus spot FS) at intermediate focus IF. In an example, LPP-GIC SOCOMO 100 comprises EUV light source LS and GIC mirror MG. Optional RCED 37 is shown by way of example. An illumination system 316 with an input end 317 and an output end 318 is arranged along system axis ASy and adjacent and downstream of EUV GIC mirror MG with the input end 317 adjacent the EUV GIC mirror MG. Illumination system 316 receives at input end 317 EUV radiation 30 from intermediate source image IS and outputs at output end 318 a substantially uniform EUV radiation beam 320 (i.e., condensed EUV radiation). Where lithography system 300 is a scanning type system, EUV radiation beam 320 is typically formed as a substantially uniform line or ring field of EUV radiation 30 at a reflective reticle (mask) 336 that scans over the reflective reticle 336. A projection optical system 326 is arranged along (folded) system axis ASy downstream of illumination system 316. Projection optical system 326 has an input end 327 facing output end 318 of illumination system 316, and an opposite output end 328. A reflective reticle 336 is arranged adjacent the input end 327 of projection optical system 326 and a semiconductor wafer 340 is arranged adjacent output end 328 of projection optical system 326. Reflective reticle 336 includes a pattern (not shown) to be transferred to semiconductor wafer 340, which includes a photosensitive coating (e.g., photoresist layer) 342. In operation, the uniformized EUV radiation beam 320 irradiates reflective reticle 336 and reflects therefrom, and the pattern thereon is imaged onto surface of photosensitive coating 342 of semiconductor wafer 340 by projection optical system 326. In a lithography scanning system 300, the reticle image scans over the surface of photosensitive coating 342 to form the pattern over the exposure field. Scanning is typically achieved by moving reflective reticle 336 and semiconductor wafer 340 in synchrony. Once the reticle pattern is imaged and recorded on semiconductor wafer 340, the patterned semiconductor wafer 340 is then processed using standard photolithographic and semiconductor processing techniques to form integrated circuit (IC) chips. Note that in general the components of lithography system 300 are shown lying along a common folded system axis ASy in FIG. 14 for the sake of illustration. One skilled in the art will understand that there is often an offset between entrance and exit axes for the various components such as for illumination system 316 and for projection optical system 326. It will be apparent to those skilled in the art that various modifications and variations can be made to the present disclosure without departing from the spirit and scope of the disclosure. Thus it is intended that the present disclosure cover the modifications and variations of this disclosure provided they come within the scope of the appended claims and their equivalents. |
|
description | This application claims priority to Provisional U.S. Application No. 61/859,074 filed on Jul. 26, 2013, which is herein incorporated by reference. The United States Government has rights in this invention pursuant to Contract No. DE-AC52-07NA27344 between the United States Department of Energy and Lawrence Livermore National Security, LLC for the operation of Lawrence Livermore National Laboratory. The present invention relates to radiation detection, and more particularly to Li-containing scintillators for thermal neutron, fast neutron and gamma detection, and methods of making and using the same. Radioactive materials are often detected and identified by measuring gamma-rays and/or neutrons emitted from the materials. The energy of gamma-rays is specific to that particular material and acts as a “finger print” to identify the material. Similarly, neutron energy is particular to the material, and may be used to identify the material. Of very high value are detectors capable of identifying the distinctive time-correlated signatures corresponding to neutrons and gamma rays, or “gammas” emitted by fissioning material from within a background of uncorrelated natural radiation. A detector capable of distinguishing neutrons from gammas, as well as offering a fast response time typically has better capability for detecting the distinctive time-correlated events indicative of the presence of fissioning nuclei. The ability to detect gamma rays and/or neutrons is a vital tool for many areas of research. For example, gamma-ray/neutron detectors allow scientists to study celestial phenomena and diagnose medical diseases. Additionally, these detectors are important tools for homeland security, helping the nation confront new security challenges. The nuclear non-proliferation mission requires detectors capable of identifying diversion or smuggling of nuclear materials. Government agencies need detectors for scenarios in which a terrorist might use radioactive materials to fashion a destructive device targeted against civilians, structures, or national events. To better detect and prevent nuclear incidents, the Department of Energy (DOE) and the Department of Homeland Security (DHS) are funding projects to develop a suite of detection systems that can search for radioactive sources in different environments. One particularly useful type of radiation detection, pulse shape discrimination (PSD) provides means for high-energy neutron detection in the presence of gamma radiation background by utilizing the difference in the shapes of scintillation pulses excited by neutrons (recoil protons) and gamma (γ)-rays in organic scintillators. PSD phenomena are based on the existence of two-decay component fluorescence, in which, in addition to the main component decaying exponentially (prompt fluorescence), there is usually a slower emission that has the same wavelength, but longer decay time (delayed emission). According to a commonly accepted mechanism shown in FIG. 1, the fast component results from the direct radiative de-excitation of excited singlet states (S1), while the slow component originates from the collisional interaction of pairs of molecules (or excitons) in the lowest excited n-triplet states (T1). Since the triplet is known to be mobile in some compounds, the energy migrates until the collision of two triplets collide and experience a process, shown as Equation 1:T1+T1→S0+S1 Equation 1 In Equation 1, T1 is a triplet, S0 is the ground state, and S1 is a first excited state. Finally, the delayed singlet emission occurs with a decay rate characteristic of the migration rate and concentration of the triplet population, and is represented by Equation 2:S1→S0+hv Equation 2 In Equation 2, hv is fluorescence, while S0 is the ground state and S1 is a first excited state. The lifetime of the delayed emission is determined by the lifetime of T1 and the rate of T1T1 collisions. The short range of the energetic protons produced from neutron collisions yields a high concentration of triplets, compared to the longer range of the electrons from the gamma interactions, leading to the enhanced level of delayed emission with longer decay times in neutron-induced pulses in comparison to those produced by the gamma excitation. The observation of PSD in organics with phenyl groups is believed to be, in part, related to the aromatic ring structure, allowing for the migration of triplet energy. FIG. 2A shows a plot of average waveforms for a stilbene test crystal indicating different levels of delayed light in neutron and gamma scintillation pulses. As can be seen from the plot, some light is produced by the crystal almost immediately, referred to as prompt light, and other light is produced by the crystal over a period of time, referred to as delayed light. Generally, the plot for each type of radiation will have a steep component 202 and a tail component 204. The upper line in the plot represents neutron light decay, while the lower line represents gamma (γ) light decay. As shown in FIG. 2A, the shape for the neutron response has a large tail component 204, which is much smaller or almost negligible for gammas. Thus, stilbene is able to differentiate between the neutron and gamma light decays, and produces noticeably different lines for each radiation type. However, not every compound has this ability to separate between gamma and neutron light decay; therefore compounds with such ability are very useful for PSD. Modern high-speed waveform digitizers allow for easy separation of neutron and gamma pulses, enabling rapid characterization of PSD properties, as shown in FIG. 2B. The waveforms may be numerically integrated over two time intervals: ΔTotal and a subinterval ΔTail (e.g., for >50 nsec), corresponding to the total charge and the delayed component of the signal, respectively. The value of the ratio of charge R=QTail/QTotal for the two time intervals indicates whether the considered event was likely produced by a neutron (high R value) or a gamma ray (small R value). The plot shown in FIG. 2B reveals the presence of both neutrons (upper scatter points) and gammas (lower scatter points) in a plot of the ratio of charge (QTail/QTotal) versus the pulse height. FIG. 2C illustrates one approach where the neutron/gamma delayed light separation, S, in the stilbene test crystal is used for calculation of the PSD figure of merit (FOM). The PSD separation, S, refers to the gap between the mean ratio of charge (QTail/QTotal) for gamma rays and the mean ratio of charge (QTail/QTotal) for neutrons taken over an extended period of time. The larger the separation, S, the better the organic crystal is at PSD for distinguishing gammas and neutrons. The PSD technique is most frequently utilized for discrimination between fast neutrons (recoil protons) and gamma-rays (Compton electrons) using liquid scintillators and a few organic crystals. Recent developments broadened the group of PSD materials to include scintillating plastics. However, because the composition of organic scintillators is currently comprised of mostly hydrocarbons, traditional PSD materials can be used only for detection of fast neutrons, leaving undetected the large fraction of low-energy and thermal neutrons that do not generate enough light in elastic scatter interaction. Present techniques for detection of thermal neutrons are typically based on 3He detectors. However, due to the imminent shortage of 3He, other neutron detection technologies utilizing 10B- and 6Li-loaded scintillating materials have been considered as possible replacements for 3He detectors. The neutron detection properties of 10B- and 6Li-containing scintillators are based on known capture reactions:10B+no=7Li+α+2.79 MeV6Li+no=3He+α+4.78 MeV. Current scintillators utilizing the thermal neutron detection capabilities of 10B generally use boron in a gaseous form, as BF3, or in a solid form consisting of pure boron or a compound mixture (e.g. boron carbide) present in a matrix. Moreover, current scintillators utilizing the thermal neutron detection capabilities of 6Li include inorganic single crystals (e.g. LiI, LiF, Li-aluminate, Cs2LiYCl6:Ce (CLYC), 6Li-lanthanide borate, etc.), 6Li-loaded glass scintillators, and composite materials including dispersions of nano- or micro-particles of different Li-containing compounds (e.g. nano- or microscale Li-containing crystals) in liquid or plastic matrices. However, there are several disadvantages associated with existing 10B and 6Li based detectors. For example, single crystal detectors are limited by the size of the crystals that can be grown and the high cost of doing so. Additionally, difficulties that may arise from use of 6Li-loaded and/or 10B-loaded glass scintillators include long decay times and high sensitivity to gamma rays due to the presence of relatively heavy constituents in their compositions. Further, a drawback with composite materials comprising dispersions of small scintillating compounds, e.g. Li-containing crystals, in polymer and/or liquid matrices includes elevated levels of light scatter due to inhomogeneous composition and structure, as well as limitations in effective neutron detecting due to insufficient optical clarity in the scintillating wavelength region. According to one embodiment, a thermal neutron capture reagent includes a lithium-containing compound selected from a group consisting of: Li-3-phenylsalicylate, Li-3,5-di-tert-butylsalicylate, Li-acetylsalicylic acid, and combinations thereof, where the lithium-containing compound is soluble in a fluor, and where the thermal neutron capture reagent exhibits an optical response signature for thermal neutrons. According to another embodiment, a scintillator includes a scintillator material; a primary fluor, and a Li-containing compound, where the Li-containing compound is soluble in the primary fluor, and where the scintillator exhibits an optical response signature for thermal neutrons that is different than an optical response signature for fast neutrons and gamma rays. According to yet another embodiment, a method for fabricating a scintillator includes forming a precursor mixture, and heating the precursor mixture until a polymerization process is complete. The precursor mixture includes a monomer, one or more fluors, a coordinating solvent, and a Li-containing compound selected from a group consisting of: Li-3-phenylsalicylate, Li—Li-3,5-di-tert-butylsalicylate. Li-acetylsalicylic acid, and combinations thereof, where the Li-containing compound is soluble in at least one of the one or more fluors. Other aspects and advantages of the present invention will become apparent from the following detailed description, which, when taken in conjunction with the drawings, illustrate by way of example the principles of the invention. The following description is made for the purpose of illustrating the general principles of the present invention and is not meant to limit the inventive concepts claimed herein. Further, particular features described herein can be used in combination with other described features in each of the various possible combinations and permutations. Unless otherwise specifically defined herein, all terms are to be given their broadest possible interpretation including meanings implied from the specification as well as meanings understood by those skilled in the art and/or as defined in dictionaries, treatises, etc. It must also be noted that, as used in the specification and the appended claims, the singular forms “a,” “an” and “the” include plural referents unless otherwise specified. Further, as used herein, all percentage values are to be understood as percentage by weight (wt %), unless otherwise noted. Moreover, all percentages by weight are to be understood as disclosed in an amount relative to the bulk weight of an organic plastic scintillator material or a liquid scintillator material, in various approaches. As also used herein, the term “about” when combined with a value refers to plus and minus 10% of the reference value. For example, a length of about 10 nm refers to a length of 10 nm±1 nm, a temperature of about 50° C. refers to a temperature of 50° C.±5° C., etc. As additionally used herein, a material that is “optical transparent” refers to a material that is substantially free (e.g. >99% free, preferably >99.5% free) of grain boundaries, such that the material is homogenous (e.g. comprises one-phase). Moreover, optically transparent materials are those through which light propagates uniformly. The description herein is presented to enable any person skilled in the art to make and use the invention and is provided in the context of particular applications of the invention and their requirements. Various modifications to the disclosed embodiments will be readily apparent to those skilled in the art upon reading the present disclosure, including combining features from various embodiment to create additional and/or alternative embodiments thereof. Moreover, the general principles defined herein may be applied to other embodiments and applications without departing from the spirit and scope of the present invention. Thus, the present invention is not intended to be limited to the embodiments shown, but is to be accorded the widest scope consistent with the principles and features disclosed herein. The following description describes several embodiments relating to the use and fabrication of Li-containing scintillator materials with distinctively different scintillation pulse shapes resulting from neutron and gamma excitation, respectively. Moreover, various embodiments of the present invention describe the fabrication of Li-containing scintillator materials capable of simultaneous detection of thermal neutrons and fast neutrons discriminated from the gamma radiation background. Robust neutron radiation detectors with a large sensitive area/volume, high detecting efficiency and a low cost means of making/using are important for the detection of neutrons in many areas such as nuclear nonproliferation, international safeguards, national security, scientific research, etc. In particular, for nuclear nonproliferation, fast and robust methods for the identification of special nuclear materials (SNM) are needed. According to their energy, neutrons are typically divided in two major groups: thermal (low-energy) neutrons and fast (high-energy) neutrons. Detection of both types requires the separation of the neutron signatures from the always-present strong gamma radiation background. In common radiation detection practice, identification of both thermal and fast neutrons requires simultaneous use of two different types of detectors, one of which is based on mostly hydrocarbon-comprised scintillators (for fast neutron detection), and the other including scintillating materials loaded with thermal neutron capture reagents. Conventional detection of thermal neutrons utilizes 3He detectors, the availability of which is sharply decreasing due to the diminishing supply of 3He obtained as a side product of tritium production. Accordingly, 10B- and 6Li-loaded scintillating materials have been considered as possible replacements for 3He detectors. For example, some 10B-loaded liquid scintillators have been shown to discriminate signals from thermal neutrons, fast neutrons and gamma-rays. 6Li possesses many desirable qualities as a neutron target. Among its important advantages are a reasonable capture cross section, relatively high photon yield from charged particles, and absence of gamma-rays in the final products resulting from a capture reaction 6Li+no=3H+α+4.8 MeV. The majority of scintillators utilizing the thermal neutron detection properties of 6Li are inorganic single crystals or scintillation glasses. However, single crystal detectors are limited by the size of the crystals that can be grown and high costs associated with fabrication. Additionally, drawbacks of 6Li-loaded glass scintillators include long decay times and high sensitivity to gamma rays due to the presence of relatively heavy constituents in their compositions. In some approaches, plastic scintillators offer a good alternative with wide field applications. Being comprised of low-Z materials, hydrocarbon-based plastics are less sensitive to gamma radiation. Additional advantages may be introduced in more approaches by the use of Pulse Shape Discrimination (PSD) formulations that enable separation of neutron signatures from gamma-radiation background. Moreover, plastics may be easily manufactured in different configurations and sizes, and are inexpensive. Further, unlike the handling constraints associated with liquid scintillators, plastic scintillators are easy to handle, thus enabling their use in portal monitoring, environmental radiation protection, and in field conditions with sharply changing temperatures, etc. 10B-containing compounds, such as ortho- and meta-carboranes, may be easily introduced into the composition of plastic scintillators in various approaches. However, the use of such detectors may present difficulties because of the high cost required for isotopical enrichment of carboranes from ˜19% of 10B in natural abundance to over 90% needed for the neutron capture probability. The principle drawback that prevents the use of 6Li-loaded plastic scintillators relates to the difficulties in their preparation due to the lack of solubility of highly polar Li-containing compounds in non-polar aromatic matrices needed for efficient scintillation. Thus, the majority of current 6Li-containing organic scintillators are typically prepared as non-PSD dispersions of nano- or micro-particles of different Li-compounds in liquid or plastic matrices that often have insufficient optical clarity and chemical instability due to inhomogeneous composition and structure. Embodiments disclosed herein overcome the aforementioned drawbacks by providing Li-containing compounds that may be homogeneously dissolved in an organic scintillator material (e.g. a polymer matrix, a liquid, etc.) such that the scintillator material is substantially (e.g. >99%, preferably >99.5%) free of grain boundaries (e.g. comprises a single phase, is homogenous, etc.) and is thus optically transparent. For example, it has been surprisingly found in some approaches that Li-salts of carboxylic aids with coordinating solvents may diminish the polarity of Li, thereby making the resulting Li-compound more soluble in non-polar aromatic matrices. Following are several examples of general and specific embodiments of Li-containing scintillators configured for thermal neutron, fast neutron and gamma detection, and/or related systems and methods. In one general embodiment, a thermal neutron capture reagent includes a lithium-containing compound selected from a group consisting of: Li-3-phenylsalicylate, Li-3,5-di-tert-butylsalicylate, Li-acetylsalicylic acid, and combinations thereof, where the lithium-containing compound is soluble in a fluor, and where the thermal neutron capture reagent exhibits an optical response signature for thermal neutrons. In another general embodiment, a scintillator includes a scintillator material; a primary fluor, and a Li-containing compound, where the Li-containing compound is soluble in the primary fluor, and where the scintillator exhibits an optical response signature for thermal neutrons that is different than an optical response signature for fast neutrons and gamma rays. In yet another general embodiment, a method for fabricating a scintillator includes forming a precursor mixture, and heating the precursor mixture until a polymerization process is complete. The precursor mixture includes a monomer, one or more fluors, a coordinating solvent, and a Li-containing compound selected from a group consisting of: Li-3-phenylsalicylate, Li—Li-3,5-di-tert-butylsalicylate, Li-acetylsalicylic acid, and combinations thereof, where the Li-containing compound is soluble in at least one of the one or more fluors. General Scintillator-Based Radiation Detector System FIG. 3 depicts a simplified spectroscopy system according to one embodiment. The system 300 comprises a scintillator material 302, such as of a type described herein, and which is referred to herein interchangeably as a scintillator. The system 300 also includes a photodetector 304, such as a photomultiplier tube or other device known in the art, which can detect light emitted from the scintillator 302, and detect the response of the material to at least one of neutron and gamma ray irradiation. The scintillator 302 produces light pulses upon occurrence of an event, such as a neutron, a gamma ray, or other radiation engaging the scintillator 302. As the gamma ray, for example, traverses the scintillator 302, photons are released, appearing as light pulses emitted from the scintillator 302. The light pulses are detected by the photodetector 304 and transduced into electrical signals that correspond to the pulses. The type of radiation can then be determined by analyzing the integral of the light pulses and thereby identifying the gamma ray energy absorbed by the scintillator. In some embodiments, the system 300 may be, further comprise, or be coupleable/coupled to, a preamplifier and/or digitizer (not shown in FIG. 3). Additionally, a processing device 306 for processing pulse traces output by the photodetector 304. In other embodiments, the system 300 may include a processing device that receives data from a photodetector that is not permanently coupled to the processing device. Illustrative processing devices include microprocessors, field programmable gate arrays (FPGAs), application specific integrated circuits (ASICs), computers, etc. The result of the processing may be output and/or stored. For example, the result may be displayed on a display device 308 in any form, such as in a histogram or derivative thereof. The program environment in which one embodiment of the invention may be executed illustratively incorporates one or more general-purpose computers or special-purpose devices such hand-held computers. Details of such devices (e.g., processor, memory, data storage, input and output devices) are well known and are omitted for the sake of clarity. It should also be understood that the techniques of the present invention might be implemented using a variety of technologies. For example, the methods described herein may be implemented in software running on a computer system, or implemented in hardware utilizing one or more processors and logic (hardware and/or software) for performing operations of the method, application specific integrated circuits, programmable logic devices such as Field Programmable Gate Arrays (FPGAs), and/or various combinations thereof. In particular, methods described herein may be implemented by a series of computer-executable instructions residing on a storage medium such as a physical (e.g., non-transitory) computer-readable medium. In addition, although specific embodiments of the invention may employ object-oriented software programming concepts, the invention is not so limited and is easily adapted to employ other forms of directing the operation of a computer. Portions of the invention can also be provided in the form of a computer program product comprising a physical computer readable medium having computer code thereon. A computer readable medium can include any physical medium capable of storing computer code thereon for use by a computer, including optical media such as read only and writeable CD and DVD, magnetic memory or medium (e.g., hard disk drive), semiconductor memory (e.g., FLASH memory and other portable memory cards, etc.), etc. Polymers The organic, plastic scintillators as described in the embodiments disclosed herein may include any suitable polymer matrix as the plastic component. Particularly suitable plastic scintillators are those that include rigid, durable, transparent plastics that possess aromatic or non-aromatic structures and are capable of supporting high concentrations of fluors (e.g. primary fluors, secondary fluors, tertiary fluors, etc.) therein. In some embodiments, suitable plastic scintillators may be capable of supporting a total concentration of the fluors in a range from about 3-75 wt % fluor. In one embodiment, the polymer matrix may comprise polyvinyltoluene (PVT). Similar polymers may be utilized in other embodiments, such as polystyrene (PS), polymethylmethacrylate (PMMA), polyvinyl xylene (PVX), polymethyl, 2,4-dimethyl, 2,4,5-trimethyl styrenes, polyvinyl diphenyl, polyvinyl naphthalene, polyvinyl tetrahydronaphthalene polymers, other complex aromatic polymers, and certain non-aromatic polymers capable of solubilizing different scintillating fluors, etc. as would be understood by one having ordinary skill in the art upon reading the present disclosure. Suitable polymers, as used herein in preferred approaches, may be at least 50% light transmissive in a wavelength of interest, e.g. a wavelength emitted by one or more fluors present in the organic plastic scintillator system. In various embodiments, the organic, plastic scintillator material may comprises a colorless, optically transparent non-PSD plastic material for detection of thermal neutrons via pulse height measurements. In further embodiments, the organic, plastic scintillator may comprise a colorless, optically transparent PSD plastic for simultaneous detection of thermal and fast neutrons discriminated from gamma radiation background via PSD measurement techniques. In more embodiments, the polymer may be provided as a liquid polymer matrix, a non-liquid polymer matrix, a dry powder, etc. as would be understood by one having ordinary skill in the art upon reading the present descriptions. Moreover, in some approaches the polymer matrix may include aromatic functional groups, such as phenyl groups, among others. In yet more embodiments, the organic, plastic scintillator material may be fabricated and comprise the polymers as described in U.S. patent application Ser. No. 13/437,836, Apr. 4, 2012, and U.S. patent application Ser. No. 13/471,259, filed May 14, 2012, which are both incorporated herein by reference in their entirety. Liquids The organic, liquid scintillators as described in the embodiments disclosed herein may include any suitable liquid scintillator material. For example, a suitable liquid scintillator material may be any commercially available liquid scintillator material comprising known flammable and non-flammable formulations. Suitable liquid scintillator materials may include but are not limited to EJ-301, EJ-309, EJ-315, BC-501A, NE-213, etc. In another approach the liquid scintillators disclosed herein may include aromatic solvents such as xylene, toluene, PXE [1,2-dimethyl-4-(1-phenylethyl)-benzene], LAB (linear alkyl benzene), DIPN (diisopropylnaphthalene), etc. In yet another approach, the liquid scintillators may include non-aromatic solvents such as methanol, ethanol, dioxanes, DME (dimetoxyethane), etc. In more approaches, the liquid scintillators may include mixed organic-inorganic solvents combined with solubilized scintillating fluors, as would be understood by one having ordinary skill in the art upon reading the present disclosure. In still more approaches, the liquid scintillator material may be any commercial scintillator material without PSD. In other approaches, the liquid scintillator material may be any commercial scintillator material with PSD. For instance, in one approach, the liquid scintillator materials disclosed herein may comprise any organic, inorganic or mixed solvents capable of dissolving one or more fluors (e.g. primary fluors, secondary fluors, tertiary fluors, etc.) therein. In further approaches, the concentrations of the one or more fluors in the liquid scintillator material may be tailored to achieve a sufficient and/or a desired amount of scintillation light yield (LY) and/or PSD production. In even more approaches, the concentration of the one or more fluors in the liquid scintillator material that is sufficient to achieve PSD production may be about 2 to about 3 orders of magnitude lower than the concentration of the same one or more fluors needed in plastic scintillators to achieve the same level of PSD production. Fluors/Dyes Primary fluors suitable for use in the presently disclosed scintillator systems include any fluor that may be known in the art and capable of exhibiting characteristics for scintillation as described herein. In some embodiments, the primary fluor may be present in various concentrations, e.g. about 0.005-5 wt % or more. In more embodiments, the primary dye may be present in an amount ranging from about 5% to 20 wt % or more. In even more embodiments, the primary dye may be present in an amount ranging from about 20 wt % to about 75 wt %, or an amount ranging from about 30 wt % to about 75 wt %. As disclosed herein in various embodiments, the concentrations of fluors are described relative to a weight of the bulk scintillator material. In one particular embodiment, a scintillator system may include a primary fluor disposed in a polymer matrix. In some approaches, the primary fluor may be a fluorescent dye present in an amount of 3-5 wt % or more, where such fluorescent dye results in the scintillator material exhibiting an optical response signature for neutrons that is different than an optical response signature for gamma rays. In approaches where one or more primary fluors are present in high concentration in the exemplary organic plastic scintillator systems, the solubility of the fluor(s) in the polymer matrix is preferably high. For example, in one embodiment, the polymer matrix may be characterized as having a solubility of about 3-5 wt % or more with respect to a particular fluor. The primary fluor may be incorporated into the polymer matrix according to any suitable mechanism. For example, in some approaches, the primary fluor may be suspended/dispersed in the polymer matrix. In preferred approaches, there may be a substantially uniform distribution of the primary fluor in the polymer matrix. In more approaches, the primary fluor may be crosslinked to the polymer matrix. In still more approaches, the primary fluor may be copolymerized with the polymer matrix, and/or with another component of the polymer matrix, etc. as would be understood by one having ordinary skill in the art upon reading the present descriptions. Of course, other arrangements of fluor and polymer matrix may be utilized without departing from the scope of the present descriptions. In another particular embodiment, a scintillator system may include a primary fluor disposed in a liquid scintillator material. In some approaches, the primary fluor may be a fluorescent dye present in an amount of 0.005% wt % or more, where such fluorescent dye results in the scintillator material exhibiting an optical response signature for neutrons that is different than an optical response signature for gamma rays. In yet another embodiment, the primary fluor disposed in an organic plastic scintillator material and/or a liquid scintillator material may be 2,5-diphenyl oxazole (PPO). In other embodiments, the primary fluor may include other dyes that produce scintillation response upon the excitation by any types of radiation. In still other approaches, the primary fluor may include multiple fluorescent dyes. In a further embodiment, a scintillator system may include a secondary fluor disposed therein. For instance, in one approach, a suitable secondary fluor disposed in the exemplary plastic scintillator systems and/or the exemplary liquid scintillator systems disclosed herein may be characterized by wavelength-shifting qualities. In some approaches, a plastic and/or liquid scintillator system having a secondary fluor in the presence of another fluor, particularly a primary fluor, may exhibit scintillation light yield (LY) or PSD characteristics that are superior to the LY or PSD characteristics of a scintillator system having exclusively the same primary fluor (and no secondary fluor), under otherwise identical conditions. Suitable secondary fluors may include any fluor characterized by wavelength-shifting such as diphenyl anthracene (DPA), tetraphenyl butadiene (TPB) 1,1,4,4-tetraphenyl-1,3-butadiene, 1,4-Bis(5-phenyl-2-oxazolyl)benzene (POPOP), p-bis(o-methylstyryl)benzene, 1,4-bis-2-(4-methyl-5-phenyloxazolyl)benzene, 2,2′-p-phenylenebis(5-phenoxazole), diphenylstilbene, 1,3,5-triaryl-2-pyrazolines, 4-(n-butylamino)-2-(4-methoxyphenyl)benzo[b]pyrylium perchlorate, sodium salicylate, 1,4-bis(2-methylstyryl)benzene (Bis-MSB), 7-dimethylamino-4-methyl-2-quinoline, 7-amino-4-methylcoumarin, 4,6-dimethyl-7-ethylamino coumarin, etc., or other such suitable second fluors as would be understood by one having ordinary skill in the art upon reading the present descriptions. Particularly preferred secondary fluors may include DPA, TPB, POPOP, and Bis-MSB according to various embodiments. According to some approaches, the exemplary organic plastic scintillators and/or organic liquid scintillators may include a secondary fluor in a low concentration in order to maximize the beneficial wavelength-shifting effects for PSD performance. For example, the secondary fluor may be present in an amount of about 2 wt % or less, in one embodiment. Li-Containing Compound The scintillators disclosed herein (e.g. organic plastic scintillators; organic liquid scintillators) may include a Li-containing compound. In approaches including organic, plastic scintillator systems, suitable Li-containing compounds are those which may be homogenously dissolved in a polymer matrix (e.g. an aromatic polymer matrix, a non-aromatic polymer matrix, etc.), such that the scintillator material is substantially free (e.g. >99% free, preferably >99.5% free) of grain boundaries, comprises one phase, and is optically transparent, in some embodiments. In approaches including liquid scintillator systems, suitable Li-containing compounds are those which may be homogenously dissolved in a liquid scintillator material (e.g. an aromatic liquid, a non-aromatic liquid), such that the scintillator comprises one phase and is optically transparent. In one embodiment, the Li-containing compound may be present in an amount ranging from about 0.01 wt % to about 20 wt %. In another embodiment, the Li-containing compound may be present in an amount ranging from about 0.01 wt % to about 1.5 wt %. In additional embodiments, the Li-containing compound may be a Li-salt of a carboxylic acid. For example, a suitable Li-containing compound may be Li-3-phenylsalicylate, an exemplary formation of which is reproduced below: While the above process shows purified 3-phenylsalicylic acid reacted with a 6LiOH reagent, other Li-containing reagents may be used such as a Li-metal, Li2CO3, LiCl, etc. Moreover, the formation of suitable Li-containing compounds may include combining any suitable carboxylic acid with a Li-containing reagent, as would be understood by one having skill in the art upon reading the present disclosure. In more embodiments, the Li-containing compounds may include, but are not limited to, Li-acetylsalicylic acid, Li-3,5-di-tert-butylsalicylate, other Li-containing derivatives of salicylic and benzoic acids, lithium benzoate, Li-oxazolecarboxylates, and other such Li-containing compounds as would be understood by one having skill in the art upon reading the present disclosure. In yet more embodiments, the Li-containing compounds may include substituents that promote hydrogen bonding and/or stability with a coordinating solvent (examples of which are provided below). In further embodiments, the Li-containing compounds may also include non-polar groups to promote solubility in the aromatic polymer matrix of the plastic scintillators and/or in the aromatic liquid scintillator materials of the liquid scintillators disclosed herein. In particular embodiments, the Li-containing compound may be soluble in a primary fluor present within the plastic scintillators and/or liquid scintillators described herein. For instance, in some approaches, the Li-containing compound may be soluble in a primary fluor, such as biphenyl, naphthalene, PPO and/or other derivatives of oxazole, etc. In more approaches, the Li-containing compound may be soluble in a primary fluor present at ≦1 wt %. In still more approaches, the Li-containing compound may be soluble in a primary fluor present at about 0.5-10 wt % or more, an amount ranging from about 10 wt % to about 30 wt %, an amount ranging from about 30 wt % to about 75% wt %, etc. Coordinating Solvents In some embodiments, the organic plastic scintillators and/or the organic liquid scintillators disclosed herein may comprise a Li-containing compound, such as those described above, and a coordinating solvent, where the Li-containing compound is soluble in the coordinating solvent. In one embodiment, the coordinating solvent may be 1,2-dimethoxyethane (DME). Other polar aprotic solvents may be employed in other embodiments, e.g. to facilitate the introduction of Li-containing compounds into polymer matrices and/or liquid scintillator materials. Exemplary polar aprotic solvents may include but are not limited tetrahydrofuran (THF), acetonitrile (MeCN), triethylamine (TEA), acetone, ethers, nitriles, amines, ketones, etc., and other known highly coordinating solvents. In more embodiments, the coordinating solvent may be a polar protic solvent, such as methanol or isopropanol. However, as compared to polar protic coordinating solvents, polar aprotic coordinating solvents may have a higher affinity for the scintillating dyes and therefore result in plastic and/or liquid scintillators that are more stable. In yet more embodiments, the coordinating solvent may be miscible with aromatic (e.g. non-polar and polar) and non-aromatic (e.g. non-polar and polar) compounds comprising the polymer matrix and/or the liquid scintillator materials described herein. In further embodiments, the coordinating solvent may be present in an amount ranging from about 0.01 wt % to about 50 wt %. In other embodiments, dissolution of the Li-containing compound in the coordinating solvent may result in the formation of an amorphous gel. For example, in one approach, 6Li-3-phenylsalicylate may be dissolved in excess 1,2-dimethoxyethane (DME) and the resulting solution left to evaporate slowly, thereby a forming a non-polar amorphous gel, as shown below: The resulting amorphous gel may be soluble in known aromatic and nonaromatic monomer-based solution mixtures used for the preparation of organic plastic scintillators, such as those disclosed herein. Similarly, the resulting amorphous gel may also be soluble in known aromatic and nonaromatic liquid scintillator materials used for preparation of liquid scintillators, such as those disclosed herein. Initiators and Cross-Linkers In some embodiments, the scintillators disclosed herein may also comprise an polymerization initiator and/or a cross-linker. Suitable polymerization initiators may include, but are not limited to, organic peroxides, Luperox 231, etc. or other such initiators as would be understood by one having skill in the art upon reading the present disclosure. Suitable cross-linkers may include, but are not limited to, aromatic cross-linkers such divinyl benzene, divinyl toluene, trivinyl benzene, divinyl naphthalene, etc.; aliphatic cross-linking monomers such as di- and polyacrylates and methacrylates, etc; and other such cross-linkers as would be understood by one having skill in the art upon reading the present disclosure. In additional embodiments, the initiator may be present in an amount ranging from about 0.001 wt % to about 1 wt %. In further embodiments, the cross-linker may be present in an amount ranging from about 0.05 wt % to about 5 wt %. Several illustrative examples for fabricating non-PSD scintillators capable of detecting thermal neutrons, and PSD scintillators capable of simultaneously detecting thermal and fast neutrons discriminated from gamma radiation background, are described below. It is important to note that these illustrative fabrication methods are in no way limiting, and are provided by way of example only. FIG. 4 depicts a method 400 of fabricating a plastic scintillator according to one embodiment. As an option, the present method 400 may be implemented to form the scintillators disclosed herein, such as those shown in the other FIGS. Further, the method 400 may be carried out in any desired environment. Moreover, more or less operations than those shown in FIG. 4 may be included in method 400, according to various embodiments. It should also be noted that any of the aforementioned features may be used in any of the embodiments described in accordance with this method and others disclosed herein. As shown in FIG. 4, a scintillator precursor mixture may be placed in a heating vessel, and subsequently heated until a polymerization process has completed. See operations 402 and 404, respectively. In one embodiment, the precursor mixture may include a monomer, one or more fluors, a coordinating solvent, and a Li-containing compound, wherein the Li-containing compound is soluble in the coordinating solvent and/or at least one of the one or more fluors. In some approaches, the monomer may be capable of polymerizing and solvating the one or more fluors. In one particular approach, the monomer may comprise one or more aromatic groups. In another approach, the monomer may be nonaromatic. In yet another approach, the monomer may be non-polar. In a further approach, the monomer may be polar. In particular approaches, the monomer may comprise at least one of a 4-methylstrene, a vinyltoluene, a styrene, a methylmethacrylate precursor, and any other such monomer as would be understood by one having skill in the art upon reading the present disclosure. In various approaches, the one or more fluors may comprise a primary fluor and a secondary fluor, where the secondary fluor has a longer wavelength than the primary fluor. In one particular approach, the primary fluor may be PPO. In another approach, the secondary fluor may be at least one of 9,10-diphenylanthracene, and p-bis-(o-methylstyryl)-benzene. In yet another approach, the primary and second fluor may be any suitable flour as described herein. In more approaches, the Li-containing compound may be a Li-salt of carboxylic acid. In other approaches, the Li-containing compound may include at least one of Li-3-phenylsalicylate, Li-3,5-di-tert-butylsalicylate, Li-acetylsalicylic acid, derivatives of lithium benzoate, derivatives of Li-oxazolecarboxylate, etc. In still more approaches, the coordinating solvent may be 1,2-dimethoxymethane or any suitable coordinating solvent as described herein. In preferred approaches, there may be a homogenous distribution of the Li-containing compound in the resulting scintillator. In even more approaches, the precursor mixture may further include at least one of an initiator (e.g. Luperox 231) and a cross-linker (e.g. divinylbenzene). After the scintillator precursor mixture has been placed in the heating vessel, and subsequently heated until a polymerization process has completed (e.g. operations 402 and 404), the resulting scintillator may exhibit an optical response signature for thermal neutrons that is different than an optical response signature for fast neutrons and gamma rays in various approaches. Moreover, the resulting scintillator may be substantially free of grain boundaries (e.g. it is homogenous) and optically transparent in preferred approaches. Fabrication of Li-loaded liquid scintillators may be formed utilizing a method similar to method 400. For example, in some approaches. Li-containing complexes of carboxylic acids with coordinating solvents may be used for introduction of Li into the composition of liquid scintillators materials. In approaches where a liquid scintillator material with traditional fast neutron/gamma discrimination is used, incorporation of Li may add additional functionality for detection of thermal neutrons discriminated from gamma radiation and fast neutrons (e.g. as shown in FIG. 10B). With the use of a liquid scintillator material without PSD, thermal neutrons may be detected from a pulse height spectrum. Accordingly, utilizing Li-containing complexes of carboxylic acids with coordinating solvents to introduce Li into the composition of liquid scintillators material, may be used to commercially produce liquid scintillators with and without PSD having both traditional and non-flammable formulations. In one embodiment, colorless, optically transparent non-PSD plastic scintillators were fabricated from: about 0.001-1 wt % Luperox 231 (initiator); about 0.5-5 wt % 2,5-diphenyloxazole (PPO), as a primary fluor; about 0.1-2.0 wt % of a longer-wavelength compound, such as 9,10-diphenylanthracene (DPA), or p-bis-(o-methylstyryl)-benzene (BisMSB), as a secondary fluor; about 0.01-5 wt % 6Li-3-phenylsalicylate, as a thermal neutron capture reagent; about 0.01-5 wt % 1,2-dimethoxyethane (DME), for dissolution of the polar lithium salt into the non-polar plastic matrix; about 0.05-5 wt % divinylbenzene, as a cross-linker for added stability; and balance 4-methylstrene, styrene, or methylmethacrylate precursor. The process of forming the above non-PSD plastic scintillators was conducted under oxygen-free conditions in a nitrogen-filled or argon-filled glovebox or in tightly sealed vessels. This process included combining the 6Li-3-PSA and DME to form a first solution; and combining the monomer, the primary fluor, and the second fluor to form a second solution. The first and second solutions were both gently heated until the first and second solutions were completely dissolved. The first and second solutions were next combined to form a third solution, followed by addition of the initiator and crosslinker to the third solution. The third solution was added to a scintillation vial or glass jar that was degassed prior to entrance into the glovebox. Subsequently, the vessel was sealed and placed in an oven at about 50-55° C. under inert gas flow. The sealed vessel was allowed to cure undisturbed for about 144 hours, followed by a final curing step at about 70-75° C. overnight; after which, the resulting plastic scintillator was cooled to room temperature and removed from the vessel. The resulting plastic scintillator was rigid, homogenous transparent and exhibited scintillation detection properties for thermal neutron by at least pulse-height measurements. FIGS. 5A and 5B illustrate neutron signatures obtained with the above 6Li-containing non-PSD plastics scintillators using moderated 252Cf source. Specifically, FIG. 5A shows the fraction of delayed light (QS) in a total scintillation pulse (QT) for the non-PSD plastic; whereas FIG. 5B shows the pulse height spectrum of the non-PSD plastic with a peak corresponding to the presence of neutrons. In one embodiment, a colorless, optically transparent PSD plastic scintillator were fabricated from: about 0.001-1 wt % Luperox 231 (initiator); about 25-30 wt % 2,5-diphenyloxazole (PPO), as a primary fluor; about 0.1-2.0 wt % of a longer-wavelength compound, such as 9,10-diphenylanthracene (DPA), or p-bis-(o-methylstyryl)-benzene (BisMSB), as a secondary fluor; about 0.01-10 wt % 6Li-3-phenylsalicylate, as a thermal neutron capture reagent; about 0.01-5 wt % 1,2-dimethoxyethane, for dissolution of the polar lithium salt into the non-polar plastic matrix; about 0.05-5 wt % divinylbenzene, as a cross-linker for added stability; and balance 4-methylstrene, styrene, or methylmethacrylate precursor. The process of forming the above PSD plastic scintillators was conducted under oxygen-free conditions in a nitrogen-filled or argon-filled glovebox or in tightly sealed vessels. This process included combining the 6Li-3-PSA and DME to form a first solution; and combining the monomer, the primary fluor, the second fluor to form a second solution. The first and second solutions were both gently heated until the first and second solutions were completely dissolved. The first and second solutions were next combined to form a third solution, followed by addition of the initiator and crosslinker to the third solution. The third solution was added to a scintillation vial or glass jar that was degassed prior to entrance into the glovebox. Subsequently, the vessel was sealed and placed in an oven at about 50-55° C. under inert gas flow. The sealed vessel was allowed to cure undisturbed for about 144 hours, followed by a final curing step at about 70-75° C. overnight; after which, the resulting plastic scintillator was cooled to room temperature and removed from the vessel. The resulting plastic scintillator was rigid, homogenous, and transparent and exhibited scintillation detection properties for thermal neutrons and fast neutron discriminated from gamma radiation background by at least pulse shape discrimination measurements. FIGS. 5C and 5D illustrate neutron signatures obtained with the above 6Li-containing PSD plastics scintillators using moderated 252Cf source. Specifically, FIG. 5C shows the fraction of delayed light (Qs) in a total scintillation pulse (Qr) for the PSD plastic; whereas FIG. 5D shows the pulse height spectrum of the non-PSD plastic with separated signatures of neutrons and gammas. In one embodiment, non-PSD liquid scintillators were fabricated from: about 0.5 wt % 2,5-diphenyloxazole (PPO), as a primary fluor; about 0.01 wt % of a longer-wavelength compound, such as 9,10-diphenylanthracene (DPA), or p-bis-(o-methylstyryl)-benzene (BisMSB), as a secondary fluor; about 0.01-10 wt % 6Li-3-phenylsalicylate, as a thermal neutron capture reagent; about 0.01-5 wt % 1,2-dimethoxyethane, for dissolution of the polar lithium salt into the non-polar plastic matrix. In another embodiment, non-PSD liquid scintillator were fabricated from: any commercial liquid scintillator without PSD; about 0.01-10 wt % 6Li-3-phenylsalicylate, as a thermal neutron capture reagent; about 0.01-5 wt % 1,2-dimethoxyethane, for dissolution of the polar lithium salt into the non-polar plastic matrix. The process of forming the above non-PSD liquid scintillators was conducted under oxygen-free conditions in a nitrogen-filled or argon-filled glovebox or in tightly sealed vessels. This process included combining the 6Li-3-PSA and DME to form a first solution; and combining the liquid scintillator material, the primary fluor, the second fluor to form a second solution. The first and second solutions were both gently heated until the first and second solutions were completely dissolved. The first and second solutions were next combined to form a third solution, followed by addition of the third solution to a scintillation vial or glass jar that was degassed prior to entrance into the glovebox. The resulting liquid scintillator was transparent, homogenous and exhibited scintillation detection properties for thermal neutrons by at least pulse height measurements. In one embodiment, PSD liquid scintillators were fabricated from: about 20 wt % 2,5-diphenyloxazole (PPO), as primary fluor; about 0.1-2.0 wt % of a longer-wavelength compound, such as 9,10-diphenylanthracene (DPA), or p-bis-(o-methylstyryl)-benzene (BisMSB), as secondary fluor; about 0.01-10 wt % 6Li-3-phenylsalicylate, as a thermal neutron capture reagent; about 0.01-5 wt % 1,2-dimethoxyethane, for dissolution of the polar lithium salt into the non-polar plastic matrix. In another embodiment. PSD liquid scintillators were fabricated from: any commercial liquid scintillator with PSD (e.g. EJ-301, EJ-309, etc.); 0.01-10% 6Li-3-phenylsalicylate, as a thermal neutron capture reagent; 0.01-5% 1,2-dimethoxyethane, for dissolution of the polar lithium salt into the non-polar plastic matrix. Forming the above PSD liquid scintillators was conducted under oxygen-free conditions in a nitrogen-filled or argon-filled glovebox or in tightly sealed vessels. This process included combining the 6Li-3-PSA and DME to form a first solution; and combining the liquid scintillator material, the primary fluor, the second fluor to form a second solution. The first and second solutions were both gently heated until the first and second solutions were completely dissolved. The first and second solutions were next combined to form a third solution, followed by addition of the third solution to a scintillation vial or glass jar that was degassed prior to entrance into the glovebox. The resulting liquid scintillator was transparent, homogenous and exhibited scintillation detection properties for thermal neutrons and fast neutron discriminated from gamma radiation background by at least pulse shape discrimination measurements. It is important to note that the above fabrication methodologies in Examples 1-5 are illustrative and non-limiting. For instance, organic plastic scintillator systems comprising a polymer matrix other than poly4-methylstrene, polystyrene, or poly(methylmethacrylate); fluors other than DPA/PPO; Li-containing compounds other than 6Li-3-phenylsalicylate; and/or coordinating solvents other than DME; and/or initiators and cross-linkers other than Luperox 231 and divinylbenzene, respectively, may be fabricated under similar conditions, while taking account for slight variations (e.g. to temperature, incubation time, amount of respective components, etc.) as would be understood by a skilled artisan reading the present descriptions. Likewise, the organic liquid scintillator systems may comprise fluors other than DPA/PPO; Li-containing compounds other than 6Li-3-phenylsalicylate; and/or coordinating solvents other than DME may be fabricated under similar conditions while also taking account for slight variations. Li-3-PSA is a colorless, stable in air compound that melts at about 137-138° C. Similarly to many commercially produced or lab-synthesized Li-organic compounds, it does not dissolve in any aromatic solvents, including toluene, xylene, or monomers, such as vinyltoluene or styrene used for liquid or plastic preparation. However, in the scintillator systems discloses herein, Li-3-PSA has been found to freely dissolve in many coordinating solvents, acetone, methanol, or DME miscible with pure aromatics. It is imporant to note, that simple solubility in coordinating solvents may not be a sufficient condition for the dissolution of Li-salts in aromatic matrices in various cases. Rather, without wishing to be bound by any theory, it is thought that Li-3-PSA reacts with some coordinating solvents to produce stable complexes with a diminished polarity, the diminished polarity helping its incorporation into organic matrices. This is supported by results obtained with the combination of Li-3-PSA and DME dissolved in polymer matrices containing high loading of PPO, which allows for current production of stable, optically transparent plastics with the Li-3-PSA concentrations of 5-10 wt. % (as shown in FIG. 6). In the case of a fully enriched 6Li single-isotope compound, this range of concentrations corresponds to 0.135-0.27 wt. % of 6Li loads. While higher content of 6Li may be utilized in some approaches, estimations show that Li-3-PSA concentrations of 5-10 wt. % are sufficient to provide thermal neutron capture efficiency up to 50-60% at a scintillator thickness of about 3 cm, thereby realizing new plastics useful for practical applications. With respect to physical properties, such as hardness or transparency, the new Li-containing plastic scintillators disclosed herein are similar to regular plastic scintillators. The new Li-containing plastic scintillators do not evidence any obvious signs of physical instability or scintillation performance degradation. Measurements of PL spectra and decay characteristics showed that new Li-containing plastic scintillators have luminescence and prompt lifetimes typical for organic scintillators, in which the final emission generally occurs from the molecules of the secondary dyes (wavelength shifters). For the Li-containing plastic with DPA and Bis-MSB fluors, the lifetimes were measured as 6 ns and 3.4 ns, respectively. Examples of waveform averages obtained with the PSD plastics containing DPA as a secondary dye are shown in FIGS. 12A-12B. As follows from the comparison, all measured pulse averages have a shape consistent with having the same prompt component determined by the final emission from the DPA molecules. The relative intensities of the delayed emission, on the other hand, are different in pulses induced by different types of radiation. A regular hydrocarbon-based plastic (FIG. 12A) shows a scintillation response typical for organic scintillators with PSD, in which pulses corresponding to fast neutrons have noticeably higher relative intensity of the delayed component. The addition of 6Li to the composition of this plastic adds one more signature with a respective waveform containing the largest fraction of the delayed emission produced by the heavier alpha and triton particles originating in the capture reaction (FIG. 12B). FIGS. 7A-7B shows experimental PSD patterns obtained, with selected samples of Li-loaded plastics measured with varying degree of HDPE moderation. The first pattern shown in FIG. 7A obtained with a plastic loaded with natural abundance of NatLi (˜7.5% of 6Li) and a low degree of moderation provided by a 9 mm-thick cylinder containing the 252Cf source is similar to that typical for unloaded PSD plastics irradiated by a 252Cf source that emits only fast neutrons and gammas, with the exception of the small count increase corresponding to thermalized neutrons always present in the environment (shown by the arrow). The intensity of the thermal neutron peak increases with the increase of 6Li load and the use of moderation that leads to the fast-to-thermal neutron conversion (as shown in FIGS. 7B and 7D). For the cases corresponding to an intermediate amount of 252Cf moderation or to realistic conditions when both thermal and fast neutrons are present, the “triple” signature of two types of neutrons and gamma-rays can be obtained (as shown in FIG. 7C). It should be noted that at increasing size additional moderation effects may be introduced by the hydrogen-rich environment of the detector itself. Due to the monoenergetic nature of the alpha and triton particles produced by the capture reaction, the thermal neutron pulses may be concentrated in a narrow energy range leading to the appearance of a sharp peak with energy resolution (calculated as FWHM/mean) of 13% to 20%, depending on the composition and size of measured plastics. The ability to simultaneously detect both thermal and fast neutrons discriminated from gamma rays is an important feature of the new Li-containing plastic scintillators. This unique property brings a substantial advantage of lithiated plastics over their regular unloaded counterparts, which can be used only for detection of fast neutrons above the low-energy threshold for neutron/gamma separation. For most known organic scintillators with PSD, the separation threshold falls into the energy range of about 50-100 keVee, which, depending on the type of materials with different quenching factors, may correspond to the neutron energy of 300-700 KeV. This means that a large fraction of less energetic neutrons cannot be detected using regular PSD materials. The fact that particles resulting from capture reaction produce scintillation pulses far above the discrimination threshold allows for the detection of thermal neutrons in the energy range where their signature can be clearly separated from the gamma radiation background. FIGS. 8A and 8B show 252Cf PSD profiles obtained with 2.5 cm×2.5 cm thick plastics containing 30% of PPO and 5% of Li-3-PSA in PS matrix with 0.2% additions of secondary dyes. Specifically, the PSD plastic shown in FIG. 8A comprises the secondary dye DPA, and was measured with 10 cm of HDPE moderation. The PSD plastic shown in FIG. 8B comprises the secondary dye B—Bis-MSB, and was measured with 2.5 cm of HDPE moderation. FOMs are calculated in near-thermal-neutron-spot energy range of by Gaussian approximation of individual peaks for gamma, fast neutrons and thermal neutrons. The PSD FOMs with mean values of 3.45 and 2.96 calculated for the first examples of 6Li-loaded plastics in the near-thermal-neutron energy range (FIGS. 8A and 8B, respectively) correspond to efficient thermal neutron/gamma discrimination. At the same time, as shown in FIGS. 8A and 8B, the sensitivity to thermal neutrons does not diminish the ability of the new materials to detect fast neutrons (FOMs equal to 2.48 and 2.33, respectively). Simultaneous detection of thermal and fast neutrons increases the total efficiency of neutron detection which, combined with a good degree of discrimination from gamma-rays, makes these novel 6Li-loaded plastics attractive for application in currently deployed detectors. There is, however, one more property of the new materials never utilized before in organic scintillators. As shown by the results of FIGS. 8A and 8B, in addition to the traditional neutron/gamma separation, there is one more type of discrimination between the signatures of thermal neutrons (alpha and triton particles) and fast neutrons (recoiled protons). The PSD FOMs corresponding to this type of discrimination are 0.759 and 0.63 as shown in FIGS. 8A and 8B, respectively. The experimental results for the liquid scintillators disclosed herein show that the Li compounds used for the preparation of plastic scintillators may also be introduced into compositions of liquids, e.g. aromatic liquids. For example, similar concentrations of Li-3-PSA may be used to obtain efficient PSD in xylene-based mixtures containing different primary and secondary dyes. Preliminary measurements made with traditional liquid scintillators (EJ-309) indicate that the method may be promising for commercial production of 6Li-loaded liquid scintillators with PSD. Liquid scintillators were studied because of the advantage of easy and inexpensive preparation of efficient PSD mixtures by dissolution of only one scintillation dye in an aromatic solvent. FIG. 9A shows an energy-calibrated PSD pattern obtained with a liquid scintillator prepared with 30 wt. % of PPO dissolved in p-xylene, without any secondary dyes. Similar to plastic scintillators, addition of 5% Li-3-PSA to PPO-xylene solution leads to the appearance of a spot, corresponding to the thermal neutron signature. However, a surprising result is that this spot is practically embedded into the points corresponding to the fast neutron pulses, leading to a PSD profile with only one neutron band which includes both fast and thermal neutron counts separated from gammas (FOM=1.67 shown in FIG. 9B). The result may indicate that there is no detectable difference in the fraction of the delayed light produced by neutron capture products and protons in the scintillation material, making it similar to that reported in the past for a 6Li-loaded organic scintillators, where no discrimination between fast and thermal neutrons was observed. Without wishing to be bound by any theory, it is thought that due to the much lower concentration threshold in liquids, efficient PSD may be obtained with a larger variety of low-soluble scintillation dyes (e.g., DPA, Bis-MSB, POPOP, etc.), which can be used for comparative analysis. FIGS. 10A and 10B shows the results obtained with one such dye, DPA, which when dissolved as a primary dye only at 1% concentration in xylene, produces PSD comparable to the best organic crystals and commercial liquids. As illustrated by FIGS. 10A and 10B, when 5% of Li-3-PSA is added to the DPA-xylene solution, the resulting mixture not only preserves the initial high degree of fast neutron/gamma discrimination, but also exhibits clearly pronounced separation between the signatures of two kinds of neutrons, with additional improvement of thermal neutron peak resolution calculated for the case of the DPA liquids as 9.5%. Again not wishing to be bound by any theory, it is thought that one of the possible explanations of the differences in the performance of the liquids represented by FIGS. 9A/9B and 10A/10B may relate to the relation between the component band gaps, reflected by their PL spectra (as shown in FIGS. 11A-11D). Despite the fact that the first solution (FIG. 11A) contained a very high concentration of PPO (30%), its final spectrum is not of PPO but of typical Li-3-PSA emission. On the contrary, the second solution (FIG. 11C), which has only 1% of DPA mixed with 5% of Li-3-PSA, produces PL emission with the spectrum corresponding to pure DPA dissolved in xylene. The spectral differences may be understood based on the well-studied energy transfer phenomena in organic scintillation mixtures. The fact that the excitation spectrum of Li-3-PSA coincides with the emission spectrum of PPO (FIG. 11B) leads to the absorption of PPO emission by the molecules of Li-3-PSA that produces the major fraction of the final scintillation light. No such absorption is possible in the mixture of DPA and Li-3-PSA, in which, due to the lack of the spectral overlap (FIG. 11D), light from DPA cannot be absorbed by Li-3-PSA. The result is that in the first case the final emission is determined by Li-3-PSA molecules that presumably have significantly lower quantum yield in comparison to PPO, while in the second case, the final light output is produced mainly by very efficient DPA molecules. The major fraction of the light yield measured in both PL and scintillation experiments results from the de-excitation of the excited singlet states. However, similar processes of energy transfer may take place with the triplet states, migration and annihilation of which will be influenced by the combination of the bandgaps, leading to the differences in the light yield and PSD efficiency. Applications and Uses Embodiments of the present invention may be used in a wide variety of applications, and potentially any application in which high light yield and/or pulse shape discrimination between gammas, fast and thermal neutrons, charged particles, etc. is useful. Illustrative uses of various embodiments of the present invention include, but are not limited to, applications requiring radiation detection. Detection, surveillance and monitoring of radioactive materials, including identification of special nuclear materials (SNM), are a few such examples. Various embodiments can also be used in the nuclear fuel cycle, homeland security applications, nuclear non-proliferation, medical imaging, special nuclear material, high energy physics facilities, etc. Moreover, the figure of merit (FOM) performance metric is already sufficient to distinguish neutrons from gammas down to the few hundred keV/gamma equivalent regime, and will be very useful for non-proliferation, homeland security and safeguards applications. Yet other uses include detectors for use in treaty inspections that can monitor the location of nuclear missile warheads in a nonintrusive manner. Further uses include implementation in detectors on buoys for customs agents at U.S. maritime ports, cargo interrogation systems, and instruments that emergency response personnel can use to detect or search for a clandestine nuclear device. Assessment of radiological dispersal devices is another application. Further applications include radiography, dosimetry, and scientific research. Any of the methods, systems, devices, etc. described above, taken individually or in combination, in whole or in part, may be included in or used to make one or more systems, structures, etc. In addition, any of the features presented herein may be combined in any combination to create various embodiments, any of which fall within the scope of the present invention. Following are several examples of general and specific embodiments. For example, according to one embodiment a thermal neutron capture reagent, comprises a lithium-containing compound selected from a group consisting of: Li-3-phenylsalicylate, Li-3,5-di-tert-butylsalicylate, and Li-acetylsalicylic acid, wherein the compound is soluble in a fluor. In some approaches, the thermal neutron capture reagent may exhibit an optical response signature for thermal neutrons. According to another embodiment, a plastic scintillator comprises a polymer matrix, a primary fluor, and a Li-containing compound, where the Li-containing compound is soluble in the primary fluor. The plastic scintillator may exhibit an optical response signature for thermal neutrons that is different than an optical response signature for fast neutrons and gamma rays in one approach. The scintillator may be substantially free of grain boundaries (e.g. comprise a homogenous composition) and be optically transparent in another approach. In some approaches, the polymer matrix present in the plastic scintillator may include one or more aromatic groups. In other approaches, the polymer matrix present in the plastic scintillator may be selected from a group consisting of: polystyrene, polyvinyltoluene, and poly(methylmethacrylate). In yet other approaches, an initiator and a cross-linker may be present in the polymer matrix. In more approaches, the primary fluor deposed in the plastic scintillator may be present in an amount of 0.5 wt % or more. In one approach, the primary fluor may be 2,5-diphenyloxazole (PPO). In another approach, the primary fluor may be crosslinked to the polymer matrix. In even more approaches, the Li-containing compound present in the plastic scintillator may be a Li-salt of a carboxylic acid. In a preferred approach, the Li-containing compound may be selected from a group consisting of: Li-3-phenylsalicylate, Li-3,5-di-tert-butylsalicylate, and Li-acetylsalicylic acid. In additional approaches, the plastic scintillator may further include a coordinating solvent. In various approaches, the Li-containing compound may be soluble in the coordinating solvent. In exemplary approaches, the coordinating solvent may be selected from the group consisting of: acetone, methanol, and dimethoxyethane. In further approaches, the plastic scintillator may further comprise a secondary fluor, where the secondary fluor has a longer wavelength than the primary fluor. For instance, in one approach, the secondary dye may be present in an amount of less than 2 wt %. In another approach, the secondary fluor may be selected from the group consisting of: 9,10-diphenylanthracene and p-bis-(o-methylstyryl)-benzene. In yet further approaches, a system may include the plastic scintillator described above, and a photodetector for detecting the response of the scintillator material to at least one or neutron and gamma ray irradiation. According to another embodiment, a liquid scintillator includes a liquid scintillator material, a primary fluor that may be present in an amount of 0.01 wt % or more, and a Li-containing compound that is soluble in the primary fluor. In some approaches, the liquid scintillator may exhibit an optical response signature for thermal neutrons that is different than an optical response signature for fast neutrons and gamma rays. The liquid scintillator may be comprise a homogenous composition and be optically transparent in another approach. In some approaches, the liquid scintillator material may be any commercially available liquid scintillator material with and/or without PSD properties. In other approaches, the liquid scintillator material may include one or more aromatic groups. In yet other approaches, the liquid scintillator material may include a xylene-based liquid. In more approaches, the primary fluor deposed in the liquid scintillator may be present in an amount of 0.01 wt % or more. In one approach, the primary fluor may be 2,5-diphenyloxazole (PPO). In another approach, the primary fluor may be crosslinked to the polymer matrix. In even more approaches, the Li-containing compound present in the liquid scintillator may be a Li-salt of a carboxylic acid. In a preferred approach, the Li-containing compound may be selected from a group consisting of: Li-3-phenylsalicylate, Li-3,5-di-tert-butylsalicylate, and Li-acetylsalicylic acid. In additional approaches, the liquid scintillator may further include a coordinating solvent. In various approaches, the Li-containing compound may be soluble in the coordinating solvent. In exemplary approaches, the coordinating solvent may be selected from the group consisting of: acetone, methanol, and dimethoxyethane. In further approaches, the liquid scintillator may further comprise a secondary fluor, where the secondary fluor has a longer wavelength than the primary fluor. For instance, in one approach, the secondary dye may present in an amount of less than 2 wt %. In another approach, the secondary fluor may be selected from the group consisting of: 9,10-diphenylanthracene and p-bis-(o-methylstyryl)-benzene. In yet further approaches, a system may include the liquid scintillator described above, and a photodetector for detecting the response of the scintillator material to at least one or neutron and gamma ray irradiation. While various embodiments have been described above, it should be understood that they have been presented by way of example only, and not limitation. Thus, the breadth and scope of a preferred embodiment should not be limited by any of the above-described exemplary embodiments, but should be defined only in accordance with the following claims and their equivalents. |
|
054209005 | summary | BACKGROUND OF THE INVENTION The present invention relates to upper internal equipments for a nuclear reactor, and it is particularly applicable to reactors that are cooled and moderated by pressurized water. More particularly, it relates to internal equipments located above the core of a nuclear reactor, the equipment comprising a bottom plate formed with openings allowing coolant to leave the core, a top plate, spacer columns interconnecting the plates, and cluster guides for control clusters that are vertically displaceable between a lower position in which they are inserted in the core and an upper position in which they are out of the core, at least some of said guides each having an upper length that projects above the top plate and a bottom length that extends between the plates and that is within a respective spacer column. More particularly, the invention relates to equipments of this kind in which the bottom length includes a support base for abutment on a frustoconical seat secured to the bottom plate and is subjected to the action of resilient means exerting a force that urges the base against the seat, as described in U.S. Pat. No. 5,183,628. SUMMARY OF THE INVENTION It is an object of the present invention to provide equipments enabling cluster guides placed in columns to be installed and replaced simply, and also enabling a structure to be preassembled in a factory and subsequently connected directly to the top plate. To this end, there are provided equipments of the kind defined above in which the two lengths of each guide constitute a one-piece cartridge suitable for insertion in a corresponding column, and in which the guide further includes a casing for receiving the upper length, which casing is fixed to the top plate constituting an abutment for the resilient means. Typically, the two lengths each comprise a plurality of longitudinal members (e.g., four) that are regularly spaced apart angularly and that interconnect a higher plate subjected to the action of the resilient means and cross guide plates for cluster rods that are insertable in the guide, together with split sleeves and tubs for continuous guidance of the rods in the lowest portion of the bottom length. The longitudinal members extend to the top of the cartridge. They may either be interrupted from the cross plate of the bottom length at which the split sleeves and tubes for continuous guidance begin, or they may extend up to a bottom cross plate (or even up to the base). The invention will be better understood from the following description of particular embodiments given as by way of example. The description refers to the accompanying drawings. |
052727432 | summary | BACKGROUND ART The present invention pertains to a key member for use in inserting fuel rods into a nuclear fuel assembly grid or in removing the fuel rods from the nuclear fuel assembly grid, and a method for insertion or removal of fuel rods using the same key member. The invention further relates to a method for disassembling a nuclear fuel assembly using the same key member. In a known nuclear fuel assembly, a pair of top and bottom nozzles are arranged in a spaced relation to each other, and a plurality of grids each formed of straps are disposed between the nozzles so as to be spaced from each other. Control-rod guide pipes and measuring instrument pipes are inserted through the grid cells of the grid, fixedly secured to the grid, and connected to the nozzles. A plurality of fuel rods are inserted through the grid cells of the grids and resiliently supported by springs formed on the grids. In a conventional assembly method of the nuclear fuel assembly as constructed above, if the fuel rods are simply inserted into the grid cells, the fuel rods are constricted between with the springs and dimples on the grids, so that the outer peripheral surface of each fuel rod may be scratched. Various proposals have hitherto been made in order to circumvent this problem. For example, as disclosed in U.S. Pat. No. 5,068,081, a method utilizing a deflecting jig of an expander type capable of being enlarged in diameter has been proposed. In this method, an opening for inserting a key member is formed in each grid, and the springs are deflected by inserting the jig into the grid cells of the grid and enlarging the same. Then, the key member is inserted through the opening to maintain the springs deflected, and fuel rods are smoothly inserted through the grid cells. However, in the above method, the deflecting jig must be used since the key member is not provided with the function to deflect the springs, and hence, in addition to the necessity of preparing the deflecting jig, an extra step of applying the deflecting jig is required. Furthermore, when it is necessary to dismantle the nuclear fuel assembly, if the fuel rods can be removed from the grid by, for example, inserting the key member through the opening and operating the same to release the engagement of the fuel rods with the grids, the fuel rods thus removed can be put into repeated use for a new nuclear fuel assembly. However, once the assembling of the nuclear fuel assembly is completed, the opening for the insertion of the key member is obstructed by the control-rod guide pipes or measuring instrument pipes, so that the key member cannot be inserted any longer. Therefore, it has been desired to devise an arrangement to provide access to the space for the insertion of the key member. SUMMARY OF THE INVENTION It is therefore a primary object of the present invention to provide a novel key member which can release the constrictive engagement of the fuel rods with the springs and dimples, without using a special device such as the aforementioned spring-deflecting jig, and ensuring sufficient cell sizes required for the assembling and/or disassembling of the nuclear fuel assembly. Another object of the present invention is to provide a method for insertion and removal of fuel rods which can be effected very efficiently using the aforesaid key member, and by which the fuel rods can be easily inserted into and removed from the grid without being damaged. A further object of the invention is to provide a method for disassembling a nuclear fuel assembly which is particularly suitably practiced using the aforesaid key member. According to a primary aspect of the present invention, there is provided a key member for use in insertion and removal of a fuel rod into and from a nuclear fuel assembly grid which includes a plurality of elongated straps intersecting generally perpendicularly with each other to define a plurality of grid cells therein, and a plurality of pairs of dimples and springs formed on the straps for supporting a plurality of fuel rods such that each pair of dimple and spring are disposed in facing relation to each other, and which includes key member-accommodating openings formed at the intersections of the straps so as to define peripheral ends therearound, the key member comprising an elongated key body having a pair of opposite surfaces, the key body including a plurality of first projections adapted to be held in engagement with the peripheral end of the opening of the strap and a plurality of second projections adapted to be held in engagement with the spring to deflect the spring away from the dimple facing thereto, the first projections and the second projections being formed on the opposite surfaces alternately in a staggered relation to one another. According to another aspect of the present invention, there is provided a method for insertion and removal of a fuel rod into and from a nuclear fuel assembly grid which includes a plurality of elongated straps intersecting generally perpendicularly with each other to define a plurality of grid cells therein, and a plurality of pairs of dimples and springs formed on the straps for supporting a plurality of fuel rods such that each pair of dimple and spring are disposed in facing relation to each other, and which includes key member-accommodating openings formed at the intersections of the straps so as to define peripheral ends therearound, the method comprising the steps of: (a) preparing a plurality of key members each including an elongated key body having a longitudinal axis and a pair of opposite surfaces, the key body including a plurality of first projections and a plurality of second projections formed on the opposite surfaces alternately in a staggered relation to one another; (b) inserting each of the key members in the grid while causing the key member to pass through the openings of the straps disposed parallel to each other in such a manner as to extend along a respective strap intersecting the aforesaid parallel straps; (c) turning each of the key members about the longitudinal axis to bring the plurality of first projections into engagement with the peripheral ends of the openings of the aforesaid parallel straps and to bring the plurality of second projections into engagement with the springs on the aforesaid strap intersecting the parallel straps to deflect the springs away from the dimples opposing thereto; and (d) inserting the fuel rods into the grid cells of the grid or removing the same therefrom. According to a further aspect of the invention, there is provided a method for disassembling a nuclear fuel assembly which includes top and bottom nozzles disposed in spaced relation to each other, a plurality of grids disposed between the top nozzle and the bottom nozzle so as to be spaced from each other and to define grid cells, a plurality of pipes connected to the top and bottom nozzles for accommodating control-rods and measuring instrument, a plurality of pairs of dimples and springs formed on the straps for supporting a plurality of fuel rods such that each pair of dimple and spring are disposed in facing relation to each other, the method comprising the steps of: (a) preparing a plurality of key members each including an elongated key body having a longitudinal axis and a pair of opposite surfaces, the key body including a plurality of first projections and a plurality of second projections formed on the opposite surfaces alternately in a staggered relation to one another; (b) working the plurality of pipes to ensure spacings in the grid for inserting the key members; (c) inserting each of the key members into the grid while causing the key member to pass through the spacings formed by the working of the pipes; (d) turning each of the key members about the longitudinal axis to bring the plurality of first projections into engagement with the peripheral ends of the openings of the straps disposed parallel to each other and to bring the plurality of second projections into engagement with the springs on a respective strap intersecting the aforesaid parallel straps to deflect the springs away from the dimples opposing thereto; and (e) subsequently removing the fuel rods from the grid cells of the grid. In the foregoing, the working step (b) may include cutting the pipes transversely thereof at positions adjacent to the openings at the intersections of the straps, and enlarging the pipes at the cut portions to reduce the longitudinal lengths of the pipes to thereby ensure the aforesaid spacings for inserting the key members. Furthermore, the same working step may include forming slots at bulged portions of the pipes, and removing the pipes to thereby ensure spacings for inserting the key members. |
050080695 | claims | 1. In a nuclear reactor system which includes a pressure vessel containing a reactor core and an aqueous neutron-absorbing liquid, the improvement wherein a circulation system is connected to said pressure vessel through which liquid and/or steam and any uncondensable gas can circulate, said circulation system including a cooling device which comprises an evaporator in an evaporating pool, a first conduit which extends from an outlet opening in an upper part of said pressure vessel to said evaporator, a second conduit which extends from said evaporator downwardly to an inlet opening in said pressure vessel, said inlet opening being located below said outlet opening, a discharge vessel that includes at least one outlet for gas and steam and for maintaining a lower pressure therein than in said evaporator, and a third conduit connected to said second conduit at a point above a bottom of said second conduit and extending to said discharge vessel, said third conduit enabling a small portion of fluid flow in said second conduit to pass therethrough. 2. A nuclear reactor system according to claim 1, including a fourth conduit connected to said first conduit at a point above a bottom of said first conduit and extending to said discharge vessel, said fourth conduit enabling a small portion of fluid flow in said first conduit to pass therethrough. 3. A nuclear reactor system according to claim 1, wherein said discharge vessel includes an outlet at a lower end thereof for liquid and is partially filled with liquid. 4. A nuclear reactor system according to claim 1, including a heat sink and another conduit which extends from said evaporator pool to said heat sink. 5. A nuclear reactor system according to claim 4, including a concrete containment vessel in which said pressure vessel and said evaporation pool are located, said heat sink being located outside said containment vessel. 6. A nuclear reactor system according to claim 1, including a heat sink and an additional conduit which extends from said discharge vessel to said heat sink. 7. A nuclear reactor system according to claim 6, including a concrete containment vessel in which said pressure vessel and said discharge vessel are located, said heat sink being located outside said containment vessel. 8. A nuclear reactor system according to claim 6, including a concrete containment vessel in which said pressure vessel and said discharge vessel are located, wherein said heat sink comprises a tank containing a liquid pool located in said containment vessel below said discharge vessel, said additional conduit extending through a space in said containment vessel from said discharge vessel to below a surface of said liquid pool in said tank, and sealed means providing communication between said liquid pool and said space. 9. A nuclear reactor system according to claim 1, including a concrete containment vessel in which said pressure vessel and said evaporation pool are located. 10. A nuclear reactor system according to claim 1, including a concrete containment vessel in which said pressure vessel and said discharge vessel are located. |
summary | ||
061370282 | description | DETAILED DESCRIPTION A method for the disposal of oil field wastes contaminated with naturally occurring radioactive materials in accordance with the present invention is illustrated in the accompanying drawing FIGURE. The method includes the drilling of an injection well 10 and a recovery well 12 so that they intersect within a subterranean salt formation 14. Next, fresh water from storage tank 16 is flowed between the wells 10 and 12 to dissolve a cavern 18 in the salt formation 14. A slurry 22 of NORM wastes 20 and a liquid carrier (fresh water from tank 16 or salt water from storage tank 24) is then pumped down injection well 10 and into the cavern 18. As the slurry 22 is injected, the cavern 18 acts as an liquids/solids separator. The relatively dense NORM wastes 20 sink and accumulate on the bottom of the cavern 18. Salt water 26 displaced by the incoming slurry 22 is removed from the cavern 18 through the recovery well 12 and is subsequently discarded in a disposal well 28 open to a permeable subterranean formation 30 isolated from the salt 14. As the cavern 18 fills with NORM wastes 20, the recovered water 26 tends to become "dirtier" with a higher concentration of wastes. The cavern 18 may be considered to be "full" of NORM wastes 20 when the return of wastes with the displaced salt water 26 becomes problematic. The cavern 18 is sealed when full. It is essential that the injection well 10 and recovery well 12 be drilled in a manner that permits fluid communication between them. This is accomplished by drilling the injection well 10 so that it has a horizontal section 32 in the salt 14 and a vertical section 34 connecting the horizontal section 32 to the ground surface 36. Next, from a place on the surface 36 above the bottom hole location 38 of the injection well 10, the recovery well 12 is drilled vertically to intersect the horizontal section 32. During drilling, the injection well 10 and the recovery well 12 are reinforced to prevent collapse. For both wells 10 and 12, a relatively-short conductor pipe 40 is provided adjacent the ground surface 36. An intermediate string of casing 42, long enough to isolate the wells 10 and 12 from fresh water aquifer 44, is positioned within each conductor pipe 40 and is cemented in place. Finally, a work string of casing 46, having a length sufficient to penetrate the salt 14, is positioned within each intermediate string 42 and is cemented in place to further protect aquifer 44. The injection well 10 and the recovery well 12 are both provided with a tubing string 48 extending from the ground surface 36 to the salt 14. A packer 50 on each tubing string 48 isolates the annulus 52 of each well 10 and 12 from the salt 14. To provide back-pressure on each packer 50, the annulus of each well 10 and 12 is filled with a liquid such as fresh water (not shown). After the injection well 10 and the recovery well 12 are completed, a predetermined volume of fresh water from tank 16 is pumped down the tubing string 48 of the injection well 10, through the salt 14, and up the tubing string 48 of the recovery well 12. If the flow rate is too high, the water obtained at the ground surface 36 from the recovery well 12 will be unsaturated with salt and may be returned to injection well 10 for reuse. Once the fresh water becomes saturated with salt, it is discarded by injection into disposal well 28. After pumping the first volume of fresh water through the salt 14, the roles of the injection well 10 and recovery well 12 are reversed by appropriate switching of valves 54. Now, a second volume of fresh water equal to the first is pumped down the tubing string 48 of recovery well 12, through the salt 14, and up the tubing string 48 of injection well 10. The pumping of water into the recovery well 12 continues until salt-saturated water is recovered from the injection well 10. When such a recovery is made, the nominal diameter of the horizontal section 32 of the injection well 10 optimally will have increased from several inches to many feet thereby forming a salt cavern 18 of large capacity. NORM slurry 22, comprising fresh water from tank 16 and NORM wastes 20, is pumped along the flow path indicated by arrows "A" in the drawing. First, the slurry 22 travels down the tubing string 48 of injection well 10 into the cavern 18. Due to density differences, the NORM wastes 20 settle out of suspension in the cavern 18 between the injection well 10 and the recovery well 12. By controlling slurry flow rates and slurry rheology, an operator can vary the distance from the injection well 10 that NORM wastes 20 will settle thus ensuring that the cavern 18 will be filled with NORM wastes to the maximum possible extent. The fresh water used to form the NORM slurry 22 continues to dissolve salt 14 and transport such from the recovery well 12. The recovered salt water 26 is retained in storage tank 45. When there is a sufficient volume of water 26 in tank 45, a pump 55 whose outlet is in fluid communication with the disposal well 28 is energized to deliver the water 26 to permeable formation 30. Thus, the cavern 18 is automatically enlarged as the deposition of NORM wastes 20 therein continues. The periodic reversal of the roles of the injection well 10 and the recovery well 12 ensures both the even dissolution of the salt 14 and the even deposition of NORM wastes 20 in the cavern 18. Upon reversal to the fluid flow path indicated by arrows "B" in the drawing, NORM slurry 22 is pumped down the tubing string 48 of recovery well 12 into the cavern 18 where the NORM wastes 20 will settle to the bottom of the cavern. Fresh water used to form the NORM slurry dissolves the salt 14, is removed from the cavern 18 through tubing string 48 of injection well 10, and is discarded through the disposal well 28. Once it is determined through volumetric calculations or otherwise that the cavern 18 has reached its maximum safe dimensions. The NORM wastes 20 are slurried with salt-saturated water from tank 24 rather than fresh water from tank 16. Thus, dissolution of the salt 14 is terminated, and the cavern 18 can continue to be filled with NORM wastes 20 as described above until NORM waste returns become problematic. When the return of NORM wastes from the cavern 18 becomes excessive, the injection well 10 and the recovery well 12 are plugged and abandoned. NORM wastes 20 are initially delivered to the slurry production apparatus 56 by means of trucks (not shown) driven onto off-load ramp 58. NORM wastes in excess of 1" nominal diameter are deposited from the trucks into an aggregate pit 60 where they are transported by a conveyor belt 62 to a cone crusher 64. The crusher 64 reduces the nominal diameter of the wastes 20 delivered to it to less than 1" and subsequently delivers them by means of a bucket-lift conveyor 66 to a feed stock tank 68. NORM wastes 20 having a nominal diameter of less than 1" at the time of off-loading, however, are diverted from the crusher 64 and, instead, deposited in a mixing pit 70 where they are combined with fresh water from the storage tank 16 and fed to the feed stock tank 68. NORM wastes 20 are transported from the feed stock tank 68 by a drag-bottom conveyor 72 to a ball mill 74 which further reduces the particle size of the NORM wastes delivered to it. NORM wastes 20 leaving the ball mill 74 are passed over a trommel screen 76 and through a hydrocyclone 78 to ensure that NORM wastes of a predetermined size are delivered to the slurry tank 80. NORM wastes 20 having a particle size which is too large as determined by the screen 76 or the hydrocyclone 78 are captured, respectively, in tanks 82 and 84 and are returned to the feed stock tank 68. In the slurry tank 80 the finely ground NORM wastes 20 are mixed with additional volumes of water from storage tank 16 or 24 to form a slurry 22 having suitable Theological characteristics. A pump 86 delivers the slurry 22 to either the injection well 10 or recovery well 12 as desired by the operator. Thus, according to the described method, the disposal of NORM wastes 20 in cavern 18 may be performed in a safe and cost effective manner. While the inventive method and the apparatus for performing such has been described with a high degree of particularity, it will be appreciated by those skilled in the art that modifications may be made thereto. For example, the number and location of injection and recovery wells may be varied to maximize the cavern's size or the rate at which NORM wastes can be pumped into the cavern. Therefore, it is to be understood that the present invention is not limited to the method described above, but encompasses any and all methods within the scope of the following claims. |
description | Referring now to the drawings, wherein like reference numerals designate corresponding structure throughout the views, and referring in particular to FIG. 1, a system 10 for moving a canister 12 of spent nuclear fuel to a storage cask 14 according to a preferred embodiment of the invention is depicted with the storage cask 14 being mounted for transport upon a trolley 16. As may be seen in FIG. 1, system 10 utilizes a transfer cask 18 into which the canister 12 is temporarily positioned while it is being moved from a first location to a final resting space 44 that is defined within the storage cask 14. As is conventional, transfer cask 18 is preferably fabricated from steel and has a pair of opposed lifting lugs 34, 36 that are integral with an outer wall 38 thereof. Lifting lugs 34, 36 may be used to lift and reposition the transfer cask 18 during operation. Transfer cask 18 further has an internal space defined by an inner wall 40 for receiving the canister 12 and a gate mechanism 42 positioned at the bottom thereof for retaining the canister 12 until it is properly positioned to be lowered into the storage cask 14. Referring again to FIG. 1, it will be seen that system 10 further includes a first lifting mechanism 20 for engaging the transfer cask 18 and moving the transfer cask 18 from a first position to a position that is immediately adjacent to and above the storage cask 14. In the preferred embodiment, first lifting mechanism 20 is constructed as a single failure proof crane 22 having an upper block assembly 24 and a lower block assembly 26 that is suspended from the upper block assembly 24 by a reeving arrangement 28. Suspended from the lower block assembly 26 is a first lifting hook that is configured and spaced and sized so as to be able to engage the first lifting lug on the transfer cask 18 and a second lifting hook that is likewise constructed for engaging the opposed second lifting lug 36 during operation. It should be understood that the description of the lifting hooks as being suspended from the lower block assembly 26 should be construed as descriptive of any mechanical arrangement wherein the lifting hooks move substantially with the lower block assembly 26, regardless of whether they are actually mounted on the lower block assembly or one another component, such as part of the hoist mechanism, that in turn is mounted on the lower block assembly. System 10 advantageously further includes a second lifting mechanism 46 that in the preferred embodiment is attached to the lower block assembly 26 of the first lifting mechanism 20. As may be seen in the top plan view of the second lifting mechanism that is depicted in FIG. 7, the second lifting mechanism 46 is preferably embodied as a hoist 58 that is powered by a redundant pair of electric motors 60 and that is constructed and arranged to raise and lower a specialized canister grab system 64 that will engage a lid portion 65 of the canister assembly 12, as will be described in greater detail below with reference to FIG. 8. As may be seen in FIG. 7, hoist 58 includes a corresponding pair of planetary reduction gears 61 that are part of a drive train from the motors 60 to a pair of drums 63 about which are wound at least two cables or ropes, which in turn our arranged in a reeving arrangement 67 parts of rope and a plurality of sheaves 66 (best shown in FIG. 5) that are mounted to a frame assembly 48 of the canister grab system 64. An electric motor 50 is provided to engage and disengage canister grab system 64 with the lid portion 65, as will be described in greater detail below. Referring now to FIGS. 2-4, it will be seen that electric motor 50 is coupled to a reduction gear 70 that in turn is connected to an interlock assembly that ensures that the grab system 64 will be constrained to remain engaged with the lid portion 65 when any substantial amount of weight of the canister 12 is borne by the second lifting mechanism 46. As may best be seen in FIG. 4, an interlock assembly is preferably constructed as a clutching arrangement in a bevel drive 72 that includes a first, male, conical drive member 74 and a second, female conical drive member 76. As may be seen by comparing the positions of the grab system 64 and FIGS. 2 and 3, the frame assembly 48 is divided into an upper grab frame assembly 54 and a lower grab frame assembly 56 that is mounted so as to be permitted to travel a predetermined vertical distance DT with respect to the upper grab frame assembly 54. As may best be seen in FIG. 4, a system of biasing springs 78 and pins 80 are provided to bias the upper and lower grab frame assemblies 54, 56 together so that under normal circumstances the first male conical drive member 74 remains frictionally engaged with the second female conical drive member 76, ensuring an intact drive train between the reduction gear 70 and a drive shaft 82 as is shown in FIG. 2. Drive shaft 82 is coupled to a screw drive arrangement 86 by means of a bevel gear 84. Screw drive arrangement 86 includes a shaft 87 that is provided with an external helical thread and that is interengaged with a mating internally threaded sleeve 89 that is integral with the canister ring hook 88. Accordingly, rotation of the shaft 82 in a first direction will tend to extend a canister ring hook 88 and rotation in a second, opposite direction will tend to retract the canister ring hook 88. A limit switch 90 is positioned as is shown in FIG. 2 to monitor the travel of the canister ring hook 88. When the canister ring hook 88 is in the retracted position, the canister grab assembly will be able to engage the lid member 65 of the canister 12. When the canister ring hook 88 is in the fully extended position, the canister grab system 64 will be securely locked into the lid portion 65 of the canister 12. When the canister grab system 64 bears the weight of the canister 12, the lower grab frame assembly 56 will be pulled downwardly with respect to the upper grab frame assembly 54, against the biasing of springs 78, and the first conical drive member 74 will disengage from the second conical drive member 76. Accordingly, when the second lifting mechanism 46 is effectively bearing the weight of the canister 12, the canister grab system 64 will be unable to disengage from the canister 12. Referring now to FIG. 8, it will be seen that the canister ring hook 88 includes at its circumferentially outer extreme lower end a projection 94 for engaging the ring member 98 that is bolted to the lid portion 65 of the canister 12. As may be seen in FIG. 8, ring member 98 also includes an inwardly extending projection 99 that has a lower surface 100. According to one important aspect of the invention, the lower surface 100 of the projection 99 on the ring member 98 and an upper surface 96 of the projection 94 are both angled so as to tend to retain the canister ring hook 88 to the ring member 98 that any time during operation that the weight of the canister 12 is resting to any significant extent on the canister ring hook 88. Preferably, each of these surfaces is angled within a range of about 2 degrees to about 10 degrees with respect to horizontal. More preferably, each of these surfaces is angled by about 6 degrees with respect to the horizontal. FIG. 9 depicts a grab assembly 210 that is constructed according to a second, alternative embodiment of the invention. Grab assembly 210 is identical to the grab assembly described above in reference to the first embodiment, except that it is configured to engage a canister lid assembly of slightly different construction by radially retracting the canister ring hook assembly 212. Accordingly, a screw drive arrangement 214 is provided that has a threading that is opposite from that of the screw drive arrangement 86 of the first embodiment. The canister ring hook assembly in the second embodiment has a projection 216 that faces inwardly, as may be seen in FIG. 9. In operation, the canister 12 will first be positioned and secured within the transfer cask 18 and the transfer cask 18 will then be engaged by the first lifting mechanism 20, specifically by engagement of the lifting hooks 30, 32 with the corresponding lifting lugs 34, 36 on the sides of the outer wall 38 of the transfer cask 18. At this point, the first lifting mechanism 20 and specifically the crane 22 will be used to move the transfer cask 18 and the enclosed canister 12 to a position (as is shown in FIG. 1) immediately above the storage cask 14. At any point in the process up to and including this point, the canister grab system 64 may be lowered automatically or by an operator by instructing the hoist 58 to be lowered into the proximity of the lid member 65 of the canister 12. The motor 50 will then be actuated, causing the canister ring hook 88 to extend, thereby locking the canister grab system 64 into the lid assembly 65. This is verified by the limit switch 90, which will so advise a remote human operator or an automated control system. At this point, while the first lifting system and 20 remains engaged with the transfer cask 18, the gate mechanism 42 at the lower end of the transfer cask 18 may be opened, preferably by remote control the hoist 58 may be instructed to lower the canister 12 into the space 44 that is defined within the storage cask 14. Because the canister grab system 64 is suspended from the lower block assembly 26, which also provides the lifting engagement with the transfer cask 18, relative stability is provided during this step of lowering the canister 12. Accordingly, is not necessary for the transfer cask 18 to be tied down using supplemental restraints which, as described above, is a time-consuming process in may also result in the exposure of humans to potentially harmful radiation. As the canister 12 is lowered, the bevel drive 72 will be pulled into the disengaged position that is shown in FIG. 4, so that the grab system 64 may not be accidentally disengaged. When the canister 12 is in the final storage position, the control system or remote human operator will instruct the electric motor 50 to disengage the canister ring hook 88 from the lid member 65 of the canister 12. The hoist 58 will then be instructed to retract the grab system 64, and the first lifting mechanism may then be instructed to transport the transfer cask to another location where it may be stored or used in a second transfer procedure. Referring to FIG. 10, an apparatus 310 for moving a canister of spent nuclear fuel that is constructed according to an alternative embodiment of the invention includes a first single failure proof lifting mechanism 312 for engaging and lifting a transfer cask 18 in which a canister 12 of spent nuclear fuel is temporarily positioned. Apparatus 310 further includes a second, independent single failure proof lifting mechanism 314 for engaging a lid assembly 316 of the canister 12 of spent nuclear fuel. As may be seen in FIG. 10, apparatus 310 further includes a trolley assembly 324 that is mounted so as to be able to travel on a pair of travel rails 320,322 that are preferably, although not necessarily, structurally mounted to a building 326. Referring briefly to FIG. 11, it will be seen that the first lifting mechanism 312 includes a drive motor 328 that is adapted to drive a first drive mechanism 330, which in turn causes movement of a first drum 332, as well as to drive a second drive mechanism 334, which is arranged to cause movement of a second drum 336. A plurality of parts of rope are paid out from the respective drums 332, 336 to raise or lower as may be desired a lower block assembly 338, best visible in FIG. 10, which includes a plurality of sheaves 340 for receiving and equalizing the parts of rope. The lower block assembly 338 further includes a first lifting member 342 and a second lifting member 344 that are constructed and arranged to be engageable with lifting lugs 34, 36 of the transfer cask 18. In this embodiment, lifting members 342, 344 are constructed so as to be shaped as closed hooks or eyelets, although they could alternatively be shaped as open hooks as was described in reference to the first embodiment of the invention. Second lifting mechanism 314 is preferably embodied as a hoist 346 that, as may be seen in FIG. 12, includes a drive motor 348. Drive motor 348 is coupled to a first drive train 350 the drives a first hoist drum 352 as well as to a second drive train 354 that in turn is coupled to a second hoist drum 356. First and second pairs of wire ropes 358, 360 are respectively paid out of the hoist drums 352, 356 to control upward and downward movement of a canister grab mechanism 366. As is best shown in FIGS. 13 and 14, canister grab mechanism 366 includes a first pair of coupling mechanisms 362 that are coupled with the first pair 358 of wire ropes and a second pair of coupling mechanisms 364 that are likewise coupled to the second pair 360 of wire ropes. As may be seen in FIGS. 13-15, canister 12 includes a lifting ring 368 that has an oblong opening 370 defined therein. Canister grab system 366 has an outer housing 372 to which is mounted a drive motor 374 that is adapted to drive a male conical drive member 378 via a reduction gear train 376. Male conical drive member 378 is positioned so as to be able to engage a female conical drive member 380 when the canister grab system 366 is not bearing the weight of the canister 12, in a manner that is identical to that described above with reference to the first embodiment of the invention. In this condition, the weight of members 374, 376, 378 urges the female conical drive member 380 into engagement with the male conical drive member 378. A pair of springs 388 provides braking for the members 374, 376, 378 when the lower portion of the grab mechanism 366 is bearing weight, thus preventing engagement of the drive members 378, 380. Female conical drive member 380 is coupled to rotate together with an engagement member 382 that includes a lower portion 384 that is constructed and arranged to engage the lid assembly 316 of the canister 12. Specifically, and as is best shown in FIG. 15, the lower portion 384 of the engagement member 382 is shaped so as to be able to fit through the oblong opening 370 of the lifting ring 368, and so that it will be locked within the lifting ring 368 after it has been inserted through the opening 370 and rotated so as to no longer be in registration with the opening 370, as will be described in greater detail below. The lower portion 384 of the engagement member 382 preferably has a lower beveled edge 386 to facilitate centering and location of the engagement member 382 with respect to the upper portion of a canister 12 during coupling. A sensor 390 may be provided to monitor the engagement status of the lower portion 384 of the engagement member 382 with the lifting ring 368. Sensor 390 may be embodied as a first sensor for sensing when the lower portion 384 is seated in the lifting ring 378 and a second sensor for sensing the rotational position of the lower portion 384 with lifting ring 378. Apparatus 310 may be installed into a nuclear facility either as original equipment or by retrofitting an existing facility. In order to retrofit an existing facility, as is depicted schematically and FIG. 16, a pre-existing trolley must be removed from at least one travel rail of an overhead crane assembly in the facility. At that point, apparatus 310 may be installed so as to be mounted on the at least one travel rail and preferably a pair of travel rails 324, 322, in the manner that is depicted in FIG. 10. In operation, the canister 12 will first be positioned and secured within the transfer cask 18 and the transfer cask 18 will then be engaged by the first lifting mechanism 312, specifically by engagement of the lifting members 342, 344 with the corresponding lifting lugs 34, 36 on the sides of the outer wall 38 of the transfer cask 18. At this point, the first lifting mechanism 312 will be used to move the transfer cask 18 and the enclosed canister 12 to a position (as is shown in FIG. 1) immediately above the storage cask 14. At any point in the process up to and including this point, the canister grab system 366 may be lowered automatically or by an operator by instructing the hoist 346 to be lowered into the proximity of the lid assembly 316 of the canister 12. The lower portion 384 of the engagement member 382 will be lowered through the opening 370 in the lid assembly 316, and the motor 374 will then be actuated, causing a engagement member 382 to rotate, thereby locking the engagement member into the lid assembly 316 as is depicted in FIG. 15. This is verified by the sensor 390, which will so advise a remote human operator or an automated control system. At this point, while the first lifting system 312 remains engaged with the transfer cask 18, the gate mechanism 42 at the lower end of the transfer cask 18 may be opened, preferably by remote control. The hoist 346 may be instructed to lower the canister 12 into the space 44 that is defined within the storage cask 14. Because the canister grab system 366 is suspended directly from the trolley assembly 324, rather than the lower block of the first lifting assembly. As was the case in the previously described embodiment, the overall height of the system is reduced, making it compatible with more facilities, particularly indoor facilities. In addition, the fact that the sensitive electrical parts of the hoist 346 are not suspended from the lower block of the first lifting mechanism makes the canister grab mechanism 366 less likely to be submerged in water during use, which is an important advantage. As was the case in the previously described embodiment, the fact that simultaneous engagement is provided with the transfer cask 18 and the canister 12 provides relative stability during the step of lowering the canister 12. Accordingly, is not necessary for the transfer cask 18 to be tied down using supplemental restraints which, as described above, is a time-consuming process in may also result in the exposure of humans to potentially harmful radiation. As the canister 12 is lowered, the conical drive members 378, 380 will be pulled into the disengaged position that is shown in FIG. 14, so that the grab system 366 may not be accidentally disengaged. When the canister 12 is in the final storage position, the control system or remote human operator will instruct the electric motor 374 to disengage the engagement member 382 from the lid assembly 316 of the canister 12. The hoist 346 will then be instructed to retract the grab system 366, and the first lifting mechanism may then be instructed to transport the transfer cask to another location where it may be stored or used in a second transfer procedure. It is to be understood, however, that even though numerous characteristics and advantages of the present invention have been set forth in the foregoing description, together with details of the structure and function of the invention, the disclosure is illustrative only, and changes may be made in detail, especially in matters of shape, size and arrangement of parts within the principles of the invention to the full extent indicated by the broad general meaning of the terms in which the appended claims are expressed. |
|
claims | 1. A method of inspecting, performing maintenance on, or repairing a nuclear reactor with an annular space between a reactor pressure vessel and a core shroud, the method comprising:operatively connecting a fixing device, a first track, an arc-shaped arm including one or more second tracks, and an effector to form an apparatus;inserting the apparatus into the annular space between the reactor pressure vessel and the core shroud of the reactor;attaching the fixing device to an inner wall of the reactor pressure vessel; andoperating the apparatus;wherein at least one of the one or more second tracks includes at least three track sections, each of the at least three track sections being arc-shaped,wherein the arm has a contracted length,wherein the arm has an expanded length, andwherein the expanded length is greater than two times the contracted length. 2. A method of operating a nuclear reactor with an annular space between a reactor pressure vessel and a core shroud, the method comprising:shutting down the reactor;inspecting, performing maintenance on, or repairing the reactor;removing the apparatus from the annular space: andstarting up the reactor;wherein the reactor is inspected, maintenance is performed on the reactor, or the reactor is repaired according to the method of claim 1. 3. The method of claim 1, wherein the first track comprises one or more motors configured to move the arm relative to the first track. 4. The method of claim 1, wherein the first track comprises one or more motors configured to move the arm along the first track. 5. The method of claim 1, wherein the first track comprises one or more motors configured to rotate the arm relative to the first track. 6. The method of claim 1, wherein the arm is operatively connected to the first track. 7. The method of claim 6, wherein the first track comprises one or more motors configured to move the arm relative to the operative connection of the arm to the first track. 8. The method of claim 6, wherein the first track comprises first, second, and third motors,wherein the first motor is configured to move the arm relative to the operative connection of the arm to the first track,wherein the second motor is configured to move the arm along the first track, andwherein the third motor is configured to rotate the arm relative to the first track. 9. The method of claim 1, wherein the arm is configured to move relative to the first track. 10. The method of claim 1, wherein the arm is configured to move along the first track. 11. The method of claim 6, wherein the arm is configured to move relative to the operative connection of the arm to the first track. 12. The method of claim 1, wherein the arm is configured to rotate relative to the first track. 13. The method of claim 1, wherein,the at least three track sections are configured to contract the arm to the contracted length,the at least three track sections are configured to expand the arm to the expanded length,the arm maintains the arc-shape throughout the expansion and contraction of the arm. 14. The method of claim 1, wherein the fixing device is operatively connected to the first track. 15. The method of claim 1, wherein,the fixing device comprises one or more scissor jacks,the scissor jacks configured to expand and contract, to move the first and second tracks toward and way from the core shroud, respectively. 16. The method of claim 1, wherein the effector is operatively connected to the arm. 17. The method of claim 16, wherein the operative connection of the effector to the arm comprises a bracket. 18. The method of claim 1, wherein the effector comprises one or more sensors. 19. The method of claim 1, wherein the effector comprises one or more tools. 20. A method of inspecting, performing maintenance on, or repairing a nuclear reactor with an annular space between a reactor pressure vessel and a core shroud, the method comprising:operatively connecting a fixing device, a first track, an arc-shaped arm including one or more second tracks, and an effector to form an apparatus;inserting the apparatus into the annular space between the reactor pressure vessel and the core shroud of the reactor;attaching the fixing device to an inner wall of the reactor pressure vessel; andoperating the apparatus;wherein at least one of the one or more second tracks includes at least three track sections, each of the at least three track sections being arc-shaped, andwherein the first track includes one or more motors configured to move the arm relative to the first track. 21. A method of operating a nuclear reactor with an annular space between a reactor pressure vessel and a core shroud, the method comprising:shutting down the reactor;inspecting, performing maintenance on, or repairing the reactor;removing the apparatus from the annular space; andstarting up the reactor;wherein the reactor is inspected, maintenance is performed on the reactor, or the reactor is repaired according to the method of claim 20. 22. The method of claim 20, wherein the first track comprises one or more motors configured to move the arm along the first track. 23. The method of claim 20, wherein the first track comprises one or more motors configured to rotate the arm relative to the first track. 24. The method of claim 20, wherein the arm is operatively connected to the first track. 25. The method of claim 24, wherein the first track comprises one or more motors configured to move the arm relative to the operative connection of the arm to the first track. 26. The method of claim 24, wherein the first track comprises first, second, and third motors,wherein the first motor is configured to move the arm relative to the operative connection of the arm to the first track,wherein the second motor is configured to move the arm along the first track, andwherein the third motor is configured to rotate the arm relative to the first track. 27. The method of claim 20, wherein,the at least three track sections are configured to contract the arm to the contracted length,the at least three track sections are configured to expand the arm to the expanded length,the arm maintains the arc-shape throughout the expansion and contraction of the arm. 28. The method of claim 20, wherein the fixing device is operatively connected to the first track. 29. The method of claim 20, wherein the effector is operatively connected to the arm. 30. The method of claim 20, wherein the effector comprises one or more sensors. 31. The method of claim 20, wherein the effector comprises one or more tools. 32. The method of claim 1, wherein the core shroud is located within the reactor pressure vessel. |
|
040640035 | claims | 1. In the intermediate heat transport system of a sodium-cooled nuclear reactor incorporating an intermediate sodium-to-sodium heat exchanger, sodium-to-water heat exchangers, a pump and a drain line, the improvement comprising a device for rapidly draining the heat transport system including a body having a cylindrical side wall, a conical bottom and a cover, an outlet line leading to a dump tank penetrating the side wall, said drain line penetrating said body vertically through the apex of the conical bottom and terminating in an enlarged portion within the interior of the body, a diaphragm welded to the end of the drain line and held thereagainst by a clamp ring threaded onto the enlarged portion of the drain line and having a circular groove therein of slightly less diameter than the drain line, a punch having a circular shearing edge thereon of the same diameter as said groove and having sharp projections thereon resting in said groove, said punch having an axial recess in the bottom thereof, a stem connector disposed in said recess fixedly connected to said diaphragm and attached to the punch by a lost motion connection and means for actuating said punch to cut a circular opening in the diaphragm and for withdrawing the punch thereby removing the sheared-out portion of the diaphragm from the opening cut in the diaphragm. 2. In the intermediate heat transport system for a sodium-cooled nuclear reactor including an intermediate sodium-to-sodium heat exchanger, sodium-to-water heat exchangers, a pump and a drain line, the improvement comprising a device for rapidly draining sodium from the heat transport system including a body having a cylindrical side wall, a conical bottom and a cover, an outlet line leading to a dump tank penetrating the side wall, said drain line penetrating the body vertically through the apex of the conical body and terminating in an enlarged portion within the interior of the body, a rupturable member seated on the end of the drain line consisting of a solid head including a portion approximately the same diameter as the drain line, an elongated transition portion of lesser diameter, an actuating stem, and a rim extending circumferentially around the solid head, said rim being welded to the end of the drain line and held thereagainst by a clamp ring, the inner circumference of which constitutes a shearing edge, said rim having a circular groove therein of slightly less diameter than the drain line, the shearing edge of the clamp ring having three sharp projections thereon 120.degree. apart adapted to rest in the groove, the bottom of the rupturable member being convex and extending into the drain line, and means for pulling the rupturable member upwardly against the shearing edge of the clamp ring to clear the throat of the drain line for outflow of sodium therethrough. 3. A device for rapidly draining sodium from a piping system having a drain line therein comprising a body including a cylindrical side wall, a conical bottom and a cover, an outlet line leading to a dump tank penetrating the side wall, said drain line penetrating said body vertically through the apex of the conical bottom and terminating within the interior of the body, a rupturable member comprising a diaphragm extending across the end of the drain line and welded thereto, and means for shearing an opening in said rupturable member approximately the size of the throat of the drain line and for positively removing the sheared-out portion of the rupturable member from the opening cut therein comprising a punch having a circular shearing edge thereon approximately the size of the drain line, a stem fixedly attached to the diaphragm and attached to the punch by a lost motion connection and means for actuating the punch to cut a circular opening in the diaphragm and for retracting the punch whereby the sheared-out portion of the diaphragm is removed from the opening in the metal diaphragm. 4. A device for rapidly draining sodium from a piping system having a drain line therein comprising a body including a cylindrical side wall, a conical bottom and a cover, an outlet line leading to a dump tank penetrating the side wall, said drain line penetrating said body vertically through the apex of the conical bottom and terminating within the interior of the body, a rupturable member extending across the end of the drain line, said rupturable member including a solid head having a portion approximately the same diameter as the throat of the drain line having a rim extending peripherally therearound seated on the open end of the drain line, the rim being welded to the end of the drain line and clamped thereagainst by a clamp ring having an interior shearing edge, the bottom of the solid closure head being convex and extending into the drain line and means for pulling the rupturable member upwardly against the shearing edge of the clamp ring to clear the throat of the drain line for outflow of sodium therethrough. |
description | Electron beam lithography is one type of lithography system which uses an electron beam to expose an article. The electron beam is very sensitive to magnetic fields, which may effect the exposure performance of the beam. For this reason the movement of magnetic permeable materials during exposure needs to be minimized. Most if not all of the present positional stages contain magnetic permeable components that move during exposure. Of primary concern is the movement of the magnetic assemblies that position the article to the desired coordinate positions. Other magnetic materials used in the stage such as bearings, support members, or magnetic shielding may also effect exposure performance and should be taken into account when calibrating the positioning system. As these magnetic materials move, the corresponding magnetic fields associated with these materials shift. It is this shifting of the magnetic fields which can effect the performance of the electron beam and hence the exposure performance of the system. The positioning stage of the invention provides a simple, effective and reliable method to control and align articles to be supported, moved and positioned in the xy plane with minimal interaction of magnetic fields produced by the linear motors and other magnetic permeable components. The positioning stage can be used to position an article in an xy plane in any type of lithography system. In particular, the positioning stage has many advantages if used in an electron beam lithography system. The positional stage of the invention is designed to minimize the movement of these magnetic components during the exposure of the article. In one embodiment, the support platform is moved in the X-direction while the electron beam is deflected away or shielded from the article. One method of shielding the article from the electron beam is to use a blanking device that turns off the beam. Alternatively, a shutter device could be placed between the beam and the article to block the beam. As the support platform moves with the X-member, the electromagnetic coils associated with the X-direction linear motors also move. However, because the article is not being exposed during this time, the movement of the electromagnetic coils and/or any other magnetic materials have no effect on exposure performance. Also, because the article is not exposed by the electron beam as the stage is moved in the X-direction, low precision bearings not designed for fine and smooth movement may be used to facilitate positioning of the stage in the X-direction. The support platform is then moved in the Y-direction while the article is exposed to the electron beam. Because most, if not all, of the support platform and the Y-member is constructed from non-magnetic materials, there is little, if any, movement of magnetic permeable materials during the exposure of the article. The electromagnetic coils associated with the Y-direction linear motors are preferably disposed in a location away from the stage and the focused electron beam. Also, magnetic shielding about the Y-magnetic assembly can be used to further minimize the effects from shifting magnetic fields from the moving electromagnetic coils. Although any type of bearings may be used to facilitate movement of the stage in the Y-direction, it is preferred that high-precision bearings designed for fine and smooth movement be used. Vibrations caused by the movement of heavy and bulky components during exposure of the article can also have a negative affect on the exposure performance of the system. The positional stage is designed to minimize the amount of potential vibrations caused by the movement of such components during exposure. For example, most stages utilize heavy magnetic tracks that move in the xy plane as the support platform moves in the xy plane. It is to be understood that the directional terms X and Y used to describe members, linear motors, and direction in the specification and claims are interchangeable. The directional terms as used coincide with the coordinate system shown in the FIGS. 1-6 which are used to aid in the description of the invention. Therefore, if the coordinate system in the figures is reversed the components will have X and Y terms that are opposite to those described. The positioning stage system contains some components disposed in an interior region and some components disposed in a peripheral region. The interior region is defined by the dimensional area of the movement in the xy plane of the slide that is slidably engaged to the X- and Y-members. The peripheral region is defined as the dimensional area outside of the interior region. Preferably, the Y-direction linear motor is disposed in the peripheral region. More preferably, both the X-direction and Y-direction linear motors are disposed in the peripheral region. The X-member extends in the Y-direction through the interior region, and causes the slide to move in an X-direction within the interior region. The Y body member extends in the X-direction through the interior region, and causes the slide to move in the Y-direction within the interior region. The X-direction linear motor includes coil members mounted to at least one end of the X-member, and at least one magnet track coupled to the coil members. In the preferred embodiment, the X-direction linear motor will have at least two sets of coil members and magnet tracks. The coil members will be attached to each end of the X-member. Also, it is preferred that the magnet tracks be attached to opposite ends of the stage frame. The coil members and the magnet track of the X-direction linear motor cooperate to exert a force in the X-direction on the X-member upon actuation to move and position the support platform in the X-direction. Similarly, the Y-direction linear motor includes coil members attached to at least one end of the Y-member, and at least one magnet track coupled to the coil members. In the preferred embodiment, the Y-direction linear motor will have at least two sets of coil members and magnet tracks. The coil members will be attached to each end of the Y-member. Also, it is preferred that the magnet tracks be attached to opposite ends of the stage frame. The coil members and the magnet track of the Y-direction linear motor cooperate to exert a force in the Y-direction on the Y-member upon actuation to move and position the support platform in the Y-direction. The term coupled is used to define the structural relationship between two or more components of the positioning stage system. The term coupled is not to be limited to two or more components in direct contact with one another. Instead, the term is used to characterize the dynamic relationship of one component to another. For example, one component is coupled to another component if movement of the one component coincides with or directs the movement of another component. The positioning stage system may further include at least one guide member attached to the frame and extending in the Y-direction to guide movement of the Y-member in the Y-direction upon actuation of the Y-direction linear motor. Preferably, the guide may include two generally parallel stationary shafts extending through two channels or openings defined in end portions of the Y-member. The guide member is preferably disposed in the peripheral space of the frame. The positioning stage system further includes a slide attached to the support platform, and slidably engaged to the X-member and the Y-member. The slide includes an opening or channel adapted to slidably receive the guide member therethrough and a slide block for slidable engagement with a slide rail of the Y-member. The slide, X-member, and Y-member are configured to substantially support the weight of the support platform. An interferometer may be provided to measure and determine the position and orientation of the support platform. The interferometer may send signals indicative of the position and orientation of the support platform to a feedback control loop that determines and supplies an appropriate amount of current to the coil members of the X-direction and Y-direction linear motors. Where the stage is operated at high speeds and/or high accelerations, a mechanism to cancel the reaction forces is preferably provided. Such cancellation mechanism may be achieved by providing any suitable counter-mass device or reaction force cancellation device near the center of gravity or center of mass of the stage. The counter-mass device generally includes one or more counter masses and a linear motor disposed within a housing. As shown in the perspective views of FIGS. 1 and 2, the positioning stage system 20 includes a frame 22 and a support platform 24 attached to a slide 26. Slide 26 and support platform 24 moves in the X-direction along Y-member 32 as the X-linear motors are actuated. The support platform 24 moves in the Y-direction along X-member 28 as the Y-direction linear motors are actuated. The frame 22 may provide a plurality of openings for providing access to the various components of the positioning stage system 20, for example, wiring access to the linear motors. The support platform 24 is adapted to support one or more articles such as wafers or reticles to be moved and positioned in the xy plane. The articles may be secured to the support platform 24 by clamps and/or by any suitable securing mechanisms (not shown). The dimensions of the stage support platform 24 are scalable to those desired, depending upon the specific application. The movement and positioning of the support platform 24 at a desired location in the X-direction will now be described with reference to FIGS. 1-4. FIGS. 3 and 4 shows a perspective view of the slide 26 and the X-member 28. The slide 26 includes a body portion 36 defining an opening or channel 38 and support members 40a, 40b extending from the body portion 36. The support platform 24 is attached to the slide support members 40a, 40b and is supported thereby. Preferably, the support platform 24 is attached at two locations to the slider support member 40a and at one location to the slider support member 40b. An opening 38 in the slide 26 is configured to receive X-member 28 therethrough. A bearing 48 is disposed within the opening 38 of slide 26. Bearing 48 facilitates movement of slide 26 along the X-member 28 in the Y-direction. Bearing 48 may be any suitable bearing, such as an air bearing or a ball or roller bearing. Bearings reduce the friction and provide smooth movement between the moving components. Preferably, bearing 48 is a high precision, fine movement gas bearing that facilitates movement of slide 26 over X-member 28 as stage platform 24 moves in the Y-direction during exposure of the article. Air or gas bearings support the mass of support platform 24 and Y-member 32 by using pressurized air, nitrogen, or other gases. Air or gas bearings may use hoses (not shown) to deliver air or gas from an air or gas source to the interior of the opening 38 via ports (not shown). The air or gas thus provides an air cushion between opening 38 and X-member 28. X-member 28 is attached at its two ends to movable end plates 42a, 42b that are coupled to the X-direction linear motors. In the preferred embodiment, each X-direction linear motors includes a coil member 44 attached to one of the movable end plates 42a, 42b and a cooperating stationary magnet track 46 attached to the frame 22. The magnet track 46 includes a set of magnets disposed along the X-direction for at least the desired length of travel of the support platform 24 in the X-direction. The magnets of the magnet track 46 form a slot such that the coil member 44 is receivable and slidable therein. An example of a type of suitable linear motor for use in the stage system 20 of the invention is described in copending U.S. application Ser. No. 09/054,766, filed Apr. 3, 1998, the entirety of which is incorporated herein by reference. Preferably, the magnet track 46 substantially extends the length of the frame 22 in the X-direction. Linear motors using a magnet track and a coil member are actuated by the application of an appropriate current to the coil member. It should be noted that other linear motors, such as ultrasonic and air cylinder motors, can also be used with the invention. By actuating the X-direction linear motors a force in the X direction is exerted on the movable end plates 42a, 42b. The force in the X-direction is transferred to the support platform 24 via X-member 28 and slide 26 to position support platform 24 at the desired X-coordinate. Movable end plates 42a, 42b have attached thereto sliding blocks 50 that cooperate with rails, guides or tracks 52 (shown in FIGS. 1 and 2) attached to frame 22 to facilitate the sliding of movable end plates 42a, 42b in the X-direction. Preferably, rails 52 extend in the X-direction for at least the desired length of travel of the support platform 24 and for approximately the length of magnet track 46. Sliding blocks 50 and rails 52 may be any suitable sliding block and rail system, such as those utilizing rolling balls. An example of a suitable sliding block and rail system is the type SSR LM guide, commercially available through THK America Inc., Schaumburg, Ill. Slide 26 is also coupled to Y-member 32 and is slidable along Y-member 32 in the X-direction upon the actuation of the X-direction linear motors. FIGS. 4 and 5 show perspective views of the Y-member 32. To facilitate the sliding movement of slide 26 along Y-member 32 in the X-direction, rails 66a, 66b are attached on the sides of Y-member 32. In addition, sliding blocks 68 engagable and cooperable with rails 66a, 66b are attached to support members 40a, 40b of slide 26. FIG. 6 illustrates rail 66b engaged to and cooperating with sliding blocks 68 attached to support member 40a of slide 26. Although not shown, additional sliding blocks 68 may be attached to the support member 40b for engagement and cooperation with rail 66a of Y-member 32. Sliding blocks 68 and rails 66 may be any suitable sliding block and rail system similar to those described above. Movement and positioning of support platform 24 at a desired Y-coordinate will now be described. Y-member 32 includes a body portion 60 and two end portions 62a, 62b each defining an opening or channel 64a, 64b extending in the Y-direction. The movable member end portion openings 64a, 64b are configured to receive stationary shafts 70a, 70b (shown in FIGS. 1 and 2) therethrough. Stationary shafts 70a, 70b are attached to frame 22 via shaft retainers 71a, 71b. Stationary shafts 70a, 70b extend in the Y-direction such that Y-member 32 is slidable along stationary shafts 70a, 70b in the Y-direction. Bearings 72 are provided in each of the openings 64a, 64b of the end portions 62a, 62b of Y-member 32. Bearings 72 facilitate the sliding of Y-member 32 along stationary shafts 70a, 70b in the Y-direction. Bearings 72 may be any suitable bearing, such as an air bearing or a ball or roller bearing. Preferably, bearings 72 are high precision, fine movement gas bearings that facilitates movement of Y-member 32 over guide members 70a, 70b as the stage platform 24 moves in the Y-direction during beam exposure of the article. The end portions 62a, 62b of Y-member 32 are coupled to the Y-direction linear motors. In the preferred embodiment, each of the linear motors includes a coil member 74 attached to a corresponding end of the Y-member 32 and a cooperating stationary magnet track 76 (shown in FIG. 2) attached to frame 22. Magnet track 76 includes a set of magnets disposed along the Y-direction for at least the desired length of travel in the Y-direction of support platform 24. Preferably, the magnet track 76 substantially extends the length of frame 22 in the Y-direction. Linear motors employing a magnet track and a coil member are actuated by the application of an appropriate current to coil member 74. Actuation of the Y-direction linear motors result in a force in the Y-direction that is exerted on the end portions 62a, 62b of Y-member 32. The force in the Y-direction is transferred to support platform 24 via Y-member 32 and slide 26 to thereby move and position support platform 24 at the desired Y-coordinate. Although X-member 28 and stationary shafts 70a, 70b are shown to have a circular cross-section, any suitable cross-sectional shape, e.g., ellipsoid or rectangular, may be used. Accordingly, the corresponding opening 38 of slide 26 and openings 64a, 64b of Y-member 32 would have similar corresponding cross-sectional shapes. Desirably, one of the stationary shafts 70a, 70b provides a relatively small clearance to the corresponding opening 64a, 64b of Y-member 32 while the other of the stationary shafts 70a, 70b provides a relatively large clearance to the other of the openings 64a, 64b. Similarly, the clearance between X-member 28 and opening 38 through slide 26 is preferably relatively large such that X-member 28 need not be perfectly parallel with stationary shafts 70a, 70b or with opening 38 defined by slide 26. Although a misalignment between X-member 28 and shafts 70a, 70b may cause an over-constraint condition, the fact that body 60 and bearings 72 are not perfectly rigid some misalignment can be tolerated. Over-constraint is a term used to describe a condition when there are too many constraints or components determining the location of an object. Over-constraint may cause component distortion or binding of the bearings. In one embodiment, one of the shafts 70a, 70b could be mounted to the body portion 60 using flexures. Flexures permit movement of certain components in one direction and restrict movement of the same components in a second direction. If bearings 72 are air bearings, then it would be expected that a non-parallel alignment between openings 64a, 64b stationary shafts 70a, 70b would cause excessive air leakage. To minimize air leakage sleeve bearing 72 can be mounted to body 60 using a structure that is compliant in the X-direction, yet rigid in the Y-direction and Z-direction. This will allow for small misalignment of rods 70a and 70b and allow for thermal expansion or mismatch of component expansion. The provision of two generally parallel X-direction linear motors facilitates in reducing or preventing vibration of the support platform 24 as well as reducing or preventing the creation of a moment about the axis of the linear motors. In particular, the provision of two generally parallel X-direction linear motors facilitates in moving X-member 28, slide 26 and support platform 24 through the center of gravity or through a location near the center of gravity in the Y-direction. This is accomplished by adjusting the currents to the coils 44a and 44b independently so that the z-axis moments about the center of gravity due to each motor is cancelled (equal and opposite moments). Similarly, the provision of two generally parallel Y-direction linear motors facilitates in reducing or preventing vibration of the support platform 24 as well as in reducing or preventing the creation of a moment about the y-axis of the linear motors. In particular, the provision of two generally parallel Y-direction linear motors facilitates in moving Y-member 32, slide 26 and support platform 24 through the center of gravity or through a location near the center of gravity in the X-direction by adjusting the currents in the Y motors independently. Further, providing linear motors with stationary magnet tracks and movable coil members greatly decreases the mass that must be driven by the linear motors. A smaller driven mass thus allows better control and faster positioning of the article supported by the support platform 24. Various devices such as an interferometer may be utilized to measure and determine the orientation and position of support platform 24. The interferometer utilizes signals reflected from mirrors provided on faces 78a, 78b of support platform 24 to measure and determine the orientation and position of support platform 24. Support platform 24 preferably includes extensions 80a, 80b that provide increased stroke or length of the mirrored faces 78a, 78b for maintaining the mirrors faces 78a, 78b within sight of the interferometer for providing the reflected signals to the interferometer. A feedback controller may be provided to determine and apply different levels of current to the coils of each linear motors in response to the orientation and position of support platform 24. The interferometer or other suitable position determining device may send output signals indicative of the orientation and position of support platform 24 to the feedback controller. The X-direction linear motors may be differentially driven to prevent and overcome any tendency of support platform 24 to yaw, i.e. rotate about the vertical z-axis. Similarly, the Y-direction linear motors may also be differentially driven to prevent and overcome any tendency of support platform 24 to yaw, i.e. rotate about the vertical z-axis. Such differential driving of the X-direction linear motors and the differential driving of the Y-direction linear motors compensates for the tendency of the support platform 24 to pivot, i.e. move faster on one side versus the other. This tendency of the support platform 24 to pivot may be caused by the non-ideal response of the linear motors to the applied currents and/or the center of gravity of the stage system 20 not being centrally located within frame 22 when support platform 24 is not centrally located within the frame 22. The air-bearing structures associated with X-member 28 and stationary shafts 70a, 70b may also provide some anti-yaw effect. However, the differential driving of the linear motors provides higher anti-yaw performance than the air bearings alone. Thus, any tendency of support platform 24 to yaw is minimized by the differential drive control. Depending upon the operating accelerations and velocities of the stage system 20, one or more counter-mass devices may be provided to facilitate the cancellation of reaction forces exerted on frame 22 by support platform 24 when the support platform 24 is subjected to high speeds and/or high accelerations in the X-direction and/or Y-direction by the linear motors. However, if the support platform 24 is driven at relatively low velocities and low accelerations, the inclusion of a counter-mass device may be unnecessary. If a counter-mass device is provided, the counter-mass device is preferably attached to the frame 22 and preferably disposed at a location coinciding with the center of gravity of the stage 20, such as below and in the peripheral region. Any suitable counter-mass device may be utilized. Support platform 24, slide 26, X-member 28 and the body portion 60 of Y-member 32 are all disposed and movable within the interior region. Stationary shafts 70a, 70b may be in the peripheral space or in the interior space. Preferably, stationary shafts 70a, 70b are within the interior region. The linear motors include stationary magnet tracks disposed in the peripheral region. This placement minimizes the magnitude of the magnetic fields generated by the linear motors that may interfere the electron beam and thus adversely affect the performance of the electron beam lithography system 100. Thus, the stage system 20 of the invention is particularly suitable for use in an electron beam lithography system as most, if not all, of the magnetic fields generated by the stage system 20 are centralized in the peripheral region. The stage system 20, including any counterbalancing devices, may include any suitable material such as steel, aluminum, ceramics, plastics, depending upon factors such as the requirements of the specific application, weight, and cost. For electron beam lithography applications, all components of the stage system 20 disposed and movable within the interior region are constructed mostly of non-conducting materials. Such materials may include ceramics, plastics, and carbon fibers. The components that may generate magnetic fields, including the X-direction and Y-direction linear motors are preferably disposed in the peripheral region. Limiting the materials of the interior region components to non-conducting materials minimizes their contribution to the generation of magnetic fields in the interior region. A reaction force canceling technique using a reaction frame is shown in FIG. 7. Frame 22 is flexibly attached to the main system structure (not shown). This allows for some small movement of the frame and stage, so that reactive forces applied from the magnet tracks 46, 76 of the stage motor, which cause small movements of the stage frame 22, do not transmit through the frame 22 directly the main system structure. Frame 22 is held in place partly by rods 201, which in turn are connected to block 202. Block 202 is connected to ground 211. A seal or flexible bellows 203 is used to prevent leakage around rod 201. Additional rods 204, 205 are used to secure the frame 22. Rods 204, 205 are also attached to ground blocks (not shown) similar to 202. The reaction forces from the motors are thus grounded resulting in minimal disturbance to the main system structure. A mass counter balance or countermass device is shown in FIG. 8. In this embodiment, magnet track 46 is flexibly mounted using a flexure or other type of bearing to frame 22, allowing for a small movement of the magnet track relative to frame 22. The magnet track is connected to a countermass 208, through rod 207 and attachment block 206. Countermass 208 is attached to ground 211 using a bearing 209. If the countermass is outside the stage vacuum chamber (not shown), then seal 212 is used to prevent leakage of air into the vacuum chamber. A small motor 210 or spring can be used to keep the countermass within its normal operating range. A similar countermass is provided for each of the magnet tracks 46, 76 so that substantially all reaction forces are absorbed and reduced. The countermass system provides superior reaction force canceling compared to the reaction frame, but at a higher cost, size and complexity. FIG. 9 is a partially cut-away schematic illustration of an electron beam lithography system 100 in which the stage system 20 of the invention may be utilized. The electron beam lithography system 100 generally includes an electron beam source 102, an electron beam column 104, and a stage 20 of the invention for positioning an article such as a semiconductor wafer W relative to the electron beam column 104 to provide accurate alignment of the wafer W relative to the optical system for processing. The electron beam column 104 generally includes a vertical arrangement of separate stages including, for example, a condenser lens, a projection lens and a deflector system. The electron beam system 100 operates under vacuum conditions to prevent gas molecules from perturbing the electron beam E. The electron beam source (gun) 102 emits a diverging beam of electrons downwardly along axis A through an illuminating aperture 110. After passing through the aperture 110, the beam E is collimated (rendered parallel) by a conventional magnetic lens acting as a condenser (not shown). The electron beam E may be, for example, be gaussian in profile, have a simple geometric shape such as a rectangle or triangle, or an element of a repetitive pattern to be printed on the wafer W. The electron beam E may also pass through a nitride that imparts the final wafer pattern on it. The electron beam column 104 includes magnetic or electrostatic lenses 106 operable to focus the beam E onto a surface of the wafer W and deflectors 108 for directing the beam to specific positions on the wafer W where photoresist placed on an upper surface of the wafer W is to be exposed. The lenses 106 and deflectors 108 are aligned along the central longitudinal axis A of the electron beam column 104. For clarity, parts of the system are removed to show detail. A reticle (mask) R having a circuit pattern formed therein is placed between the lenses 106 and deflectors 108. The reticle R represents a pattern on a layer of an integrated circuit. The electron beam E will step in sequence through portions of the reticle R, the totality of which represents a pattern of the integrated circuit. As the beam E passes through the reticle R, the beam is patterned with the information contained in the reticle R. It is to be understood that the electron beam system may be different from the one shown herein without departing from the scope of the invention. The general reference to the electron beam projection system 100 shown in FIG. 9 is merely for illustrating an embodiment of an environment in which the concept of the stage 20 of the invention may be advantageously adopted. Further details of the components of an electron beam projection system may be referenced from U.S. Pat. No. 4,859,856, for example, the entirety of which is incorporated by reference herein. The positioning stage of the invention provides an accurate and reliable yet simple, effective, and space-efficient method to control and align articles, such as wafers and/or reticles, to be supported, moved and positioned. It also reduces the effect of magnetic fields generated by the magnet tracks on the electron beam in an electron beam lithography system. It is to be understood that although the invention is described in terms moving and positioning reticles in an electron beam lithography system, the invention can be used in any automated transport application. While specific embodiments of the invention have been described and illustrated, it will be appreciated that modifications can be made to these embodiments without departing from the spirit of the invention. Thus, the invention is not intended to be limited to the embodiments shown, but is to be accorded the widest scope consistent with the principles and features disclosed herein and defined by the following claims. |
|
summary | ||
description | The present application relates to a process signal control and monitoring system, and more particularly, relates to a process signal control and monitoring system which receives process signals concerning a nuclear power plant, and transmits these received process signals toward the outside. Process signals of a nuclear power plant and the like will be inputted into a process signal input device of a process signal control and monitoring system (for example, refer to Patent Documents 1 to 5). The process signal input device is attached with a sensor and a transmitter and others, in order to measure process signals. The process signal input device which is widely used now converts analog signals from a sensor or a transmitter, such as a pressure, a temperature, and a flow rate, once into digital signals. Moreover, the process signal input device transmits these digital signals to a signal processing device (a computing mechanism) of a plant control and monitoring unit. Further, the process signal input device provides electricity to the sensor and the transmitter and others, using the electric power which is obtained from the outside (a signal processing device). Patent Document 1: JP 2013-217923 A Patent Document 2: JP 2002-023832 A Patent Document 3: JP 2008-309748 A Patent Document 4: JP 2011-524014 A Patent Document 5: WO 2010/038794 A1 The process signal input device of the process signal control and monitoring system concerning a nuclear power plant is constructed, as mentioned above. If an assumption is made that an accident occurs in a nuclear power plant, it will be probable that the process signal input device may lose the connection with an external power source. In a case where the external power source is lost, it will become impossible to monitor the process signals of the nuclear power plant with such a process signal input device, and the operator cannot perform an appropriate judgment. The present application is made to solve the above mentioned subject in the process signal control and monitoring system, and aims at obtaining a process signal control and monitoring system which is capable of monitoring the process signals which a plant transmits, in order to attain the improvement in the safety of a nuclear power plant, even in a case where the external power source is lost. A process signal control and monitoring system, according to the present application includes: a signal processing device which is installed on an outside of a nuclear reactor containment vessel, an internal electrical power source which charges a rechargeable battery with electric power, supplied from the signal processing device, an analog-digital conversion part which converts an analog signal into a digital signal, the analog signal transmitted from a sensor which is installed on an inside of the nuclear reactor containment vessel, an internal communication part which transmits the digital signal, converted in the analog-digital conversion part, to the signal processing device, an internal repeater which is installed on an inside of the nuclear reactor containment vessel, and an external repeater which is installed on the outside of the nuclear reactor containment vessel and when receiving a signal from the internal repeater, transmits the received signal to a communication satellite, wherein when electric power supply from the signal processing device is disconnected, the internal electrical power source supplies electric power which is charged in the rechargeable battery, to the analog-digital conversion part and the internal communication part; and the internal communication part judges whether communication with the signal processing device is continued or disconnected; and when the communication is judged to be continued, the internal communication part continues transmitting the digital signal which is converted in the analog-digital conversion part, to the signal processing device. A process signal control and monitoring system, according to the present application includes: a signal processing device which is installed on an outside of a nuclear reactor containment vessel, an internal electrical power source which charges a rechargeable battery with electric power, supplied from the signal processing device, an analog-digital conversion part which converts an analog signal into a digital signal, the analog signal transmitted from a sensor which is installed on an inside of the nuclear reactor containment vessel, an internal communication part which transmits the digital signal, converted in the analog-digital conversion part, to the signal processing device, an internal repeater which is installed on an inside of the nuclear reactor containment vessel, and an external repeater which is installed on the outside of the nuclear reactor containment vessel and when receiving a signal from the internal repeater, transmits the received signal to a communication satellite, wherein, when electric power supply from the signal processing device is disconnected, the internal electrical power source supplies electric power which is charged in the rechargeable battery, to the analog-digital conversion part and the internal communication part; and the internal communication part judges whether communication with the signal processing device is continued or disconnected; and when the communication is judged to be continued, the internal communication part continues transmitting the digital signal which is converted in the analog-digital conversion part, to the signal processing device. Then, also in the case where an external power source is lost, it will become possible to monitor the process signals of a nuclear power plant. Thereby, improvement in the safety of the nuclear power plant can be attained. Hereinafter, a process signal control and monitoring system according to the embodiments of the present invention will be described with reference to drawings. Incidentally, the same reference numerals are given to those identical or similar to constitutional portions in respective drawings and the size and/or the scale size of the corresponding respective constitutional portions are respectively independent. For example, when the identical constitutional portions, which are not changed, are shown, the size and/or the scale size of the identical constitutional portions may be different among sectional views in which a part of the configuration is changed. Furthermore, although the configurations of the process signal control and monitoring system are further actually provided with a plurality of members, for ease of explanation, only portions necessary for explanation will be described and other portions are omitted. Hereinafter, explanations about the embodiments of the present invention will be provided, based on drawings. FIG. 1 illustrates the configurations of an emergency planning center and a nuclear reactor containment vessel in the nuclear power plant schematically. The emergency planning center 50 of the nuclear power plant is installed on the outside of the nuclear reactor containment vessel 60. On the inside of the emergency planning center 50, the monitor device 52 of the nuclear power plant is arranged. The network 53 (the first network) of the emergency planning center 50 is connected with a wireless communication device 51 and a monitor device 52. The wireless communication device 51 is capable of obtaining information from a relay unit 20 via a communication satellite 54. The relay unit 20 and a plurality of control and monitoring units 70 are installed in the nuclear reactor containment vessel 60. Each of the control and monitoring units 70 is composed of a signal processing device 30, a process signal input device 10, and a sensor 40. The sensor 40 and the process signal input device 10 are installed on the inside of the nuclear reactor containment vessel 60. The signal processing device 30 is installed on the outside of the nuclear reactor containment vessel 60. The signal processing device 30 is connected to the network 56 (the second network), which is disposed on the outside of the nuclear reactor containment vessel 60. The nuclear reactor containment vessel 60 is provided with a process signal control and monitoring system, in order to transmit the process signals in relation to a nuclear power plant toward the outside (refer to FIG. 3). The sensor 40 is installed on the inside of the nuclear reactor containment vessel 60, and obtains process information, such as a pressure, a temperature, and a flow rate. The process signals (analog signals, such as a pressure, a temperature, and a flow rate), which the sensor 40 has obtained, will be transmitted to the process signal input device 10. The process signal input device 10 transmits the received process signal to the signal processing device 30, which is installed on the outside of the nuclear reactor containment vessel 60. The network 56 has connection with a wireless communication device 55. In times of peace, the control and monitoring unit 70 (the process signal input device 10) is communicating with the wireless communication device 51 by way of the wireless communication device 55. The control and monitoring unit 70 can transmit process information to the relay unit 20, in an urgent moment. When the process information is transmitted to the relay unit 20 from the process signal input device 10, the relay unit 20 transmits the received process information to the emergency planning center 50 (the monitor device 52), which is installed on the outside of the nuclear power plant, by way of the wireless communication device 51 and the network 53, by the satellite based communication via the communication satellite 54. FIG. 2 illustrates a configuration of the process signal input device 10 and the relay unit 20. The control and monitoring unit 70 is composed of a signal processing device 30, a process signal input device 10, and a sensor 40. The process signal input device 10 is composed of an analog-digital (A/D) conversion part 11, an internal communication part 12, an internal electrical power source 13, and the like. The process signal input device 10 is connected with the signal processing device 30 which is installed on the outside of the nuclear reactor containment vessel 60, through a communication cable 61 and a power cable 62. The internal electrical power source 13 has a rechargeable battery (a storage battery) 13a, which is built in there. The analog-digital conversion part 11 performs A-D conversion of the process signal which is received from the sensor 40. The internal electrical power source 13 is supplied with electric power from the signal processing device 30 via the power cable 62. The sensor 40 is connected with the process signal input device 10 through the signal wire 63. The sensor 40 includes a transmitter, a pressure gauge, a thermometer, a flowmeter, and so forth. The sensor 40 obtains process signals of analogue state, and the process signals are transmitted to the process signal input device 10 (the analog-digital conversion part 11) via the signal wire 63. The relay unit 20 is composed of an internal repeater 21, an external repeater 22, and others. The internal repeater 21 and the external repeater 22 are connected with a communication cable 64. The internal repeater 21 is installed on the inside of the nuclear reactor containment vessel 60. The external repeater 22 is installed on the outside of the nuclear reactor containment vessel 60. The internal communication part 12 of the process signal input device 10 has a function for communicating with the signal processing device 30 through a communication cable 61, and a function for communicating with the wireless communication device 51 through a wireless communication device 55 (the toward the outside transmission equipment). The wireless communication device 51 is capable of communicating, by the network 53, with the emergency planning center 50 which is located on the outside of a nuclear power plant. FIG. 3 illustrates a configuration of the process signal control and monitoring system. The process signal control and monitoring system 100 is composed of a relay unit 20, a process signal input device 10, and a signal processing device 30. The signal processing device 30 is provided with an external communication part 31 and an external power source 32. The external communication part 31 of the signal processing device 30 is connected with the internal communication part 12 of the process signal input device 10, and transmits a signal to the network 56. The internal electrical power source 13 of the process signal input device 10 includes a rechargeable battery (a storage battery) 13a and an AC/DC charging pad 13b, which are built in there. Under normal circumstances, the AC/DC charging pad 13b of the internal electrical power source 13 charges the rechargeable battery 13a with the electric power which is supplied from the external power source 32 of the signal processing device 30, via the power cable 62. In a case where electric power feeding from the signal processing device 30 is disconnected, the internal electrical power source 13 can maintain the function to feed electric power to the analog-digital (A/D) conversion part 11 and the internal communication part 12, by the built in rechargeable battery 13a, for a definite period of time. The AC/DC charging pad 13b supplies electric power to the process signal input device 10, and in addition, charges an internal rechargeable battery (a storage battery) 13a. The internal communication part 12 or the internal electrical power source 13 detects an input side voltage 13c of the AC/DC charging pad 13b, on a steady basis. If the input side voltage 13c of the AC/DC charging pad 13b becomes lower than a default value, the internal electrical power source 13 or the internal communication part 12 will judge that inconvenience is generated in the power feeding from the signal processing device 30, that is to say, that electric power feeding is lost. If the electric power feeding is lost and an emergency operational mode is employed, the internal electrical power source 13 will turn a switch 13d to a ON state and will start power feeding from the rechargeable battery (a storage battery) 13a to the analog-digital (A/D) conversion part 11 and the internal communication part 12. Next, with reference to FIG. 4, explanation will be made about the operation of the process signal control and monitoring system 100. The process signal control and monitoring system 100 differs in the operation mode (a normal operation mode and an emergency operation mode), depending on the power supply state of the internal electrical power source 13. First, explanation will be made about the normal operation mode. The process signal control and monitoring system 100 performs, at a tranquil time, the normal operation mode (Step 1). In the normal operation mode, electric power is supplied to the internal electrical power source 13 of the process signal input device 10 from the external power source 32 of the signal processing device 30, through the power cable 62. In the normal operation mode, the process signal input device 10 operates with electric power which is supplied from the power cable 62. The internal communication part 12 of the process signal input device 10 transmits process signals to the signal processing device 30, through the communication cable 61. The signal processing device 30 is connected with other control and monitoring units, by the network 56. Process signals which are measured in the control and monitoring unit 70 are transmitted to the emergency planning center 50, which is located on the outside of the nuclear power plant, by the toward the outside transmission equipment (the wireless communication device 55). Next, explanation will be made about the emergency operation mode. In the emergency operation mode, the electric power feeding from the signal processing device 30 to the process signal input device 10 is lost (Step 2), and feeding of the electricity starts from the rechargeable battery 13a of the internal electrical power source 13 (Step 3) to the process signal input device 10 (the internal communication part 12) and the sensor 40. When the electric power feeding from the signal processing device 30 to the internal electrical power source 13 is lost, the internal communication part 12 judges the operating state of the signal processing device 30, with the communication cable 61. That is to say, the internal communication part 12 checks whether the electric power feeding to the process signal input device 10 is only stopped or the operation of the signal processing device 30 is still continued (Step 4). In a case where the internal communication part 12 judges that the communication facility of the signal processing device 30 is operating normally, and only the feeding function to the process signal input device 10 is stopped, the process signal input device 10 operates with the electric power feeding from the rechargeable battery 13a of the internal electrical power source 13. The internal communication part 12 continues the communication with the signal processing device 30 through the communication cable 61 (Step 5). In a case where the internal communication part 12 judges that not only the electric power feeding to the process signal input device 10 but also the operation of the signal processing device 30 is stopped, the internal communication part 12 switches to an emergency communication operation mode in which process signals are transmitted to the emergency planning center 50 by the wireless communication (Step 6). The process signal input device 10 operates with the electric power feeding from the rechargeable battery 13a of the internal electrical power source 13. That is to say, the internal communication part 12 of the process signal input device 10 transmits process signals to the internal repeater 21 of the relay unit 20, in the emergency communication operation mode. The internal repeater 21 transmits process signals to the external repeater 22 by the wire communication (the communication cable 64). Information which is transmitted to the external repeater 22 from the internal communication part 12 of the process signal input device 10 will be sent to the emergency planning center 50 (the wireless communication device 51), which is installed on the outside of the nuclear power plant, by the satellite based communication via a communication satellite 54 (Step 7). Further, in a case where the internal communication part 12 judges the operational situation of the signal processing device 30 and the wire communication is switched to the wireless communication, the internal communication part 12 employs a transmission period longer than that of the time when process signals are transmitted to the signal processing device 30 by the wire communication, that is to say, the internal communication part 12 provides a transmission period which is made longer than the transmission period of the normal operation mode, in order to cut down the power consumption of the rechargeable battery 13a. In a case where the process signal input device 10 is installed on the inside of the nuclear reactor containment vessel 60, wireless signals which are transmitted from the process signal input device 10 are received at the internal repeater 21 which is disposed on the inside the nuclear reactor containment vessel 60. The internal repeater 21 is connected with the external repeater 22 which is disposed on the outside of the nuclear reactor containment vessel 60, through the communication cable 64. The process signals which were transmitted from the external repeater 22 by the wireless communication will be sent to the emergency planning center 50, which is located on the outside of a nuclear power plant, by the satellite based communication, which is not influenced by the condition of a field, and the process signals can be utilized for the assessment of the situation of the power plant. In other words, the process signal control and monitoring system, according to the present application includes: a signal processing device which is installed on an outside of a nuclear reactor containment vessel, an internal electrical power source which charges a rechargeable battery with electric power, supplied from the signal processing device, an analog-digital conversion part which converts an analog signal into a digital signal, the analog signal transmitted from a sensor which is installed on an inside of the nuclear reactor containment vessel, an internal communication part which transmits the digital signal, converted in the analog-digital conversion part, to the signal processing device, an internal repeater which is installed on an inside of the nuclear reactor containment vessel, and an external repeater which is installed on the outside of the nuclear reactor containment vessel and when receiving a signal from the internal repeater, transmits the received signal to a communication satellite, wherein, when electric power supply from the signal processing device is disconnected, the internal electrical power source supplies electric power which is charged in the rechargeable battery, to the analog-digital conversion part and the internal communication part; and the internal communication part judges whether communication with the signal processing device is continued or disconnected; and when the communication is judged to be continued, the internal communication part continues transmitting the digital signal which is converted in the analog-digital conversion part, to the signal processing device. Further, in order to monitor the process signal, even in the case where an external power is lost, the process signal input device of the control and monitoring unit in accordance with the present application, is provided with a communication part which can change the operation mode according to the operating state of a signal processing device; and a power which has a rechargeable battery (a storage battery), in order to monitor the process signal, even in the case where the power on the outside is lost. According to the present application, it is possible to provide a dual use process signal input device; one process signal input device is used in normal times, and the other process signal input device is used in the case where an external power source is lost. Accordingly, an operation state can always be checked with high reliability and it becomes possible to attain the saving in the equipment. In the interest of security, the emergency operation mode, in which measured data of a nuclear power plant are transmitted by the wireless communication, needs to be held to a minimum. According to Embodiment 1, the internal communication part 12, which judged the signal processing device 30 from the feeding condition of electric power and the state of communication, determined the operation mode. By adding the determination by the value of a monitored parameter, to the judgment condition to the emergency operation mode in which the wireless communication is used, a minimum turnover into the wireless communication operational mode can be provided. Next, with reference to FIG. 5, explanation will be made about the operation of a process signal control and monitoring system 100 in accordance with the present embodiment. The process signal control and monitoring system 100 differs in the operation mode (the normal operation mode and the emergency operation mode), depending on the feeding state of electric power of the internal electrical power source 13. First, explanation is made about a normal operation mode. The process signal control and monitoring system 100 performs the normal operation mode at a tranquil time (Step 1). In the normal operation mode, electric power is supplied from the external power source 32 of the signal processing device 30, to the internal electrical power source 13 of the process signal input device 10, through the power cable 62. In the normal operation mode, the process signal input device 10 operates with the electric power which is supplied from the power cable 62. The internal communication part 12 of the process signal input device 10 transmits process signals to the signal processing device 30, through the communication cable 61. The signal processing device 30 is connected with other control and monitoring units, through the network 56. Process signals which are measured in the control and monitoring unit 70 are transmitted to the emergency planning center 50 which is located on the outside of a nuclear power plant, by the toward the outside transmission equipment (the wireless communication device 55). Next, explanation will be made about an emergency operation mode. In the emergency operation mode, the electric power feeding from the signal processing device 30 to the process signal input device 10 is lost (Step 2), and feeding of the electricity starts from the rechargeable battery 13a of the internal electrical power source 13 to the process signal input device 10 (the internal communication part 12) and the sensor 40 (Step 3). When the electric power feeding from the signal processing device 30 to the internal electrical power source 13 is lost, the internal communication part 12 judges the operating state of the signal processing device 30 with the communication cable 61. That is to say, the internal communication part 12 checks whether the electric power feeding to the process signal input device 10 is only stopped or the operation of the signal processing device 30 is still continued (Step 4). In a case where the communication facility of the signal processing device 30 is operating normally and the internal communication part 12 judges that only the feeding function to the process signal input device 10 is stopped, the process signal input device 10 operates by the electric power which is supplied from the rechargeable battery 13a of the internal electrical power source 13. The internal communication part 12 continues the communication with the signal processing device 30, through the communication cable 61 (Step 5). In a case where the internal communication part 12 judges that not only the power supply to the process signal input device 10 but also the operation of the signal processing device 30 is stopped, the internal communication part 12 determines the value of a monitor parameter (Step 8). When the comparison of the monitor parameter with a set value is performed and the monitor parameter is within the range of the set value, no communication will be performed (Step 9). For example, an internal temperature of the nuclear reactor containment vessel 60 is adopted as the monitor parameter. Because the determination in Step 8 is carried out repeatedly, the communication from the process signal input device 10 to the signal processing device 30 will not performed, as long as the monitor parameter falls within the range of the set value. When the comparison of the monitor parameter with the set value is performed and the monitor parameter is judged to be out of the range of the set value, the internal communication part 12 will switch to a mode in which the process signal is transmitted to the emergency planning center 50 by the wireless communication (Step 6). In this emergency communication operation mode, the process signal input device 10 operates by the electric power which is supplied from the rechargeable battery 13a of the internal electrical power source 13. In the emergency communication operation mode, the internal communication part 12 of the process signal input device 10 transmits the process signal to the internal repeater 21 of the relay unit 20. The internal repeater 21 transmits the process signal to the external repeater 22 by the wire communication (the communication cable 64). Information which is transmitted to the external repeater 22 from the internal communication part 12 of the process signal input device 10, will be sent to the emergency planning center 50 (the wireless communication device 51), which is installed on the outside of the nuclear power plant, by the satellite based communication via the communication satellite 54 (Step 7). As mentioned above, in the process signal control and monitoring system in accordance with the present embodiment, the internal communication part 12 does not determine the operation mode, by judging only from the feeding condition of electric power and the state of communication in the signal processing device 30; and adds the determination by the value of the monitored parameter to the referee condition to the operation mode of the wireless communication. Owing to these situations, the process signal control and monitoring system in accordance with the present embodiment can provide a more minimum turnover into the operation mode of the wireless communication. The relay unit 20 and the internal communication part 12 in accordance with the present embodiment are provided with not only the function for transmitting process signals but also the function for receiving information from the outside. The wireless communication device 51 receives information from the relay unit 20 (the process signal input device 10) via the communication satellite 54, and in addition, transmits the information which is delivered from the emergency planning center 50 to the relay unit 20, by way of the communication satellite 54. The nuclear reactor containment vessel 60 is provided with a process signal control and monitoring system 100, in order to transmit the process signal in relation to a nuclear power plant, toward the outside. FIG. 6 schematically illustrates a configuration of the process signal control and monitoring system 100 in accordance with the present embodiment. When the external repeater 22 receives a signal from the emergency planning center 50 through the satellite based communication, the internal repeater 21 will send the signal which the external repeater 22 received through the satellite based communication, toward the inside of the nuclear reactor containment vessel 60. On this account, the internal communication part 12 is capable of communicating bi-directionally with the emergency planning center 50 which is located on the outside of the nuclear power plant. The sensor 40 is installed on the inside of the nuclear reactor containment vessel 60, and obtains process information, such as a pressure, a temperature, and a flow rate. Process signals (analog signals, such as a pressure, a temperature, and a flow rate), which the sensor 40 obtained, are transmitted to the process signal input device 10. The process signal input device 10 transmits the received process signals to the signal processing device 30 which is installed on the outside of the nuclear reactor containment vessel 60. A relay unit 20 and a plurality of control and monitoring units 70 are installed in the nuclear reactor containment vessel 60. Each of the control and monitoring units 70 is composed of a signal processing device 30, a process signal input device 10, and a sensor 40. The sensor 40 and the process signal input device 10 are installed on the inside of the nuclear reactor containment vessel 60. The signal processing device 30 is installed on the outside of the nuclear reactor containment vessel 60. The signal processing device 30 is connected to the network 56 (the second network) which is allocated on the outside of the nuclear reactor containment vessel 60. The network 56 is connected with a wireless communication device 55. In times of peace, the control and monitoring unit 70 (the process signal input device 10) is communicating with the wireless communication device 51 by way of the wireless communication device 55. The control and monitoring unit 70 can transmit process information to the relay unit 20 in an urgent moment. When process information is transmitted to the relay unit 20 from the process signal input device 10, the relay unit 20 will transmit the received process information to the emergency planning center 50 (the monitor device 52) which is installed on the outside of the nuclear power plant, by way of the wireless communication device 51 and the network 53, by the satellite based communication via the communication satellite 54. The internal repeater 21 and the external repeater 22 are connected with the communication cable 64. The internal repeater 21 is installed on the inside of the nuclear reactor containment vessel 60. The external repeater 22 is installed on the outside of the nuclear reactor containment vessel 60. Even in the emergency operation mode, the internal communication part 12 of the process signal input device 10 can communicate, bi-directionally due to the relay unit 20, with the emergency planning center 50 which is on the outside of a nuclear power plant. Next, with reference to FIG. 7, explanation will be made about the operation of the process signal control and monitoring system 100 in accordance with the present embodiment. The process signal control and monitoring system 100 differs in the operation mode (the normal operation mode and the emergency operation mode), depending on the supply state of the internal electrical power source 13. First, explanation will be made about the normal operation mode. At a tranquil time, the process signal control and monitoring system 100 performs the normal operation mode (Step 1). In the normal operation mode, electric power is supplied from the external power source 32 of the signal processing device 30 to the internal electrical power source 13 of the process signal input device 10, through the power cable 62. In the normal operation mode, the process signal input device 10 operates with the electric power which is supplied from the power cable 62. The internal communication part 12 of the process signal input device 10 transmits the process signal to the signal processing device 30 through the communication cable 61. The signal processing device 30 is connected with other control and monitoring units, through the network 56. Process signals which are measured in the control and monitoring unit 70 are transmitted to the emergency planning center 50 which is on the outside of a nuclear power plant, by the toward the outside transmission equipment (the wireless communication device 55). Next, explanation will be made about the emergency operation mode. In the emergency operation mode, the electric power feeding from the signal processing device 30 to the process signal input device 10 is lost (Step 2), and feeding of the electricity starts from the rechargeable battery 13a of the internal electrical power source 13 to the process signal input device 10 (the internal communication part 12) and the sensor 40 (Step 3). When the electric power feeding from the signal processing device 30 to the internal electrical power source 13 is lost, the internal communication part 12 judges the operating state of the signal processing device 30, with the communication cable 61. That is to say, the internal communication part 12 checks whether the electric power feeding to the process signal input device 10 is only disconnected or the operation of the signal processing device 30 is still continued (Step 4). In a case where the internal communication part 12 judges that the communication facility of the signal processing device 30 is operating normally, and only the feeding function to the process signal input device 10 is stopped, the process signal input device 10 operates by the electric power which is supplied from the rechargeable battery 13a of the internal electrical power source 13. The internal communication part 12 continues the communication with the signal processing device 30, through the communication cable 61 (Step 5). In a case where the internal communication part 12 judges that not only the electric power supply to the process signal input device 10 but also the operation of the signal processing device 30 is stopped, the internal communication part 12 switches to a mode in which the process signal is transmitted to the emergency planning center 50 by the wireless communication (Step 6). The process signal input device 10 operates by the electric power which is supplied from the rechargeable battery 13a of the internal electrical power source 13. That is to say, the internal communication part 12 of the process signal input device 10 transmits the process signal to the internal repeater 21 of the relay unit 20, in the emergency operation mode. The internal repeater 21 transmits the process signal to the external repeater 22 by the wire communication (the communication cable 64). Information which is transmitted to the external repeater 22 from the internal communication part 12 of the process signal input device 10 will be sent to the emergency planning center 50 (the wireless communication device 51) which is installed on the outside of the nuclear power plant, by the satellite based communication via the communication satellite 54 (Step 7). Further, in order to reduce the power consumption in the rechargeable battery 13a, the emergency planning center 50 can issue an alteration command which makes a transmission period longer than that of the time when the process signal is transmitted to the signal processing device 30 by the wire communication. That is to say, the emergency planning center 50 gives a direction to the internal communication part 12 of the process signal input device 10 via the relay unit 20, so that a transmission period longer than the transmission period of the normal operation mode will be adopted. According to the direction from the emergency planning center 50, the internal communication part 12 provides a transmission period longer than the transmission period of the normal operation mode (Step 10). As mentioned above, in the process signal control and monitoring system according to the present embodiment, the relay unit 20 and the internal communication part 12 are provided with not only the transmission function of the process signal but also the receiving function from the outside. Accordingly, the transmission period can be changed to a value other than the prearranged period. By providing a function to receive communications from the outside in the internal communication part 12 and the relay unit 20, the internal communication part 12 changes a transmission period, based on the direction from the external emergency planning center. Thereby, it is possible to attain a further power saving and to monitor for a long time even after the electric power is lost. It is to be noted that the internal electrical power source 13 had a rechargeable battery (a storage battery) 13a on the inside, in Embodiment 1. Thermoelectric power generation, which uses the internal exhaust heat (temperature gradient in the piping) of the nuclear reactor containment vessel 60, is also available as the electric power of the process signal input device 10. FIG. 8 schematically illustrates a configuration of the process signal control and monitoring system 100 in accordance with the present embodiment. As shown in the drawing, the control and monitoring unit 70 (the process signal input device 10) in accordance with the present embodiment is provided with a thermoelectric element 71. The control and monitoring unit 70 is composed of a signal processing device 30, a process signal input device 10, a sensor 40, a thermoelectric element 71, and so forth. The process signal input device 10 is composed of an analog-digital (A/D) conversion part 11, an internal communication part 12, an internal electrical power source 13, and so forth. The process signal input device 10 is connected with the signal processing device 30, which is installed on the outside of the nuclear reactor containment vessel 60, through the communication cable 61 and the power cable 62. The internal electrical power source 13 is provided with a built-in rechargeable battery, and in addition, is also connected with a thermoelectric element 71. The analog-digital conversion part 11 performs A-D conversion of a process signal which is received from the sensor 40. In the normal operation mode, the internal electrical power source 13 receives electric power which is supplied from the signal processing device 30 via the power cable 62. The sensor 40 is connected with the process signal input device 10 through the signal wire 63. The sensor 40 includes a transmitter, a pressure gauge, a thermometer, a flowmeter, and so forth. The sensor 40 obtains process signals of analogue state, and the process signals are transmitted to the process signal input device 10 (the analog-digital conversion part 11) via the signal wire 63. The relay unit 20 is composed of the internal repeater 21, the external repeater 22, and others. The internal repeater 21 and the external repeater 22 are connected with the communication cable 64. The internal repeater 21 is installed on the inside of the nuclear reactor containment vessel 60. The external repeater 22 is installed on the outside of the nuclear reactor containment vessel 60. The internal communication part 12 of the process signal input device 10 has a function to communicate with the signal processing device 30 through the communication cable 61, and a function to communicate with the wireless communication device 51 by way of the wireless communication device 55 (the toward the outside transmission equipment). The wireless communication device 51 is capable of communicating, by the network 53, with the emergency planning center 50 which is located on the outside of the nuclear power plant. Under normal circumstances, the internal electrical power source 13 charges a rechargeable battery with the electric power which was supplied from the external power source 32 of the signal processing device 30, via the power cable 62. The internal electrical power source 13 can maintain the function to supply electric power to the analog-digital (A/D) conversion part 11 and the internal communication part 12, for a given length of time, by the built-in rechargeable battery 13a, in a case where electric power feeding from the signal processing device 30 is disconnected. The internal electrical power source 13 will change the vendor of electric power, from the rechargeable battery 13a to the thermoelectric element 71, when the electric power of the rechargeable battery 13a begins depleting. Further, the internal electrical power source 13 stores the electric power which is supplied from this thermoelectric element 71 to the rechargeable battery 13a. It is to be noted that the internal electrical power source 13 will judge that the electric power of the rechargeable battery 13a is depleted, if the output side voltage of the rechargeable battery 13a becomes lower than a default value. Therefore, the process signal input device of the control and monitoring unit in accordance with the present invention is provided with an electric power source, such as a thermoelectric power generation, which can use the energy on the inside of the nuclear reactor containment vessel to generate electricity, in order to monitor the process signal, even in a case where the external electric power is lost. According to Embodiment 1, the internal communication part 12 and the relay unit 20 performed wireless communications. It is also possible to use a communication cable for the communication between the internal communication part 12 and the relay unit 20, in order to improve the reliability. FIG. 9 schematically illustrates a configuration of the emergency planning center and the nuclear reactor containment vessel according to the present embodiment. The relay unit 20 is composed of an internal repeater 23 with the wire communication facility, an external repeater 22 with the wireless communication facility, and the like. The process signal input device 10 and the relay unit 20 are connected with the communication cable 65. As shown in the drawing, the internal repeater 23 which is provided with the wire communication facility communicates bi-directionally with all the process signal input devices 10 in the nuclear reactor containment vessel, in order to reduce the amount of wires which penetrate the nuclear reactor containment vessel 60. The emergency planning center 50 of the nuclear power plant is installed on the outside of the nuclear reactor containment vessel 60. On the inside of the emergency planning center 50, the monitor device 52 of the nuclear power plant is disposed. The network 53 (the first network) of the emergency planning center 50 is connected with the wireless communication device 51 and the monitor device 52. The wireless communication device 51 can obtain information from the relay unit 20 via the communication satellite 54. A relay unit 20 and a plurality of control and monitoring units 70 are installed in the nuclear reactor containment vessel 60. Each of the control and monitoring units 70 is composed of a signal processing device 30, a process signal input device 10, and a sensor 40. The sensor 40 and the process signal input device 10 are installed on the inside of the nuclear reactor containment vessel 60. The signal processing device 30 is installed on the outside of the nuclear reactor containment vessel 60. The signal processing device 30 is connected to the network 56 (the second network) which is allocated on the outside of the nuclear reactor containment vessel 60. FIG. 10 illustrates a configuration of the process signal control and monitoring system in accordance with the present embodiment. The process signal control and monitoring system 100 is composed of a relay unit 20, a process signal input device 10, and a signal processing device 30. The sensor 40 is installed on the inside of the nuclear reactor containment vessel 60, and obtains process information, such as a pressure, a temperature, and a flow rate. Process signals (analog signals, such as a pressure, a temperature, and a flow rate), which the sensor 40 obtained, are transmitted to the process signal input device 10. The process signal input device 10 transmits the received process signal to the signal processing device 30 which is installed on the outside of the nuclear reactor containment vessel 60. The wireless communication device 55 is connected to the network 56. In times of peace, the control and monitoring unit 70 (the process signal input device 10) communicates with the wireless communication device 51 by way of the wireless communication device 55. In an urgent moment, the control and monitoring unit 70 can communicate information bi-directionally with the emergency planning center 50 via the internal repeater 23 of the relay unit 20. When process information is transmitted to the relay unit 20 from the process signal input device 10 through the communication cable 65, the relay unit 20 transmits the received process information to the emergency planning center 50 (the monitor device 52) which is installed on the outside of the nuclear power plant, by way of the wireless communication device 51 and the network 53, by the satellite based communication via the communication satellite 54. That is to say, the process signal input device of the control and monitoring unit in accordance with the present invention is provided with a relay unit, which relays wireless communications within a nuclear reactor containment vessel through a communication cable toward the outside of the nuclear reactor containment vessel, and communicates toward the outside of the containment vessel, by the satellite based communication. Further, there are provided with a process signal input device and a relay unit which can change a transmission period in the wireless communication of the process signal, by the communication from the outside. Further, in order to monitor a process signal even in a case where an external electric power supply is lost, there is provided with a communication part of the control and monitoring unit which can change an operation mode, depending on the operating state of a signal processing device and the value of a measuring process signal. Note that, in the present invention, each of the embodiments can be freely combined, appropriately modified and/or eliminated without departing from the scope of the invention. Further, it is also to be noted that each of the functional blocks, which are shown in FIG. 1, FIG. 2, FIG. 3, FIG. 6, FIG. 8, FIG. 9, and FIG. 10, is realized by the hardware which is shown in FIG. 11, where the hardware blocks include a process signal input device 10 (an analog-digital conversion part 11, an internal communication part 12, and an internal electrical power source 13), a relay unit 20 (an internal repeater 21, an external repeater 22, and an internal repeater 23), a signal processing device 30 (an external communication part 31 and an external power source 32), and so forth. That is to say, a processor 700, a memory 701 which stores a program and data, and an input output device 702, such as a sensor, are connected with data buses 703, and the processing of data and the transmission of data are controlled by the processor 700. 10 Process signal input device: 11 Analog-digital conversion part: 12 Internal communication part: 13 Internal electrical power source: 13a Rechargeable battery: 13b Charging pad: 13c Input side voltage: 13d Switch: 20 Relay unit: 21 Internal repeater: 22 External repeater: 23 Internal repeater: 30 Signal processing device: 31 External communication part: 32 External power source: 40 Sensor: 50 Emergency planning center 51 Wireless communication device: 52 Monitor device: 53 Network: 54 Communication satellite: 55 Wireless communication device: 56 Network 60 Nuclear reactor containment vessel: 61 Communication cable: 62 Power cable: 63 Signal wire: 64 Communication cable: 65 Communication cable 70 Control and monitoring unit: 71 Thermoelectric element: 100 Process signal control and monitoring system |
|
claims | 1. A reflective mirror for reflecting incident light comprising:a base; anda multilayer film configured to reflect at least a portion of the incident light and including a first layer and a second layer that are laminated alternately on the base,the multilayer film including a first portion having a first thickness and a second portion having a second thickness different from the first thickness, the second portion being provided at a position rotationally symmetric to a position of the first portion about an optical axis of the reflective mirror, andwherein the base comprises a first surface height corresponding to the first portion and a second surface height that is different from the first surface height corresponding to the second portion. 2. The reflective mirror according to claim 1, wherein the second surface is formed at a position further from a surface of the multilayer film than the first surface. 3. The reflective mirror according to claim 1, wherein, in the multilayer film, the first thickness and the second thickness are each determined such that light incident at a first angle on the first portion is reflected, light incident at a second angle on the second portion is reflected, and a difference in reflectance of the incident light between the first portion and the second portion is reduced. 4. The reflective mirror according to claim 1, wherein the multilayer film has a thickness distribution, the thickness distribution changing in an azimuthal direction of the optical axis of the reflective mirror. 5. The reflective mirror according to claim 1, wherein the multilayer film has a thickness distribution, the thickness distribution not being rotationally symmetric about the optical axis of the reflective mirror. 6. The reflective mirror according to claim 1, wherein the multilayer film is line symmetric with respect to a line passing through a center of a region to which the light is incident on a surface of the multilayer film and the optical axis of the reflective mirror. 7. The reflective mirror according to claim 1, wherein the multilayer film has a thickness distribution, the thickness distribution having a finite number of axes of rotational symmetry on the surface of the multilayer film. 8. The reflective mirror according to claim 1, wherein a shape of a surface of the base where the multilayer film is formed is determined such that an aberration caused by the thickness distribution of the multilayer film is reduced. 9. A projection optical system comprising a plurality of optical elements, the projection optical system projecting an image of a first surface onto a second surface, and at least one of the optical elements being the reflective mirror described in claim 1. 10. The projection optical system according to claim 9, wherein light from the first surface is irradiated onto the second surface via the plurality of optical elements, and at least an optical element where an incident angle range of the light is largest out of the plurality of optical elements is the reflective mirror. 11. An exposure apparatus for exposing a substrate to exposure light comprising the reflective mirror described in claim 1. 12. A device manufacturing method comprising steps of:exposing a substrate using the exposure apparatus described in claim 11; anddeveloping the exposed substrate. |
|
abstract | Disclosed is a radiation protection barrier. The radiation protection barrier includes at least one plain panel, each including an elongate frame, and a protective sheet attached to the elongate frame. The radiation protection barrier also includes at least one interventional panel coupled to the at least one plain panel, each of the at least one interventional panel(s) including an elongate frame, a protective sheet movably arranged on the elongate frame, a pair of sterile gloves arranged at an intermediate portion of the protective sheet, and a window configured on the protective sheet under the pair of sterile gloves. The radiation protection barrier further includes a plurality of wheel arrangements coupled to the elongate frames of the at least one plain and interventional panels. |
|
claims | 1. A method for manufacturing a porous fuel comprising uranium, optionally plutonium and at least one minor actinide successively comprising:a) compacting as pellets a mixture of powders comprising uranium oxide, optionally plutonium oxide and at least one oxide of a minor actinide, at least one portion of the uranium oxide being in a form of triuranium octaoxide U3O8, the other portion being in a form of uranium dioxide UO2; andb) reducing at least one portion of the triuranium octaoxide U3O8 into uranium dioxide UO2. 2. The manufacturing method according to claim 1, wherein the oxide of a minor actinide is selected from the group consisting of an americium oxide, a curium oxide, a neptunium oxide and mixtures thereof. 3. The manufacturing method according to claim 1, wherein the uranium oxide in the form of triuranium octaoxide U3O8 is associated within the same grains with an oxide of a minor actinide and optionally with plutonium oxide, said grains having a grain size of more than 100 μm. 4. The method according to claim 1, further comprising before the compacting a), preparing said mixture of powders as defined in a). 5. The method according to claim 4, wherein said preparing the mixture of powders consists of putting into contact a first mixture comprising a powder of uranium oxide in the form of uranium dioxide UO2, optionally a powder of plutonium oxide, and at least one powder of an oxide of a minor actinide and a second mixture of powders comprising uranium oxide in the form of triuranium octaoxide U3O8, optionally plutonium oxide and an oxide of a minor actinide. 6. The method according to claim 5, wherein the second mixture of powders appears as grains comprising the association within a same grain of uranium oxide in the form of triuranium octaoxide U3O8, of optionally plutonium oxide, and of an oxide of a minor actinide, said grains having a grain size of more than 100 μm. 7. The method according to claim 5, wherein the first mixture stems from the following operations:an operation for putting into contact a powder of uranium oxide in a form of uranium dioxide UO2, optionally a powder of plutonium oxide, and at least one powder of a minor actinide oxide; andoptionally an operation for co-milling the resulting mixture in order to obtain an intimate mixture of powders. 8. The method according to claim 6, wherein the second mixture of powders stems from the following operations:an operation for putting into contact a powder of uranium oxide in a form triuranium octaoxide U3O8, optionally a powder of plutonium oxide, and at least one powder of an oxide of a minor actinide;an operation for co-milling said powders;a pressing operation at a predetermined pressure P1;a crushing operation; andat least one sifting operation so as to isolate the grains having a grain size of more than 100 μm. 9. The method according to claim 4, wherein said preparing the mixture of powders consists of putting into contact a first co-precipitated powder of an oxide of uranium optionally plutonium, and of a minor actinide with a second mixture of powders comprising uranium oxide in the form of triuranium octaoxide U3O8, optionally plutonium oxide and at least one oxide of a minor actinide. 10. The method according to claim 9, wherein the second mixture of powders is obtained from a fraction of the first co-precipitated powder, said fraction is subject to a calcination step in air so as to transform the uranium oxide UO2 into triuranium octaoxide U3O8, the resulting product being then optionally subject to a pressing operation, followed by a crushing operation and a sifting operation so as to isolate the powders having a grain size of more than 100 μm. 11. The method according to claim 8, wherein the powders stemming from the sifting operations having a grain size of less than 100 μm are recovered and subject to the following successive operations:a pressing operation at a pressure advantageously greater than 300 MPa;a crushing operation;at least one sifting operation so as to isolate the powder having a grain size of more than 100 μm,said powders being intended to enter the composition of the second mixture of powders. 12. The method according to claim 1, wherein the reduction is applied by having a reducing gas stream pass at a temperature ranging from 600 to 1,000° C. for a period which may range from 1 to 10 hours. 13. The method according to claim 1, further comprising after the reduction, sintering fuel pellets. 14. The method according to claim 13, wherein the sintering is applied by heating the aforementioned pellets to a temperature ranging from 1,000 to 1,800° C., for a period which may range from 1 to 8 hours. 15. The method according to claim 14, wherein the sintering is carried out in a neutral gas atmosphere, optionally in the presence of hydrogen and water. 16. The manufacturing method according to claim 3, wherein said grains have a grain size ranging from 100 to 250 μm. 17. The method according to claim 6, wherein said grains have a grain size ranging from 100 to 250 μm. 18. The method according to claim 8, wherein in said at least one sifting operation, the isolated power has a grain size ranging from 100 to 250 μm. 19. The method according to claim 10, wherein said grains have a grain size ranging from 100 to 250 μm. 20. The method according to claim 11, wherein in said at least one sifting operation, the isolated power has a grain size ranging from 100 to 250 μm. |
|
description | 1. Field of the Invention The present invention relates generally to equipment used in semiconductor processing. More particularly, the present invention relates to an extreme ultraviolet lithography system in which heat is transferred from a mirror to a heat exchanger through a liquid metal interface. 2. Description of the Related Art Extreme ultraviolet (EUV) lithography is a semiconductor fabrication technology which enables semiconductors with small features, e.g., features with dimensions of approximately 45 nanometers (nm) or less, to be produced. In EUV lithography, a laser may heat xenon gas to create a plasma, although there are other methods used to make EUV plasmas. Electrons come off the plasma and radiate light. FIG. 1 is a block diagram representation of an EUV lithography system. An EUV lithography system 100 includes a vacuum chamber 128 which contains a source 104. Source 104 provides electrons that radiate light, and includes a plasma source 108 and a collector mirror 112 that reflects electrons which come off of the plasma generated by plasma source 108 into an illuminator unit 116b of a body 116 of EUV lithography system 100. Illuminator unit 116b is a condenser that effectively collects light and directs or otherwise focuses the light onto a reticle 120. That is, illuminator unit 116b conditions light from plasma source 108 to improve uniformity. The light reflects off of reticle 120, through projection optics 116a of body 116, and onto a surface of a wafer 124. Mirrors included in an EUV lithography system generally absorb some of light or radiation that comes into contact with the mirrors. Such mirrors (not shown) may be associated with illuminator unit 116b as well as with projection optics 116a. When light is absorbed by a mirror, the absorbed light is converted to heat. Heat generally causes distortion in the mirror, thereby degrading the optical performance of the mirror. When there is distortion in the mirror, the optical performance of the mirror is compromised. The removal of heat from the mirrors is critical to ensure that an EUV lithography system performs with accuracy. When mirrors become heated, the mirrors may become distorted. The distortion of mirrors reduces the accuracy with which an EUV lithography system may perform. Often, radiation is used to provide cooling to mirrors. Although radiant cooling methods may be effective in cooling mirrors while causing a relatively insignificant amount of distortion in the mirrors, when the heat load on a mirror is relatively high, radiant cooling methods are often inadequate for cooling mirrors. By way of example, radiant cooling methods are often inadequate when mirror temperatures and heat sink temperatures are not allowed to deviate greatly from the overall system temperature. In particular, radiant cooling methods generally do not provide sufficient cooling when higher power densities are involved. Internal or direct cooling methods, e.g., liquid cooling methods, may be applied to mirrors to provide cooling in the presence of relatively high heat loads. Conventional internal cooling methods are typically associated with turbulent flow, as turbulent flow provides for relatively efficient heat transfer and cooling. However, the use of turbulent or non-laminar flow to cool a mirror generally causes the mirror to vibrate. When a mirror vibrates, the vibrations caused by the turbulent flow may effectively adversely affect a lithography process, particularly if the vibrations cause a heat exchanger, an illuminator unit, projection optics, a reticle, or a wafer to vibrate. By way of example, the accuracy of the EUV lithography process may be compromised when optics vibrate or are otherwise distorted. An EUV lithography process preferably uses efficient, high performance heat exchangers and low complexity, low cost mirror assemblies. Mirror assemblies that are relatively complex are difficult to exchange, e.g., because coolant paths to the mirror assemblies are substantially severed in order to exchange mirror assemblies. In general, however, internally cooled mirrors designed for vacuum environments are relatively complex and expensive. Therefore, what is needed is a relatively low cost method and an apparatus which allows heat to be efficiently removed from a mirror used in a EUV lithography system without adversely affecting the accuracy of a EUV lithography process. That is, what is desired is a method and an apparatus which efficiently removes heat from a mirror used in a EUV lithography system while substantially minimizing vibrations transmitted to optical elements which are structurally connected to the mirror. The present invention pertains to removing heat from a mirror of a lithography system. The present invention may be implemented in numerous ways, including, but not limited to, as a method, system, device, or apparatus. Example embodiments of the present invention are discussed below. According to one aspect of the present invention, an apparatus which may be used in an extreme ultraviolet (EUV) lithography system includes a heat exchanger, a mirror assembly, and a first liquid metal interface. The heat exchanger including at least a first surface. The mirror assembly includes a first mirror block having a first mirrored surface, as well as at least a first well. Finally, the first liquid metal interface includes liquid metal which is contained in the first well. The first surface is in contact with the liquid metal such that heat may be transferred form the first mirror block to the heat exchanger. In one embodiment, the mirror assembly includes a base plate that is coupled to the first mirror block. According to another aspect of the present invention, an apparatus which may be used in a EUV lithography system includes a heat exchanger in which at least one well is defined. The apparatus also includes a mirror assembly and a liquid metal interface. The mirror assembly has a mirror block with a mirrored surface, and also has at least one surface. The liquid metal interface including liquid metal contained in the well. The surface is in contact with the liquid metal to transfer heat from the mirror block to the heat exchanger. In accordance with still another aspect of the present invention, a method for assembling a cooling apparatus in a EUV lithography system includes obtaining a mirror assembly which has at least one cavity defined therein. The method also includes at least partially filling the cavity with a liquid metal, and positioning at least one surface of a heat exchanger in the cavity. Positioning the surface of the heat exchanger in the cavity includes causing the surface to contact the liquid metal. According to yet another aspect of the present invention, a method for cooling a mirrored arrangement in a lithography device includes transferring a heat load from a mirrored surface of the mirror arrangement to a liquid metal interface. The liquid metal interface is located between the mirror arrangement and a heat exchanger. The method also includes transferring the heat load from the liquid metal interface to at least a first surface of the heat exchanger. Other aspects and advantages of the invention will become apparent from the following detailed description taken in conjunction with the accompanying drawings which illustrate, by way of example, the principles of the invention. Example embodiments of the present invention are discussed below with reference to the various figures. However, those skilled in the art will readily appreciate that the detailed description given herein with respect to these figures is for explanatory purposes, as the invention extends beyond these embodiments. The performance of an extreme ultraviolet (EUV) lithography system may be compromised if vibrations are present within the system. As such, an arrangement which is intended to remove a heat load from a mirror that is coupled to an optical element of a EUV lithography system preferably removes the heat load substantially without increasing vibrations within the system. In one embodiment, heat is transferred from a mirror block to a heat exchanger a EUV lithography system through a liquid metal interface. The liquid metal interface may be arranged as liquid metal contained in a cavity or a well formed in the mirror block. The liquid metal relatively efficiently transfers heat from a mirror, e.g., a mirrored surface of a mirror block, to the heat exchanger. The liquid metal also provides a relatively low stiffness interface that substantially isolates the mirror, as well as optical elements connected to the mirror, from vibrations, e.g., vibrations of a vacuum chamber, which travel through coolant hoses associated with a EUV lithography system. At times, mirrors within a EUV lithography system may be replaced. To facilitate the replacement of mirrors within a vacuum chamber of a EUV lithography system, an overall mirror assembly may be formed from a “removable” mirror block and a base plate that is substantially fixed to an optical element. Such a mirror assembly effectively allows a mirror to be readily separated from a heat exchanger. The mirror block, or multiple mirror blocks, may be coupled to the base plate such that the mirror block may be readily replaced or otherwise exchanged. By way of example, the mirror block may be replaced substantially without the need for detaching hoses or other connections associated with a heat exchanger. As such, mirror replacement may effectively occur without causing the leakage of gas or fluids into a vacuum environment. Hence, the replacement of mirrors does not contaminate the vacuum environment. With reference to FIG. 2, a EUV lithography system which includes a liquid metal interface between a heat exchanger and a mirror assembly will be described in accordance with an embodiment of the present invention. A EUV lithography system 200 includes a vacuum chamber which has a chamber wall 228. Chamber wall 228 is generally coupled to an active vibration isolation system (AVIS) 252 which is configured to isolate a projection optics/illuminator unit PO/IU body 216 from external vibrations, e.g., ground vibrations or stage vibrations. It should be appreciated that chamber wall 228 may generally be substantially grounded, or otherwise coupled to an external ground surface. PO/IU body 216 has optical elements of EUV lithography system 200 which are sensitive to vibrations. In one embodiment, PO/IU body 216 is coupled to AVIS 252 such that AVIS 252 may reduce the vibrations transmitted to PO/IU body 216. A heat exchanger 236 is coupled to chamber wall 228 via a structure 242, e.g., a heat exchanger post. In other words, heat exchanger is relatively rigidly coupled to chamber wall 228 through structure 242. Coolant hoses 248 pass between heat exchanger 236 and chamber wall 228. Coolant hoses 248 provide cooled fluid to heat exchanger 236, which is located in a vacuum held by chamber wall 228, and also remove heated fluid from heat exchanger 236. A mirror assembly 240 is coupled to PO/IU body 216. As will be appreciated by those skilled in the art, mirror assembly 240 and PO/IU body 216 are within a vacuum contained by chamber wall 228. Heat exchanger 236 is not in direct contact with mirror assembly 240. A liquid metal interface 244 allows a heat load in mirror assembly 240 to pass to heat exchanger 236. That is, liquid metal interface 244 is arranged to effectively provide a substantially indirect connection between mirror assembly 240 and heat exchanger 236. As such, heat exchanger 236 is substantially vibrationally isolated from mirror assembly 240 and from PO/IU body 216. When heat exchanger 236 is vibrationally isolated from PO/IU body 216, the use of a turbulent flow in heat exchanger 236 may improve the efficiency of heat exchanger 236 substantially without imparting significant vibrations on PO/IU body 216. It should be appreciated that the transmission of vibrations through heat exchanger 236 from other sources including, but not limited to including, coolant hoses 248, stages (not shown), and a ground (not shown) may also be substantially attenuated. Liquid metal interface 244 includes liquid metal that is in contact with both mirror assembly 240 and heat exchanger 236. As will be discussed below, liquid metal interface 244 may include amounts of liquid metal substantially contained within a cavity, well, groove, or trough in mirror assembly 240. Referring next to FIG. 3, one EUV lithography system which includes a heat exchanger, a base plate, and at least one mirror block which contains liquid metal will be described in accordance with an embodiment of the present invention. A EUV lithography system 300 includes a vacuum chamber with a chamber wall 328. Chamber wall 328 is coupled to an AVIS 352. AVIS 352 is further coupled to a PO/IU body 316. Chamber wall 328 is also relatively rigidly coupled to heat exchanger 336 through a heat exchange post 342. Hoses 348, which carry coolant to and from heat exchanger 336, are arranged to pass between chamber wall 328 and heat exchanger 336. A mirror assembly 340 and heat exchanger 336 associated with EUV lithography system 300 are arranged such that mirror assembly 340 and heat exchanger 336 are not in direct contact. In the described embodiment, a mirror assembly 340 includes at least two components, e.g., at least one mirror block 340a and a base plate 340b, which are removably coupled at an interface 346 that effectively serves as a quasi-kinematic mount. For ease of illustration, a single mirror block 340a is shown. Forming mirror assembly 340 from separate components allows base plate 340b to be substantially isolated from distortions in mirror block 340a. Base plate 340b is arranged to be relatively rigidly, but removably, coupled to PO/IU body 316. When mirror block 340a is to be exchanged or otherwise replaced, mirror block 340a and base plate 340b are disconnected from PO/IU body 316, while heat exchanger 336 is substantially unaffected. Mirror block 340a includes a rib portion which is coupled to base plate 340b at interface 346. Mirror block 340a may generally be formed from substantially any material that may support a mirrored surface. A mirrored surface may generally be polished onto mirror block 340a, or may be attached to mirror block 340a. Mirror block 340a may be formed from materials including, but not limited to including, copper, molybdenum, silicon, and substantially any materials which have similar, relatively high figures of merit. Mirror block 340a may also be formed from any suitable materials that are coated such that mirror block 340a is unlikely to corrode when in contact with liquid metal 344 contained within wells 368 defined in mirror block 340a. Additionally, mirror block 340a may be formed from more than one type of metal in order to provide temperature dependent curvature compensation. Base plate 340b may be formed from materials including, but not limited to including, ceramic materials and metals, e.g., metals with low thermal expansion properties. In general, base plate 340b includes perforations or openings 370 which align with wells 368 in mirror block 340a. Protrusions or prongs 338 of heat exchanger 336 are arranged to pass through openings 370 and into wells 368. It should be appreciated that both the number of prongs 338 and the size of prongs 338 associated with heat exchanger 336 may vary widely. Within wells 368, prongs 338 typically come into direct contact with liquid metal 344. A heat load associated with mirror block 340a may be transferred to surfaces, e.g., bottom surfaces, of prongs 338 through liquid metal 344. A distance h1 360 between a bottom surface of mirror block 340a and a bottom surface of well 368 is generally relatively small, as for example in the range between approximately one millimeter (mm) and approximately three mm. A distance h2 362 represents a gap between a bottom surface of well 368 and a bottom surface of prong 338. Distance h2 362 is typically as small as feasible, while still accounting for potential positioning tolerances associated with the positioning of prongs 338 within wells 368. Maintaining distance h2 362 as small as possible while still substantially preventing prongs 338 from coming into physical contact with the sides of wells 368 allows heat to be efficiently transferred from mirror block 340a to heat exchanger 340b through liquid metal 344. In one embodiment, distance h2 362 is greater than approximately one mm. With reference to FIG. 4, mirror block 340a will be described in more detail. FIG. 4 is a diagrammatic cross-sectional side-view representation of mirror block 340a which contains liquid metal in accordance with an embodiment of the present invention. Mirror block 340a includes wells 368 that contain liquid metal 344. Mirror block 340a also includes a rib 464 which may be used to couple mirror block 340a to a base plate (not shown). Liquid metal 344 contained in wells 368 may be a pure gallium liquid metal, or a gallium alloy liquid metal such as indium gallium or gallium indium tin. The liquid metal 344 contained in wells 368 effectively form a liquid metal interface. The amount of liquid metal 344 contained in wells 368 may vary widely, as for example based on the requirements of a particular EUV system in which mirror block 340a is to be used. A surface 474 of mirror block 340a which, as shown, may be a bottom surface of mirror block 340a, is a mirrored surface. Surface 474 may become heated and, hence, carry a heat load. A heat load borne by surface 474 may pass to liquid metal 344 and, subsequently, from liquid metal 344 to a heat exchanger (not shown). In general, the size of a mirror block, the number of wells defined within the mirror block, and the dimensions of the wells may vary widely. Further, the number of mirror blocks coupled to each base plate may vary, e.g., depending upon the requirements of particular EUV lithography system. It should be appreciated that each mirror block that is coupled to a single base plate may have a different configuration. FIGS. 5A and 5B are top-view and side view representations, respectively, of one mirror block in accordance with an embodiment of the present invention. A mirror block 540 includes a rib 564 that is used to mount mirror block 540 to a base plate (not shown). Mirror block 540 includes at least one well 568. The number of wells 568, the size of wells 568, and the layout of wells 568 in mirror block 564 may depend on a number of different factors. For example, the layout of prongs of a heat exchanger (not shown), the size of such prongs, and the number of such prongs may be a factor in determining the overall configuration of mirror block 540. As previously mentioned, an overall mirror assembly of a EUV lithography system includes a base plate and at least one mirror block. FIG. 6 is a process flow diagram which illustrates a method of assembling a system which allows heat to be transferred from a mirror block to a heat exchanger in accordance with an embodiment of the present invention. A process 601 of assembling a system begins at step 605 in which components of a mirror assembly are obtained. That is, a base plate and at least one mirror block which is arranged to be mounted to the base place are obtained. After the components of the mirror assembly are obtained, cavities or wells of the mirror block or blocks are at least partially filled in step 609 with liquid metal. Once liquid metal is provided into the wells, the mirror block or blocks and the base plate are coupled in step 613 to form the mirror assembly. The coupling between a base plate and a mirror block forms a quasi-kinematic mount. Perforations in base plate are aligned with wells in the mirror block or blocks when a quasi-kinematic coupling or couplings are formed. In step 613, the mirror assembly is positioned with respect to the heat exchanger such that the heat exchanger comes into contact with the liquid metal. With the heat exchanger and bottom surfaces of wells in contact with the liquid metal, the liquid metal effectively forms an interface between the heat exchanger and the mirror assembly or, more specifically, the mirror block or blocks. In one embodiment, prongs of the heat exchanger are located within the wells such that at least a surface, e.g., a bottom surface, of the prongs comes into contact with the liquid metal within the wells. The process of assembling a system is completed once the mirror assembly is positioned with respect to the heat exchanger such that a liquid metal interface is formed. A liquid metal interface has generally been described as being formed from liquid metal contained in wells of a mirror assembly, e.g., wells defined in a mirror block of a mirror assembly. It should be appreciated, however, that wells which contain liquid metal may instead be formed in a heat exchanger, or in another part of a mirror assembly, e.g., in a base plate of a mirror assembly. FIG. 7 is a diagrammatic representation of a EUV lithography system which includes a base plate, a mirror block, and a heat exchanger which has wells in which liquid metal is contained in accordance with an embodiment of the present invention. A EUV lithography system 700 includes a vacuum chamber with a chamber wall 728. An AVIS 752 is coupled to chamber wall 728, as well as to a PO/IU body 716. Chamber wall 728 is also relatively rigidly coupled to heat exchanger 736 through a heat exchange post 742. Hoses 748, which carry coolant to and from heat exchanger 736, are arranged to pass between chamber wall 728 and heat exchanger 736. In the described embodiment, a mirror assembly 740 includes at least one mirror block 740a and a base plate 740b, which are removably coupled at an interface 746 that effectively serves to form a quasi-kinematic mount. To exchange or otherwise replace mirror block 740a, mirror block 740a and base plate 740b may be disconnected from PO/IU body 716, substantially without affecting a heat exchanger 736 that is arranged to cool, or otherwise remove heat from, mirror block 740a. Heat exchanger 736 includes prongs 738 in which wells 768 are formed. Wells 768 are arranged to contain liquid metal 744. Mirror block 740a includes prongs 790 which are arranged to come into contact with liquid metal 744 contained in wells 768. Hence, liquid metal 744 effectively forms an interface between surfaces of wells 768 and surfaces of prongs 790. Such an interface allows a heat load on mirror block 740a to be transferred to heat exchanger 736. As previously mentioned, wells which contain liquid metal may be defined in a base plate, rather than in a mirror block or a heat exchanger. FIG. 8 is a diagrammatic representation of a mirror block, a heat exchanger, and a base plate in which wells are defined in accordance with an embodiment of the present invention. An assembly 888 includes a heat exchanger 836 and a mirror assembly 840. Mirror assembly 840 includes at least one mirror block 840a and a base plate 840b. As shown, mirror assembly 840 includes a single mirror block 840a for ease of illustration. Mirror block 840a and base plate 840b are coupled at an interface 846 which may be a quasi-kinematic mount. To enhance heat transfer between mirror block 847 and base plate 840, a material 878 may optionally be provided between mirror block 840a and base plate 840b. Such material may be a relatively soft material such as Indium. It should be understood, however, that any relatively soft, compliant material may be provided between mirror block 840a and base plate 840b. Base plate 840b includes wells 868 in which liquid metal 844 is provided. Prongs 838 of heat exchanger 836 are located within wells 868 such that surfaces of prongs 838 come into contact with liquid metal 844. Hence, a heat load on mirror block 840a is transferred to base plate 840b, which then conducts the heat load through liquid metal 844 to heat exchanger 836. A EUV lithography system according to the above-described embodiments, e.g., a lithography apparatus which may include a liquid metal interface between a mirror block and a heat exchanger, may be built by assembling various subsystems in such a manner that prescribed mechanical accuracy, electrical accuracy, and optical accuracy are maintained. In order to maintain the various accuracies, prior to and following assembly, substantially every optical system may be adjusted to achieve its optical accuracy. Similarly, substantially every mechanical system and substantially every electrical system may be adjusted to achieve their respective desired mechanical and electrical accuracies. The process of assembling each subsystem into a photolithography system includes, but is not limited to, developing mechanical interfaces, electrical circuit wiring connections, and air pressure plumbing connections between each subsystem. There is also a process where each subsystem is assembled prior to assembling a photolithography system from the various subsystems. Once a photolithography system is assembled using the various subsystems, an overall adjustment is generally performed to ensure that substantially every desired accuracy is maintained within the overall photolithography system. Additionally, it may be desirable to manufacture an exposure system in a clean room where the temperature and humidity are controlled. Further, semiconductor devices may be fabricated using systems described above, as will be discussed with reference to FIG. 9. FIG. 9 is a process flow diagram which illustrates the steps associated with fabricating a semiconductor device in accordance with an embodiment of the present invention. A process 901 of fabricating a semiconductor device begins at step 903 in which the function and performance characteristics of a semiconductor device are designed or otherwise determined. Next, in step 905, a reticle or mask in which has a pattern is designed based upon the design of the semiconductor device. It should be appreciated that in a substantially parallel step 909, a wafer is typically made from a silicon material. In step 913, the mask pattern designed in step 905 is exposed onto the wafer fabricated in step 909 through the use of an EUV lithography system. One process of exposing a mask pattern onto a wafer will be described below with respect to FIG. 10. In step 917, the semiconductor device is assembled. The assembly of the semiconductor device generally includes, but is not limited to including, wafer dicing processes, bonding processes, and packaging processes. Finally, the completed device is inspected in step 921. Upon successful completion of the inspection in step 921, the completed device may be considered to be ready for delivery. FIG. 10 is a process flow diagram which illustrates the steps associated with wafer processing in the case of fabricating semiconductor devices in accordance with an embodiment of the present invention. In step 1001, the surface of a wafer is oxidized. Then, in step 1005 which is a chemical vapor deposition (CVD) step in one embodiment, an insulation film may be formed on the wafer surface. Once the insulation film is formed, then in step 1009, electrodes are formed on the wafer by vapor deposition. Then, ions may be implanted in the wafer using substantially any suitable method in step 1013. As will be appreciated by those skilled in the art, steps 1001-1013 are generally considered to be preprocessing steps for wafers during wafer processing. Further, it should be understood that selections made in each step, e.g., the concentration of various chemicals to use in forming an insulation film in step 1005, may be made based upon processing requirements. At each stage of wafer processing, when preprocessing steps have been completed, post-processing steps may be implemented. During post-processing, initially, in step 1017, photoresist is applied to a wafer. Then, in step 1021, an exposure device may be used to transfer the circuit pattern of a reticle to a wafer. Transferring the circuit pattern of the reticle of the wafer generally includes scanning a reticle scanning stage which may, in one embodiment, include a force damper to dampen vibrations. After the circuit pattern on a reticle is transferred to a wafer, the exposed wafer is developed in step 1025. Once the exposed wafer is developed, parts other than residual photoresist, e.g., the exposed material surface, may be removed by etching in step 1029. Finally, in step 1033, any unnecessary photoresist that remains after etching may be removed. As will be appreciated by those skilled in the art, multiple circuit patterns may be formed through the repetition of the preprocessing and post-processing steps. As mentioned above, a mirror assembly may include a base plate and multiple mirror blocks. FIG. 11 is a block diagram representation of a mirror assembly which includes multiple mirror blocks in accordance with an embodiment of the present invention. A mirror assembly 1140 includes a plurality of mirror blocks 1140a. In general, the number of mirror blocks 1140a included in mirror assembly may vary widely. Further, mirror blocks 1140a may be substantially uniform, e.g., mirror blocks 1140a may have substantially the same dimensions, or mirror blocks 1140a may be non-uniform, e.g., mirror blocks 1140a may have different dimensions, as shown. Each mirror block 1140a is coupled to a shared base plate 1140b. The use of multiple mirror blocks 1140a rather than a single mirror block 1140a in mirror assembly 1140 reduces the amount by which base plate 1140b may bend. That is, the use of multiple mirror blocks 1140a rather than a single mirror block 1140a may reduce the amount of thermal distortion associated with base plate 1140b. Although only a few embodiments of the present invention have been described, it should be understood that the present invention may be embodied in many other specific forms without departing from the spirit or the scope of the present invention. By way of example, in lieu of liquid metal, other compositions with properties which are similar to liquid metal may be used to form an interface between a mirror and a heat exchanger through which heat may be conducted or otherwise transferred. Compositions with similar properties to liquid metal may include, but are not limited to including, liquids which will not significantly outgas or evaporate at or around the temperature maintained in a vacuum chamber of an EUV lithography system. Such liquids may have a relatively low vapor pressure. A rib has been described as being a suitable structure for substantially coupling a mirror block to a base plate. It should be appreciated, however, that a rib is but one example of a suitable structure that may be used to effectuate a coupling between a mirror block and a base plate. In one embodiment, for example, a round boss may be used to substantially couple a mirror block to a base plate. While a mirror assembly has been described as having at least one mirror block that is removably coupled to a shared base plate, a mirror assembly may instead be integrally formed. That is, a mirror assembly may include at least one mirror block and a base plate that are integrally, or substantially irremovably, coupled. A mirror block of the present invention typically does not include internal cooling passages, as a liquid metal interface conducts heat from the mirror block to a heat exchanger and, therefore, cools mirror block. However, it should be appreciated that a mirror block may also include internal cooling passages. A base plate has been described as having multiple mirror blocks coupled thereto. While multiple mirror blocks have been described as being coupled to a base plate, it should be appreciated that a single mirror block may be coupled to a base plate. In general, any number of mirror blocks may be coupled to a base plate. In addition, the shape of a base plate and the location of a base plate relative to a mirror block may vary widely. By way of example, a base plate may be formed as a ring that is arranged to substantially surround the periphery of a mirror block. When the base plate is a ring that substantially surrounds the periphery of a mirror block, quasi-kinematic mounts may be formed or otherwise located substantially between the ring and the periphery of the mirror block. Further, the configuration of a mirror block may vary widely. For instance, the number of wells, the position of the wells, and/or the size of the wells may vary depending upon the requirements or specifications associated with a given EUV lithography process. A base plate and a mirror block have generally been described as being substantially separate but coupled. It should be appreciated, however, that a base plate and a mirror block may be integrally formed without departing from the spirit or the scope of the present invention. In one embodiment, a temperature gradient associated with a liquid metal interface is arranged to be substantially normal to the surface of a mirror. A configuration in which a temperature gradient of the liquid metal interface is substantially normal to the surface of the mirror may yield an approximately maximum heat transfer efficiency between the mirror and an associated heat exchanger. It should be appreciated, however, that the temperature gradient of a liquid metal interface is not limited to being substantially normal to the surface of a mirror. The operations associated with the various methods of the present invention may vary widely. Steps may be added, removed, altered, combined, and reordered without departing from the spirit or the scope of the present invention. By way of example, cavities or wells in mirror blocks may be filled with liquid metal after the mirror blocks are coupled to a base plate, instead of before the mirror blocks are coupled to the base plate. The many features and advantages of the present invention are apparent from the written description. Further, since numerous modifications and changes will readily occur to those skilled in the art, the invention should not be limited to the exact construction and operation as illustrated and described. Hence, all suitable modifications and equivalents may be resorted to as falling within the scope of the invention. |
|
abstract | The X-ray detection apparatus is equipped with an X-ray irradiation unit, an X-ray detector, and a movable collimator having a plurality of apertures. The collimator is provided with a window unit through which light passes, and the apertures and the window unit are aligned in one direction. The collimator moves in the direction so as to change the diameter of an aperture for narrowing X-rays from the X-ray irradiation unit to be used for irradiation of a sample and move to a position to allow an imaging unit to photograph a sample through the window unit. It becomes possible to photograph a sample even in a state where the X-ray irradiation unit, the X-ray detector and the collimator are positioned proximally to each other. |
|
043354670 | summary | The present invention relates to liquid metal cooled nuclear reactors. More specifically, the invention relates to such a reactor of the type with cooling loops. It is well known that there exist two main categories of fast neutron reactors (or fast reactors), viz. those with loops, on the one hand, and those of the so-called "integrated" type, on the other hand. In the latter category, the so-called main vessel contains an inner vessel, or primary vessel, the principal function of which is to separate the hot liquid metal issuing from the core from the cold liquid metal issuing from the pumps and exchangers and fed into said core. Said reactors are said to be "integrated", in view of the fact that the core neutronic protective means, the primary pumps and the primary exchangers are all contained in the vessel. A second liquid metal circuit (secondary circuit) is adapted to transfer the heat of the liquid metal primary circuit to a water-steam circuit for feeding the electric power generating turbines. It will be readily understood that, in such a case, the main vessel must be bulky, which substantially increases the manufacturing cost as well as the cost of the liquid metal forming the coolant material. In the first category, i.e. the reactors with cooling loop, the vessel, in practice morely contains the core and the liquid metal for cooling same, whereas the pumps, the primary exchangers and the connecting ducts are all outside said vessel. Each heat-exchanger is connected to the vessel by means of piping for feeding cold liquid metal at the bottom of the core, on the one hand, and for the exhaust of the hot liquid metal from said core. It can be easily understood that, in said first category, the vessel may be much smaller, which decreases the weight thereof as well as the requested amount of liquid metal, but, on the other hand, since the exchangers and the primary pumps are outside the vessel, it is necessary to resort to pipes provided with curved portions allowing their free expansion under thermal stresses, which renders the whole installation more cumbersome. The present invention relates to said first category of reactors and, more specifically, to the problem of connecting the vessel with a heat-exchanger via the outlet primary duct with a view to restricting the mechanical stresses due to temperature and to decreasing the length of the ducts in order to render the whole installation less cumbersome. Still preferably, but by no means exclusively, the present invention relates to the portion of the nuclear installation in a specific type of reactors with loops, viz. the so-called semi-integrated reactors. More precisely, the latter reactors are fast reactors, the heat-exchangers and pumps of which are outside the vessel, but in which (as in reactors of the "integrated" type), a main vessel contains a primary inner vessel for separating the cold liquid metal from the hot liquid metal, said inner vessel being submitted but to a restricted irradiation in view of the fact that the core is surrounded by neutronic protection means. According to a still more particular embodiment the present invention relates to a semi-integrated nuclear reactor devoid of liquid metal secondary circuit. In other words, in the primary exhangers, the primary liquid metal issuing from the core is in direct heat-exchange with the water/steam fluid that feeds the turbines. These exchangers are, of course, of a very special type so as to meet the safety requirements linked to the direct heat-exchange between the primary liquid metal and the water/steam fluid. Embodiments of such exchangers are described in French Patent application No. 77 03192 of Feb. 4, 1977 for a "Pump-exchanger assembly", filed in the name of the applicant. In addition, in such exchangers, the pump is integrated to the exchanger so as to eliminate the connecting duct between the two devices. In addition to the problem of thermal stresses in the connections between the vessel and the exchangers, account has also to be taken of the necessity to balance said exchangers so that they can withstand earthquake shocks of reasonable amplitude in situ. In the prior art, several approaches to the problem of thermal stresses were made, e.g. in British Pat. No. 985,463, except however as regards the consequences of earth tremors. In the above patent, indeed, in which is described a liquid metal cooled reactor with loops, the connection between the vessel and the heat-exchangers is ensured by coaxial whereas said vessel and conduits are supported in the horizontal plane containing the common axis of said conduits. The support of the tanks containing the exchangers is obtained via annular supporting members, resting on roller bearings and allowing movements in the direction of the common axis of the conduits, whenever a thermal expansion occurs. Such an arrangement, however, has the severe drawback of solving only the problem of the absorption of the movements of the exchangers in the direction of the coaxial conduits, the risk of earth-tremors not being contemplated; on the other hand, said coaxial conduits do not permit, along the liquid metal path, to mount safety valves that would allow to regulate the flow-rates and, in some cases of emergency, to isolate one of the exchangers without stopping the reactor operation. The object of the present invention is to provide a nuclear reactor capable of solving all the above problems, while affording a safer operation, in particular by providing means for supporting the exchangers in such a manner that the movements of the latter be restricted. Said reactor is mainly characterized in that it comprises: a vessel adapted to receive the reactor core and said liquid metal; at least one heat-exchanger adapted to ensure a heat-exchange between said liquid metal and a second fluid, a first duct adapted to connect said vessel with the inlet of the, or of one of the, heat-exchangers, said duct opening into said vessel above the core; a second duct adapted to connect said vessel with the outlet of said, or of one of said, heat-exchangers, said vessel being provided, along the outer periphery thereof, with so-called first supporting means situated at a determined level, said first duct being substantially rectilinear and horizontal and opening into said vessel above, and in the vicinity of, said first supporting means, the, or each, heat-exchanger being provided with so-called second supporting means at a level that it only slightly differs from said determined level and so selected as to minimize the stresses resulting from differential expansions of the ducts, said second supporting means being such as to allow said exchanger to move in the horizontal direction of said first duct, said second supporting means further comprising means for restricting the movements of said exchanger in the two directions perpendicular to the direction of said duct, said two directions being at right angles to each other. It will be readily understood that the exchanger is thus allowed to move freely so as to absorb any thermal expansion of the hot liquid metal outlet duct and of the vessel, between the filling temperature of the vessel (viz. about 150.degree. C.) and the temperature of the hot liquid metal (viz. about 530.degree. l C.), under normal operation. Moreover, in order to restrain the exchanger from unacceptably moving, for instance in case of earth tremors, said second supporting means comprise means for restricting the movements of said exchanger along two directions at right angles to that of said duct, said two directions being at right angles to each other. Finally, due to the use, of two separate ducts, viz. one for the "cold" metal and the other for the hot metal, at two different levels, to connect the vessel to each exchanger, the problems of expansion can be more readily controlled. In such a case, the relative position of the exchanger and the vessel is determined by the hot duct exclusively and, this time, the exchanger is supported at a level different from that at which the vessel is supported and calculated in such manner that the torque exerted on said exchanger by the combination of the two ducts be minimized. In addition, since two separate ducts are provided, they can be different from each other: most frequently, it is preferable that the hot conduit be rectilinear and that the "cold" conduit be provided with elbows; finally, safety valves as well as insulating valves are mounted on said conduits, so that, if necessary, each exchanger may operate at its own rate, and even be momentarily isolated from the reactor, which is a substantial advantage, as regards the reactor safety, with respect to the prior art methods, such as disclosed, e.g., in British Pat. No. 985,463. Conveniently, the centers of gravity of the assembly formed by the reactor, the main vessel and the contents thereof, and of the exchanger-pump assembly are situated lower than their supporting levels, which permits to improve the stability of each of said assemblies. Preferably, the nuclear reactor is of the semi-integrated type and it is characterized in that said vessel comprises a so-called main outer vessel provided with said first supporting means, a so-called primary inner vessel, coaxial with the outer vessel, said inner vessel containing the core and being provided with so-called third supporting means constituted by a ring integral with the main vessel inner wall and supporting a further ring integral with the primary vessel outer wall, said third supporting means being at a determined level, in that said first duct opens into said primary vessel above said core and passes through the annular space between said main vessel and said primary vessel, and in that said second duct opens into said annular space under a horizontal partition provided in said annular space. Still preferably, the or each of the heat-exchangers comprises integrated means for causing said liquid metal to circulate in the exchanger, in said ducts and through said core. According to a preferred embodiment, the means for supporting the heat-exchanger are constituted by at least two supporting members diametrically opposed with respect to the vertical axis of the exchanger outer cover, each of said supporting members comprising a horizontal fixed support plate, a horizontal backing plate integral with said exchanger and situated above said support plate, and a first plurality of rollers between said plates, the axes of revolution of said rollers being at right angles to the direction of said tubing. |
abstract | A THz system has a housing configured to minimize both external noise and internal stray THz waves. The housing material is selected according to the frequency range of THz waves to be propagated though the space enclosed by the housing. In general, the housing is made of foam material, such as low relative dielectric constant foam material, especially foam with conductive additives. The relative dielectric constant of foam material is usually approach to 1.0, which may minimize the reflection of THz waves propagating into the housing. The conductive additives may increase the absorption of the THz waves, even other electromagnetic waves, inside the housing. Clearly, by using proper material, such as Expanded Polypropylene (EPP) and/or Styrofoam, with proper conductive additives, such as graphite, carbon, sliver, absorptive particles/dyes, the housing may minimize the interference of undesired stray terahertz-gigahertz waves, even other noise. |
|
description | This application is a continuation-in-part of each of the following U.S. application Nos., all of which are incorporated herein by reference: U.S. Ser. Nos. 09/947,157, 09/946,032, 09/946,095, 09/946,894 now U.S. Pat. No. 6,820,038, 09/947,136, 09/947,024 and 09/946,160, all filed Sep. 4, 2001. This application is also a continuation-in-part of U.S. application Ser. No. 09/825,633, filed Apr. 3, 2001 now U.S. Pat. No. 6,738,748 and a continuation-in-part of U.S. application Ser. No. 09/690,793, filed Oct. 17, 2000 both are incorporated herein by reference. This invention relates to a method and system for managing the maintenance an item of equipment in accordance with a maintenance plan. In the prior art, maintenance of an item of the equipment may occur only after discovery of a defect or deficiency found during an inspection of the equipment. The inspection of the equipment may be performed incidentally to other maintenance activities or the inspection may be part of a scheduled program of maintenance. The scheduled program of maintenance may be organized based upon data or recommendations provided by a manufacturer of the equipment. Prospective maintenance activities may include maintenance, repair, and overhaul activities. Prospective maintenance activities are planned and identified based on one or more prior inspections of equipment. If the inspections are delayed or too infrequent to uncover an actual or future deficiency, a user of the equipment may experience reduced availability of the equipment. For example, an actual or future deficiency may prevent use of the equipment or may result in a failure of the equipment during routine operation. Further, if the maintenance schedule provided by the manufacturer does not accurately reflect the true performance or reliability of the equipment, a user of the equipment may experience unwanted downtime. If the equipment, when properly functioning, is capable of generating revenue, the downtime of the equipment may negatively impact financial results of a business associated with the equipment. Similarly, if the equipment is essential for manufacturing or other uses, the downtime of the equipment may negatively impact financial results of a business associated with the equipment. Accordingly, a need exists for a method or system for maintaining an item of equipment according to a maintenance plan where unwanted downtime of equipment is reduced or eliminated. A configuration defines the identity of the components (e.g., parts), a specification of the components, and the relationship among the arrangement of components of an item of equipment, among other things. Because some components are interchangeable with substitutes, the configuration of the item of equipment may vary throughout a life span of the equipment as maintenance activities (e.g., maintenance, repair, and overhaul) are performed. The configuration of the item of equipment may change because of a revision of product definitions or a review (e.g., a financial and performance review) of the item of equipment. Further, even during the manufacturing process, the manufacturer of the equipment may substitute different components (e.g., parts) from different suppliers to customize the equipment, to meet a certain technical specifications for the equipment, or to save manufacturing costs on the equipment. For example, the manufacturer may change technical specifications of equipment to rectify manufacturing anomalies or to facilitate more reliable production. Thus, standard as-built documentation on the equipment may contain erroneous information on the configuration of the equipment. Maintenance, overhaul and repair personnel may keep few records of the actual configuration of the equipment because of over-reliance on the manufacturer's specifications, manuals, and as-built documentation. Even if configuration records are available, the records may be difficult to use or access. Thus, a need exists for promoting the maintenance of accurate records on equipment-related work with ready access to maintenance, overhaul and repair personnel. In accordance with the invention, a method and system for managing the maintenance of an item of equipment supports the provision of maintenance in a manner which eliminates or reduces downtime of the equipment. Configuration maintenance requirements are determined for maintaining a target configuration of an item of equipment. Predictive maintenance requirements are determined for the item of equipment based on at least one of a longevity estimate, a probability of failure, and a financial analysis. A data processing system plans for the availability of at least one of resources and a component for performing maintenance consistent with the configuration maintenance requirements and the predictive maintenance requirements. As used herein, a maintenance provider shall include any person or business entity that performs or supports maintenance, repair, or overhaul activity (i.e., an MRO activity) for at least one item of equipment. Similarly, as used herein, maintenance, a maintenance activity or a maintenance task shall include at least one of maintenance, repair, and overhaul (i.e., MRO) of an item of equipment or a component of the equipment, unless otherwise noted. A component means a component of an item of equipment, a sub-component of an item of equipment, an assembly of an item of equipment, a system of an item of equipment, or any other constituent part of an item of equipment. A component may be defined based on its relationship with other components in an item of equipment and based upon the context of its use in the equipment. A component may include, but need not include, one or more sub-components. An assembly may comprise a group of integrated or inter-related components. A material refers to a raw material, a consumable material, a component, a provision, or another equipment-related resource concerning the performance of a maintenance activity. In accordance with the invention, FIG. 1 shows a maintenance system 11 for managing maintenance of at least one item of equipment. The maintenance system 11 comprises one or more data sources 10 (e.g., external data sources) that communicate with a data processing system 12. The data processing system 12 cooperates with a storage device 29. The data processing system 12 communicates with one or more management systems 28. A user interface 26 is coupled to the data processing system 12 to allow a user to control and/or monitor the operation of the data processing system 12. One or more data sources 10 provide input information to a data processing system 12. In one embodiment, the data processing system 12 processes the input information to determine output information for supporting maintenance and commercial activities incidental thereto. The data processing system 12 communicates the output information to one or more management systems 28. Each management system 28 facilitates implementation of maintenance consistent with the output information or other commercial activities in furtherance of maintenance. In general, the data sources 10 may include one or more of the following: a supplier data source, an operational data sources, a human resources system, a project/personnel management system, a purchasing system/inventory control system, a financial system, a maintenance management system, a maintenance execution system, a maintenance input/output device, an engineering input/output device, a supervisory input/output device, and any additional input/output device that permits the input of data into the data processing system 12. The data processing system 12 comprises one or more of the following data processing components: a resource planner 14, a universal nomenclature manager 16, a general maintenance controller 18, a predictive maintenance controller 20, a configuration monitor 22, and a communications interface 24. A data processing component may include hardware, software instructions, or both. The resource planner 14 refers to a data processing component for planning the availability of the at least one of the following items for a time interval at a geographic location to facilitate maintenance, repair or overhaul activity: (1) one or more workers, (2) a facility, (3) infrastructure, (4) test equipment, (5) a tool, (6) one or more components, and (7) a resource. The universal nomenclature manager 16 refers to a data management system that manages the translation and/or standardization of data that is used by the data processing system 12 to support maintenance of an item of equipment. The general maintenance controller 18 manages a general maintenance requirement that is not fully addressed by a predictive maintenance requirement and a configuration maintenance requirement. The predictive maintenance controller 20 determines a predictive maintenance requirement, if any, for maintaining an item of equipment. The configuration monitor 22 determines a configuration maintenance requirement, if any, for maintaining an item of equipment. A communications interface 24 supports communications between the data processing system 12 and one or more of the following: a data source 10, a group of data sources 10, a storage device 29, a group of storage devices 29, a management system 28, and a group of management systems 28. One or more storage devices 29 support storage and retrieval of data for the operation of the data processing system 12. The data processing system 12 may input or output the following types of data: configuration definition data, planned maintenance data, maintenance resources data, general maintenance data, and historical maintenance data. In one embodiment, the storage device 29 may allocate storage dynamically or on a dedicated basis by the type of data to be stored. For example, the storage device 29 may allocate the data among one or more of the following storage allocations: a configuration data storage allocation 30, a planned maintenance data storage allocation 32, a resource data allocation 34, a general maintenance data storage allocation 36, and a historical maintenance data storage allocation 38. In an alternate embodiment, the configuration data storage allocation 30 comprises a configuration database; the planned maintenance data storage allocation 32 comprises a planned maintenance database; the resource data storage allocation 34 comprises a maintenance resource database; the general maintenance data storage allocation 36 comprises a general maintenance database; and the historical maintenance data storage allocation 38 comprises a historical maintenance database. The data processing system 12 facilitates integration of maintenance activities including one or more of the following: configuration maintenance, predictive maintenance, general maintenance, unplanned maintenance resource planning, and data management. Configuration maintenance refers to keeping a configuration up to date with engineering changes, technical enhancements, or modifications that are rolled out because of safety, regulatory, performance, or other concerns. Predictive maintenance refers to predicting the potential failure or potential break-down or of a component of an item of equipment and performing maintenance on the item of equipment based on the prediction to reduce or eliminate the down-time and maximize the availability of equipment for use (e.g., commercial use). General maintenance refers to maintenance that is neither predictive maintenance nor configuration maintenance. General maintenance includes at least unplanned maintenance. Unplanned maintenance refers to performing unscheduled maintenance that may be incident to planned maintenance activities or inspections. Unplanned maintenance also refers to performing maintenance to recover from a break-down or an unexpected equipment failure or problem. Resource planning refers to allocating resources (e.g., human resources and components) for a defined time frame and defined geographic location to handle current or prospective maintenance activities. Data management refers to data storage and retrieval, data processing, and communications for support of maintenance activities and other related commercial activities. FIG. 2 shows an illustrative example of the data sources 10 and of the data processing system 12 that may be used to practice the maintenance system 11 of FIG. 1. Like reference numbers in FIG. 1 and FIG. 2 indicate like elements. As illustrated in the example of FIG. 2, the data sources 10 include a supplier data source 44, an operational data source 46 (e.g., an engineering source or a maintenance source), a human resources system 48, a financial system 50, any additional input/output 52, and a monitor 40. The monitor 40 may manually or automatically monitor the operational performance or longevity (e.g., time and/or operational cycle compliance) of a part, an assembly, or the equipment. For example, the monitor 40 may provide a report of assembly longevity data or part longevity data for storage in the planned maintenance data storage allocation 32. In one embodiment, the monitor 40 includes an equipment electrical assembly that monitors the performance, conducts a test, or indicates the status of an item of equipment, a component thereof, or an assembly thereof. In one configuration, the electrical assembly includes a sensor 42 that may obtain data or stored data from the item of equipment and may be integrated into the item of equipment along with the equipment electrical assembly 40. In one embodiment, the additional input/output 52 may include, but is not limited to, a maintenance input/output device an engineering input/output device, a supervisory input/output device or another data input/output device. As shown in FIG. 2, the predictive maintenance controller 20 comprises a predictive maintenance module 61, a timer 58, and a scheduler 60. The predictive maintenance module 61 and the timer 58 input data to the scheduler 60. The timer 58 provides present time or an elapsed duration measured with reference to the installation date of a component in a particular item of equipment. The predictive maintenance module 61 comprises at least one of a longevity estimator 62, a probability of failure predictor 64, and a financial analyzer 66. The predictive maintenance module 61 provides a predictive maintenance requirement based on at least one of a longevity estimate, a probability of failure, and a financial analysis. The longevity 62 estimator provides a longevity estimate for an item of equipment or a component thereof based upon input data (e.g., supplier data). The probability of failure predictor 64 provides a prediction or estimate of the probability of failure a component or an item of equipment based upon input data. The financial analyzer provides an economic analysis of a potential maintenance activity to determine an appropriate maintenance plan based on input data. The predictive maintenance module 61 may obtain the input data from a number of sources including, but not limited to, one or more data sources 10, from the user interface 26 or from both data sources 10 and the user interface 26. In one embodiment, the longevity estimator 62 provides longevity reference data (e.g., a longevity estimate) on a component or an item of equipment to the scheduler 60. Similarly, the probability of failure predictor 64 may provide probability of failure data (e.g., an estimated date of failure or breakdown) on a component or an item of equipment to the scheduler 60. The financial analyzer 66 accesses a maintenance plan of the data processing system 12. For example, the financial analyzer accesses the planned maintenance data in the storage device 29 and may provide a cost estimate for a potential maintenance requirement based at least on one or more of the following: internal cost data on labor, consumable materials, components, assemblies, indirect costs and direct costs. Direct costs are costs or expenses that are directly associated with maintenance or repair, including parts and labor for a component. Indirect costs are costs or expenses that represent fixed capital costs, sunk capital costs, or other costs that are required to support the business entity performing the maintenance and repair. For example, indirect costs include costs for lease of a repair facility, purchasing of a repair facility, or capital improvement expenses for repair facilities. In an alternate embodiment, the supplier of labor, a supplier of consumable material and a supplier of components may provide external cost data on the planned maintenance activity. Accordingly, the financial analyzer 66 may allow the owner or operator of the equipment to veto the planned maintenance activity that is too expensive to be practical given the internal cost data, the external cost data, or both. In one embodiment, the scheduler 60 outputs scheduling data or a maintenance plan to the resources planner 14. In turn, the resource planner 14 may output the maintenance plan, with or without modification, from the resource planner 14 via communication interface 24 for transmission to the management system 28. The resource planner 14 determines whether or not the proposed maintenance plan proposed by the schedule 60 will be adopted or modified to provide a coordinated or integrated maintenance plan. The communication interface 24 may support transmission of the maintenance plan or maintenance data consistent with the maintenance plan via the communications network (e.g., the Internet) to the management system 28. The resource planner 14 coordinates the bringing together of required component data, worker data, tools, instructions, and other information for planned maintenance at a common geographic location where the item of equipment is or will be situated. In the illustrative embodiment of the data processing system 12 of FIG. 2, the resource planner 14 comprises an allocation intermediary 54, a resource availability module 55, and a coordinator 56. The coordinator 56 determines the priority of and integration of maintenance activities based on a predictive maintenance requirement, a configuration maintenance requirement, and a general maintenance requirement for an item of equipment. In one embodiment, the coordinator 56 comprises a reconciliation module for controlling, reconciling, and coordinating predictive maintenance requirements, configuration maintenance requirements, and general maintenance requirements. If the item of equipment is mobile, an additional data storage allocation (e.g., database) storing the location schedule of the mobile mechanical equipment is preferred to carry out the aforementioned coordination. A resource availability module 55 contains temporal data on when corresponding resources are available for performing a prospective predictive maintenance activity. In one embodiment, the resource availability module 55 contains temporal data and related geographic data on performing prospective predictive maintenance activity. A resource availability module 55 manages one or more of the following types of data: worker data, facility data, tool data and component data. The resource availability module 55 provides prospective availability dates, prospective time intervals, or both for one or more of the following: a worker, a facility, a tool, a maintenance task (e.g., a MRO task), a component, a material, and an item of equipment. In one embodiment, the resource availability module 55 tracks worker data that includes worker identifiers, corresponding qualifications of the workers, and respective geographic locations of the workers. The allocation intermediary 54 supports the interaction of the resource planner with the scheduler of the predictive maintenance controller. Because the provision of labor and components are coordinated, workers can work to predetermined schedules, planners can facilitate procurement of components (e.g., replacement parts) by referencing reliable forecasts of required components, additional mechanical equipment, or supplies. The data processing system 12 may foster improved availability of a component for maintenance; improved availability of functional equipment, and timely fulfillment of hiring needs of the maintainer or user of the equipment. The terms of contracts with suppliers may be more firm or certain based on the improved availability and accuracy of forecasts and other information associated with the planned maintenance data outputted by the data processing system 12. Accordingly, the predictive maintenance system of the invention is well-suited for fostering improvements in logistics in the procurement of components (e.g., parts or spare parts) and the assignment of maintenance workers at a planned maintenance time. The universal nomenclature manager 16 manages data to identify components and materials regardless of a manufacturer, supplier, brand, or other source of identity. The universal nomenclature manager 16 facilitates the use of multiple suppliers or manufacturers for a single component to foster availability of components in the event of problems specific to a single manufacturer or region. Further, the universal nomenclature manager 16 facilitates the identification and management of interchangeable components. In general, one or more management systems 28 may comprise any of the following: a purchasing system, an inventory control system, a personnel management system, an enterprise resource planning system of a supplier, an enterprise resource planning system of the operator of an item of equipment, a maintenance management system, a materials management system, and a maintenance execution system. As shown in FIG. 3, the communications interface 24 facilitates communication with one or more of the following management systems 28: a project/personnel management system 68, a purchasing system/inventory control system 70, a maintenance management system 72, and a maintenance execution system 74. Referring again to FIG. 2, the communications interface 24 and the allocation intermediary 54 of the resource planner 14 may support electronic commerce or business-to-business transactions among the operator of the equipment and various trading partners (e.g., supplier of components or repair services.) For example, the communications interface 24, the allocation intermediary 54 or both, may support a data format that is suitable for transmission of the maintenance plan to one or more management systems 28 over a communications network 102 (e.g., the Internet, as shown in FIGS. 9 and 10) via the communications interface 24. The communications interface 24 may comprise buffer memory coupled to a transceiver. The communications interface 24 may support a parallel port, a database interconnection, a serial port, or another computer port configuration. The scheduler 60 or the resource planner 14, or both, determine a maintenance plan or schedule based on resource availability data provided as worker data, facility data, tool data and component data. The maintenance plan is a framework or scheme for performing maintenance (e.g., predictive maintenance) on the equipment. The communication interface 24 provides an interface for allowing the scheduler 60, the resource planner 14, or both to communicate the resultant maintenance plan (or data derived therefrom) over a communication network to a management system 28. In one example, management system 28 may comprise a supplier data processing system, an enterprise resource planning system, or supplier fulfillment center, for example. The supplier data processing system may support the maintenance entity's ordering of components, materials (e.g., consumable materials), tools (e.g., test equipment or diagnostic equipment) and other resources necessary to complete predictive maintenance of the equipment. FIG. 4 illustrates an illustrative set of data storage allocations of a storage device 29. The data storage allocations comprise one or more of the following: a configuration data storage allocation 30, a planned maintenance data storage allocation 32, resource data storage allocation 34, a general maintenance data storage allocation 36, and a historical maintenance data storage allocation 38. In FIG. 4, the storage device 29 refers to any computer storage mechanism that supports a magnetic storage medium, an optical storage medium, an electronic storage medium, or any other suitable storage medium. The configuration data storage allocation 30 stores configuration data which may include any of the following: component data 76, equipment data 78, installation data 80, and desired configuration data 82. In one embodiment, the configuration data storage allocation 30 comprises an actual configuration database, a desired configuration database, an upgrade requirements database, and a supervisory/historical database. The data processing system 12 supports data storage, retrieval, and queries of the databases stored in the storage device 29. The planned maintenance data storage allocation 32 stores data related to planned maintenance or predictive maintenance, for example. The resource data storage allocation 34 stores resource data which may include any of the following: worker data 84, resource requirements data 86, and resource availability profiles data 88. The general maintenance data storage allocation 36 stores general maintenance data which may include planned work probable findings data 90, standard repair data 92, standard repair parts list data 94, maintenance repair and overhaul (MRO) geography definition data 96, and planned work geography locator data 98. The historical maintenance data allocation 38 stores historical data which may include any of the following: historical configuration data 93, historical resource data 95, and historical planned maintenance data 97. With respect to the configuration data storage allocation 30, component data 76 refers to availability dates and time intervals of a component, a sub-component, an assembly, or a system for installation or maintenance activities. The component data 76 may define availability dates or time intervals of the equipment based upon one or more of the following types of information: a supplier lead times, an anticipated shipment date, an anticipated delivery date or receipt of equipment, an outstanding order, an inventory of a component, sub-component, assembly or system, an expected receipt of a component, sub-component, assembly, system, or like information. The material data refers to data on the availability of a raw material, a consumable, a component, a provision, or another equipment-related resource concerning the performance of a maintenance activity. For example, the material data comprises: (1) a material identifier that uniquely identifies a particular material associated with a maintenance activity, (2) a geographic location indicator that indicates the location of the material identifier, and (3) a date or time interval to which the geographic location indicator corresponds. The equipment data 78 defines the availability of a particular item of equipment. For example, the equipment data comprises: (1) an equipment identifier that uniquely identifies a particular item of equipment, (2) a geographic location indicator that indicates the location of the equipment, and (3) a date or time interval to which the geographic location indicator corresponds. The installation date 80 may be associated with corresponding components to determine a length of service of the corresponding component for determination of a predictive maintenance requirement. The desired configuration data 82 may pertain to on one or more items of equipment. The desired configuration data 82 may define a target configuration of an item of equipment or a component for determination of a configuration maintenance requirement. The desired configuration data contains an equipment identifier (e.g., tail number of an airplane) that identifies the entire item of equipment, a part identifier that identifies a part of the item of equipment, an assembly identifier that identifies an assembly of parts of the equipment, a specification description that describes the specification of a part, and a relationship description that describes the relationship of a part to the equipment or a subassembly thereof. For example, the relationship description may include the mounting position of a part on the equipment. In one embodiment, the desired configuration data may include operating restrictions on the equipment because of the presence of a particular part or a particular arrangement of parts of the equipment. In one embodiment, the configuration data in the configuration data storage allocation 30 comprises actual configuration that pertains to one or more items of equipment. The actual configuration data contains actual configuration data on the item of equipment that reflects an actual or present status of the equipment. The actual configuration data includes an equipment identifier (e.g., tail number of an airplane) that identifies the entire equipment, a part identifier that identifies a part of the mechanical equipment, an assembly identifier that identifies an assembly or group of parts of the equipment, a specification description that describes the specification of the part, and a relationship description that describes the relationship of a part to the equipment or a subassembly thereof. For example, the relationship description may include the mounting position of a part on the equipment. In one embodiment, the actual configuration data may include operating restrictions on the equipment because of the presence of a particular part or arrangement of particular parts on the equipment. The actual configuration data, the desired configuration data, and the upgrade requirements data applicable to an item of equipment may vary with time. Accordingly, configuration data on a particular item of equipment may only remain valid for a limited duration. Upon or before expiration of the duration, the configuration is preferably updated. If the contents of the data storage allocations (e.g., databases) are updated with sufficient frequency to avoid outdated configuration data, the update procedure may be referred to as a real-time procedure. The real-time procedure seeks to minimize inaccuracy of the configuration data by reflecting changes to the actual configuration of the item of equipment as the changes occur with a minimal lag time thereafter. Changes to the actual item of equipment may be necessary or proper to facilitate improvement of the actual configuration. Thus, the data processing system 12 and the storage device 29 can support the coordination of maintenance activities (e.g., maintenance, overhaul or repair) in real time on an ongoing basis with the latest actual configuration data and the latest upgrade requirements. To support real-time determination of a maintenance plan or data derived therefrom, maintenance worker, such as a technician or a mechanic who is responsible for maintaining or repairing the item of equipment, enters actual configuration data into the configuration data storage allocation during or after an inspection or servicing of the item of equipment. The inspection may involve a visual inspection, a physical inspection, a mechanical test, an electrical test, disassembly of portions of the item of equipment, or other activities that might uncover defects or nonconformities with respect to the desired configuration. The data processing system 12 updates the actual configuration data in the configuration data storage allocation as soon as possible after the inspection or the servicing of the mechanical equipment to maintain the accuracy of the actual configuration data. With respect to the planned maintenance data storage, the data processor 12 compares the actual configuration to the desired configuration. The difference between the actual configuration and the desired configuration may be referred to as the upgrade requirement. The upgrade requirement, a maintenance plan, or data derived therefrom, may be stored in the planned maintenance data storage 32. The difference may comprise one or more of the following: components, materials, and resources. The upgrade requirement defines the departure of the actual configuration from the desired configuration and indicates the necessary actions to bring the equipment into conformity with the desired configuration. The data processor 12 determines the upgrade requirement. The data processor 12 may express the upgrade requirement in terms of (1) a component requirement (e.g., part, assembly or subassembly requirement) and (2) a human resource requirement that is required to bring the actual configuration in conformity with the desired configuration. The upgrade requirements may contain part identifiers of old parts that require updating of a particular mechanical equipment to gain compliance with the desired configuration. The component requirement is not limited to electrical or mechanical hardware. For example, the component requirement may include modifications of software features and software instructions that are associated with or integral to the functioning of the mechanical equipment. The human resource requirement may include the scheduling of one or more maintenance workers (e.g., technicians and mechanics) to install, repair, adjust, reconfigure, replace or otherwise perform a service associated with the component requirement for the mechanical equipment. With respect to the resource data storage allocation 34, worker data 84 may comprise worker qualifications data, worker geographic location associated with corresponding workers, certifications of workers, experience of workers, worker schedules, and worker availability. The resource requirements data 86 and resource availability profiles data 88 may comprise facility data and tool data, for example. Facility data provides the availability of space for repairing, maintaining, or overhauling equipment. Further, facility data refers to infrastructure at respective facilities for performing certain types of maintenance, repair and overhaul activities on the equipment. Tool data refers to availability dates and time intervals of certain tools to complete corresponding maintenance, repair or overhaul of the equipment. A tool may refer to any device that assists a worker in performing or conducting a maintenance activity on equipment. For example, a tool may comprise a hand tool, a power tool, test equipment, diagnostic equipment, a test fixture, or the like. The general maintenance data storage allocation 36 contains general maintenance data that may be integrated with predictive maintenance requirements, configuration maintenance requirements, or both to form a comprehensive maintenance plan. The historical configuration data storage allocation 38 retains the historical records of prior configuration alterations. The historical configuration data storage tracks historic configurations of the item of equipment and any associated failure or defect with historic configurations. A description of the failure or defect, a date of detection of the failure of defect, determined causal factors from failure analysis activities, and resolution or repair of the failure or defect are preferably noted in historical maintenance data storage allocation 38. The foregoing failure or defect data may supplement or be used to update mean-time-before failure (MTBF) data provided by the manufacturer on parts or assemblies of the equipment. In turn, the updated MTBF may be used to change the desired configuration with input from engineering over the engineering input/output device 12. FIG. 5 is a block diagram of a maintenance system that shows an illustrative group of the logical and/or physical data paths 13 between different elements of the data processing system 12 and the storage device 29. Although FIG. 5 illustrates certain logical and/or physical data paths 13, the elements of the maintenance system may interact or communicate with one another in any manner otherwise supported by this disclosure. In the exemplary embodiment of FIG. 5, the universal nomenclature manager 16, the general maintenance controller 18, the predictive maintenance controller 20, the configuration monitor 22, and the communications interface 24 communicate with the resource planner 14. The resource planner 14 may communicate with the resource data storage allocation 34 and the historical maintenance data storage allocation 38. The universal nomenclature manager 16 may communicate with the configuration data storage allocation 30. The general maintenance controller 18 may communicate with the general maintenance data storage allocation 36 and the historical maintenance data storage allocation. The predictive maintenance controller 20 may communicate with the planned maintenance data storage allocation 38. The configuration monitor 22 may communicate with the configuration data storage allocation 30 and historical maintenance data storage allocation 38. Any of the embodiments of the maintenance system shown in FIG. 1 through FIG. 5 may perform predictive maintenance in the following manner. As sown in FIG. 2, the communications interface 24 may collect performance data from one or more of the following: a supplier data source 44, an operational data source 46 and a human resources data source 48. In one embodiment, the communications interface 24 may collect performance data from an item of equipment where the item of equipment has a sensor 42 or a monitor 40 for feeding performance data to the communications interface 24. The communications interface 24 may analyze, format, or process the performance data into a format which is suitable for interpretation by the predictive maintenance module 61. The predictive maintenance module 61 predicts a maintenance activity and an associated time interval for the maintenance activity based upon the performance data with respect to a defined performance standard. The combination of an identified maintenance activity and a corresponding time frame, or date for performance of the maintenance activity, represents one example of a maintenance plan. A user may enter the performance standard data into the performance standard storage via a user interface 26 associated with the data processing system 12. The user interface 26 may accept entry into via a graphical user interface, a keyboard, a pointing device, a magnetic medium, an optical medium, or otherwise. The predictive maintenance module 61 manages the storage and retrieval of at least one performance standard in the planned maintenance data storage 32 or the configuration data storage 30. As seen in FIGS. 2, 4 and 5, the predictive maintenance module 61 may access component data 76 in the configuration data storage 30 or elsewhere. The component data 76 may comprise a component identifier and other affiliated information, such as whether particular component identifier complies with the performance standard. Further, the configuration data storage 30 may contain any of the following information: a preferable future date for performing predictive maintenance; a time-frame for performing predictive maintenance; a preliminary schedule for scheduling predictive maintenance; an assembly identifier for the identifying an assembly associated with a component, and item identifier for identifying an item of equipment associated with the component, and any other applicable data on a component or an end item of equipment. Information on tentative time frames for performing predictive maintenance may be refined by the scheduler 60, the resource planner 14, or both. Information on relationships between revisable components and related sub-components, a related assembly, or a related system may be used to define the requisite scope of the revision of the maintenance plan. The predictive maintenance controller 20 may manage the storage of reference performance data on a component of an assembly, a system, an assembly, or the equipment consistent with at least one performance standard. The predictive maintenance controller 20 accepts the collected or observed performance data on the component, an assembly, or equipment and performs a comparison against a reference performance data standard based upon a component, an assembly, or the equipment. If the collected or observed performance data deviates by a material amount or significant amount from a reference performance data of the performance standard, then any affected component, assembly, system or sub-component is identified as suspect. A suspect item requires attention or predictive maintenance to be scheduled. For example, if the collected performance data is noncompliant with the reference performance data of the performance standard, based upon the equipment as a whole, the affected components or assembly of the equipment may be flagged as suspect components. In one embodiment, a user may clear a suspect status by entering an acknowledgement via the user interface 26. Where the reference performance data refers to the equipment, as a whole, the performance standard relates to the equipment as whole. The identification of components to be replaced or maintained in that equipment may be based upon historical relationships between the particular deficiencies in the collected performance data and likely or probable suspected components. The predictive maintenance controller 20 determines a preliminary maintenance schedule for performing predictive maintenance. The preliminary maintenance schedule comprises a preliminary proposed date or a preliminary proposed time interval for performing a maintenance activity (e.g., maintenance, repair or overhaul, which may be referred to as MRO) on a suspect sub-component, suspect component, suspect assembly, suspect system, or suspect item of equipment. In one embodiment, referring to FIG. 2, the scheduler 60 accepts input from both the predictive maintenance module 61 and the resource availability module 55. The scheduler 60 may accept the input of suspect component data and a preliminary maintenance schedule derived from the comparison of the predictive maintenance module 61 of collected performance data to reference performance data. The scheduler 60 accepts the input of the preliminary proposed date or preliminary proposed time interval for predictive maintenance and determines a resultant date or a resultant time interval for performing predictive maintenance based upon a consideration of the resource availability data as related to the suspect data. The scheduler 60 preferably reconciles the preliminary maintenance schedule with temporal resource availability of one or more of the following: workers, facility, tools, components, materials (e.g., a consumable material or a raw material), and equipment. The scheduler 60 may revise the preliminary maintenance schedule (e.g., the preliminary proposed maintenance date or time interval) to a resultant maintenance schedule (e.g., a resultant maintenance date or time interval) if at least one of the resources is not available consistent with the preliminary maintenance schedule. However, the scheduler 60 may consider substitution of alternate components prior to revision of the preliminary maintenance schedule. Accordingly, the resultant maintenance schedule may be the same as the preliminary maintenance schedule, if all of the resources are available per the preliminary maintenance plan or if an alternate component can be substituted to preserve a preliminary maintenance schedule. The resultant maintenance schedule assures availability of all necessary resources to perform a maintenance activity on the resultant date or at the resultant time interval. The resource planner 14 coordinates the maintenance schedule of the predictive maintenance requirement with one or more of the following: any general maintenance requirement and any configuration maintenance requirement for the same item of equipment or a similar item of equipment. For example a similar items of equipment may share the same component that requires replacement or may require servicing at a particular facility. The maintenance plan or data derived therefrom may be communicated to a management system 28 in accordance with several alternate techniques. Under a first technique, subject to the approval of the resource planner 14, the scheduler 60 may communicate the maintenance plan via the communications interface or via the resource planner and the communications interface 24. Under a second technique, the resource planner communicates a maintenance plan or data derived therefrom to a management system 28 via a user interface 26. To schedule predictive maintenance, the predictive maintenance controller 20 may contact the resource planner 14 to determine whether components, materials, workers, and other resources required for the upgrade are, will be, or can be available at a scheduled maintenance time and scheduled maintenance location. The predictive maintenance controller 20, the resource planner 14, or both maintain the availability and reliability of the equipment, to meet a financial objective, to comply with safety requirements, or for other reasons. The resource planner 14 plans for the availability of one or more workers, facilities, infrastructure, components, or other resources for a time interval at a common geographic location to facilitate maintenance, repair or overhaul activity. Configuration Maintenance In any of the embodiments of the maintenance system shown in FIG. 1 through FIG. 5, configuration maintenance may be performed in the following manner. At regular or scheduled intervals, the configuration monitor 22 monitors the existing configuration of an item of equipment to determine whether the item of equipment deviates from a target configuration. The target configuration may be stored in the configuration data storage allocation 30, for example. If the existing configuration differs from the target configuration, the configuration monitor 22 determines that configuration maintenance is needed for the subject item of equipment. Accordingly, once the configuration monitor 22 determines that configuration maintenance is needed for a particular item, the configuration monitor 22 determines the configuration maintenance requirement. The configuration maintenance requirement may be forwarded to the resource planner 14 for coordination of maintenance activities. The coordination may include integration of the configuration maintenance requirement or another maintenance requirement. To schedule configuration maintenance, the configuration monitor 22 may contact the resource planner 14 to determine whether components, materials, workers, and other resources required for the upgrade to the target configuration are, will be, or can be available at a scheduled maintenance time and scheduled maintenance location. The existing configuration is updated to the target configuration to maintain the availability and reliability of the equipment, to meet a financial objective, to comply with safety requirements, or for other reasons. General Maintenance Any of the embodiments of the maintenance system shown in FIG. 1 through FIG. 5 may provide general maintenance for an item of equipment in the following manner. The general maintenance controller 18 supports maintenance (i.e., one or more general maintenance requirements) that does not fall under predictive maintenance and configuration management. For example, the general maintenance supports maintenance requirements for one or more of the following reasons: (1) unexpected failure or impairment of an item of equipment or a component thereof, (2) a defect discovered incidental to an inspection of an item of equipment or a component thereof, (3) a defect discovered incidental to a test of an item of equipment or a component thereof, (4) damage to a component or impairment of the item of equipment for any reason, including accidental damage, and (5) any unplanned maintenance requirement. The general maintenance controller 18 supports catch-all maintenance activities as a fallback mechanism where the predictive maintenance requirements and the configuration maintenance requirements may not have initially allocated the necessary resources necessary to perform the desired maintenance (e.g., make a repair). Nevertheless, the general maintenance controller 18 coordinates with the resource planner 14 in a manner that may dynamically reallocate planned resources from secondary items of equipment to meet an unexpected maintenance contingency for a primary item of equipment. Further, the general maintenance controller may reserve a pool of resources for unexpected maintenance activities based upon historical patterns of maintenance activity for particular items of equipment or otherwise. The historical patterns of maintenance activity may be stored as historical maintenance data 97 in the historical maintenance data storage 38, as shown in FIG. 4. Integrated Maintenance The resource planner 14 receives one or more of the following: configuration maintenance requirements from the configuration monitor 22, predictive maintenance requirements from the predictive maintenance controller 20, general maintenance controller 18, procurement data on component availability, human resources data on human resources availability, operational data on maintenance resource availability, input data, and any other data associated with maintenance. The resource planner 14 coordinates and harmonizes one or more of the following: configuration maintenance requirements, predictive maintenance requirements, and general maintenance requirements. In one example, predictive maintenance and configuration maintenance activities may be combined into a single maintenance session, rather than bringing in an item of equipment in for repeated maintenance. For instance, the predictive maintenance controller determines what predictive maintenance is scheduled for the particular item and whether the existing configuration can be updated to the target configuration during the scheduled maintenance, or at some other time. Independent from the coordination of predictive maintenance and configuration management, the resource planner 14 may integrate or accumulate the requirements for components for one or more items of equipment to place aggregate orders for required components with one or more suppliers via management systems 28. Accordingly, the resource planner 14 may support centralizing of the acquisition of components and materials to drive the acquisition of larger volumes of components and lower costs than would otherwise be possible. FIG. 6 shows a method for managing the maintenance of an item of equipment in accordance with the invention. The method of FIG. 6 begins with step S50. In step S50, the data processing system 12 or the configuration monitor 22 determines a configuration maintenance requirement for maintaining a target configuration of an item of equipment. In one embodiment, the configuration maintenance requirement may be determined in accordance with the following procedure. First, the data processing system 12 supports establishing the target configuration of the item of equipment based on a design objective of the item of equipment. The design objective may be based on at least one of a safety concern, a regulatory concern, reliability, and performance. Second, the configuration monitor 22 evaluates an actual configuration of the item of equipment. Third, the data processing system 12 determines if the actual configuration complies with the target configuration. Fourth, if the actual configuration is noncompliant, the configuration monitor 22 or the data processing system 12 establishes the configuration maintenance requirement to maintain the target configuration is defined. In step S50, the target configuration may be updated based on engineering change, to facilitate compliance with a regulatory requirement, or for another reason. For example, an engineering change may be entered via a data source 10 or via the user interface 26. In step S52, the data processing system 12 or the predictive maintenance controller 20 determines a predictive maintenance requirement. The predictive maintenance requirement for the item may be determined based on one or more of the following: a longevity estimate, a probability of failure, and a financial analysis. The longevity estimate and the probability of failure may apply to a component of an item of equipment or a particular item of equipment. The financial analysis may apply to one or more of the following: maintenance activity, a component, and an item of equipment. Step S52 may be carried out in accordance with various alternate procedures. Under a first procedure, the determination of a predictive maintenance requirement comprises estimating longevity of a component based on a historical longevity of at least one of the component and an analogous component. The determining of a predictive maintenance requirement may be based upon estimating a remaining life span of a component by determining a usage time span between an installation date of the component and a subsequent date, and deducting the usage time span from the longevity for the corresponding component. Under a second procedure, the determination of the maintenance requirement comprises estimating a probability of failure of a component based on a historical probability of failure of at least one of the component and an analogous component. Under a third procedure, the determination of the maintenance requirement comprises estimating a financial impact of a component based on a historical impact of at least one of the component and an analogous component. Under a fourth procedure, the data processing system 12 or the predictive maintenance controller 20 evaluates tracked performance data on at least one of a particular component and the item of equipment with respect to a defined performance standard. Based on the evaluation, the data processing system 12 or the predictive maintenance controller 26 predicts at least one required maintenance activity. In step S54, the data processing system 12 or the resource planner 14 plans for the availability of at least one of resources and a component for performing maintenance consistent with the configuration maintenance requirement and the predictive maintenance requirement. In general, step S54 may comprise scheduling and bringing together at least two of the following resources at a specific time and place: a requisite component, technical instructions, supporting equipment, acceptance criteria and procedures, tools, and repair personnel. For example, step S54 includes obtaining the component for the target configuration and scheduling human resources consistent with availability of the component. Further, the data processing system may establish a universal representation of components to facilitate at least one of the acquisition of components from multiple sources, interchangeability of components, and tracking of component utilization. The scheduler 60, the resource planner 14 or both may participate in scheduling and bringing together of resources in a coordinated manner consistent with a maintenance plan. In step S54, the planning of the resource planner 14, the scheduler 60 or both, may be accomplished in accordance with various alternative techniques or a combination of various alternate techniques. Under a first technique, the planning involves scheduling performance of the required maintenance activity at a defined respective time based upon a prediction of the predictive maintenance requirement. For example, the predictive maintenance controller 20 may determine a predictive maintenance requirement. The resource planner 14 may coordinate the predictive maintenance requirement with other maintenance activity for the item of equipment. Under a second technique, the planning involves scheduling performance of the required maintenance activity based on the configuration maintenance requirement. For example, the configuration monitor 22 may determine an upgrade requirement for upgrading the actual configuration to the target configuration if the actual configuration is noncompliant. The resource planner 14 may coordinate the upgrade requirement with other maintenance activity for the item of equipment. Under a third technique, the planning involves planning for the acquisition of at least one of the resources and the component consistent with the configuration maintenance requirement of step S50 and the predictive maintenance requirement of step S52. Under a fourth technique, the planning involves planning for the delivery of at least one of the resources and the component for a time interval at a common geographic location. The planning of maintenance, repair and overhaul activities of step S54 of FIG. 6 may be executed in accordance with several alternative techniques that are not mutually exclusive. In accordance with a first technique, the planning of step S54 includes procuring a required part for the desired configuration and scheduling human resources consistent with availability of the required part. In accordance with a second technique, step S54 includes procuring the required assembly for the configuration and scheduling human resources consistent with the availability of the required assembly. In accordance with a third technique, step S54 includes providing part level data on the mechanical equipment to a user via an input/output device (e.g., maintenance input/output device 10) for a given point in time within a usable life of the mechanical equipment. In accordance with a fourth technique, step S54 includes providing a serial number of a component of the mechanical equipment at any given point in time for a given point in time within its usable life to manage the at least one of the safety, reliability, and performance. In accordance with a fifth technique, step S54 may include scheduling and bringing together at least two of the following items at a specific time and place: requisite parts, technical instructions, supporting equipment, acceptance criteria and procedures, tools, and repair personnel. FIG. 7 shows step S50 in greater detail than FIG. 6. For example, step S50 of FIG. 6 may comprise steps S20 through S26 of FIG. 7. In step S20, a desired configuration of an item of equipment is established based on an engineering design or objective that meets a reliability, safety, or performance goal of the item of equipment. For example, the engineering design may be configured to meet a reliability goal based on statistical failure data on parts, assemblies, or the entire item of equipment. One or more data sources 10 may provide input information or desired configuration data for a particular item of equipment. In one embodiment, the user of the engineering input/output device may wish to update the previously desired configuration with a current desired configuration because of an engineering change. A user of the engineering input/output device (e.g., a computer work station) enters a desired configuration of the mechanical equipment into the configuration data storage allocation (e.g., desired configuration database) based on compliance with one or more of the following criteria: technical specifications, reliability, availability of equipment, safety regulations, regulatory requirements, and performance requirements. In one example, the user may enter an update of the desired configuration into the engineering input/output device to foster compliance with a new technical standard. In another example, the desired configuration may be updated on regular basis after an evaluation of reliability feedback on the item of equipment, an assembly thereof, or a part thereof. In yet another example, a user of the engineering input/output device may establish the desired configuration based upon operational performance of a part, an assembly, a component, or an entire mechanical equipment. An update to the desired configuration may involve replacing an old part having a lesser longevity with a substituted part having a greater longevity. Likewise, an update to the desired configuration may involve replacing an old assembly having a lesser longevity with a substituted assembly having a greater longevity. In step S22, an actual configuration of the item of equipment is evaluated based on an inspection or reference to an observed configuration data on a particular item of equipment or an up-to-date actual configuration data in the configuration data storage 30. In one embodiment, the configuration data storage allocation 30 comprises an actual configuration databases. The actual configuration database may be referred to as an as-maintained database because the database generally indicates the as-maintained or current condition of the item of equipment. An actual configuration database may be considered up-to-date if an inspection (of the particular item of equipment) was recently conducted within a maximum time interval from the present time. The actual configuration may be determined or verified based on disassembly and inspection of at least a portion of the particular item of equipment. A user of the maintenance input/output device (e.g., computer work station) enters an actual configuration of the item of equipment into the actual configuration database based on a physical inspection, a visual inspection, a test of the mechanical equipment, or reference to an up-to-date actual configuration database. In step S24, the data processor 30 determines if the actual configuration complies with the desired configuration for the item of equipment. For example, the data processing system 12 or the configuration monitor 22 determines compliance based upon the logical and physical configuration of the item of equipment expressed as configuration data with respect a particular time. The data processing system 12 retrieves records of configuration data from the configuration data storage 30. For example, the data processing system 12 retrieves records of configuration data from the actual configuration database and the desired configuration database that are associated with the same item of equipment. The configuration data is generally time sensitive. The actual configuration and the desired configuration may be associated with time stamps to facilitate an evaluation of the latest condition of the item of equipment. The time stamps may indicate absolute time or a relative time relationship between the actual configuration and the desired configuration data. The data processing system 12 may query or search the configuration data storage allocation (e.g., actual configuration database and the desired configuration database) by equipment identifier, assembly identifier, or part identifier to retrieve records for the same mechanical equipment. The records may be organized as files or another suitable data structure. Once one or more records for the item of equipment are retrieved, the data processing system 12 may compare data records on a record-by-record basis, where the compared records of configuration data are associated with substantially the same time period. The data processing system 12 identifies and flags differences in the physical and logical configuration of the mechanical equipment between actual configuration data and the desired configuration data associated with the same time period. If the data processing system 12 determines that the actual configuration does not comply with the desired configuration, the method continues with step S26. However, if the data processor 30 determines that the actual configuration does comply with the desired configuration, the method ends with step S28. In step S26, the data processing system 12 or the configuration monitor 22 plans upgrade requirements to upgrade the actual configuration to the desired configuration if the actual configuration is noncompliant. The data processing system 12 may determine the upgrade requirements by comparing the actual configuration to the desired configuration with regards to physical, logical, and time characteristics associated with the actual configuration and the desired configuration. For example, the data processing system 12 may identify a component (e.g., part or subassembly) of the item of equipment that is noncompliant (physically, logically or temporally) with the desired configuration. The identified noncompliant component may be stored in the planned maintenance data storage 32, the configuration data storage allocation on elsewhere along with an associated equipment identifier for the particular item equipment. Further, the upgrade requirements or configuration maintenance requirement may contain requisite labor requirements, modification instructions, supporting tool and equipment information, and acceptance criteria to install the identified noncompliant component and achieve the desired (i.e., upgraded) configuration. The configuration monitor 22 may send the configuration maintenance requirement to the resource planner 14 or coordinator 55. The configuration maintenance requirement may include identified part number, a requirement date, and other informational elements required to achieve the upgrade configuration, or both to the materials management system 36. Following step S26, the method may continue with step S54 of FIG. 6. In step S54 of FIG. 6, planner 14 or coordinator 55 may coordinate or reconcile one or more of the following: predictive maintenance requirements, configuration maintenance requirements, and general maintenance requirements. The configuration maintenance requirement may include scheduling data and planning data for bringing together resources, such as the requisite parts, tools, equipment for support of the effort, modification instructions for the human resources, acceptance criteria with testing equipment and repair personnel at a specific time and place to complete upgrade requirements to achieve the desired configuration. FIG. 8 shows one illustrative set of procedures for carrying out step S52 of FIG. 6. The method of FIG.8 begins in step S10. In step S10, performance data is tracked on at least one particular component of the equipment. For example, the data processing system 12 may collect or gather performance data on a component of the equipment, an assembly of components, or the equipment as a whole. The data processing system 12 may gather or collect performance data from an operational data source 46 or a human resources data source 48. The operational data source 46 may represent a monitor or a sensor associated with the equipment for measuring a particular component, an assembly, or the performance of the equipment as a whole. In the method of FIG. 8, the particular component may be defined based on its relationship to other components the mounting position or geographic position of the particular component on the equipment and the context of the use of the particular component. The human resources data source 48 may be associated with workers that enter data into a database or a user interface 26 on the performance of a component, an assembly, or on the equipment as a whole. The operational data on the equipment that is entered via a user interface (e.g., 26) or provided by the human resources data source 48 may be gathered by inspection of one or more of the following: sensors, monitors or other inspection of the equipment. The observed or collected performance data or operational data may be provided by the operational data source 46, the human resources data source 48, or both. In step S12, the data processing system 12 predicts at least one required maintenance activity based upon the collected or observed performance data with respect to a defined performance standard. For example, the predictive maintenance controller 20 of the data processing system 12 predicts at least one required maintenance activity if the performance data indicates that a defined performance standard has not been met. The defined performance standard may be defined such that noncompliance indicates that predictive maintenance is required or that predictive maintenance is necessary at some future date or over some future time interval to achieve a certain reliability or availability of the equipment for productive use. In one embodiment, the performance standard is defined as a measurable achievement of a required specific task within limits established by design calculations or in-service observations. A defined performance standard may be based on one or more of the following: a compliance factor, a safety factor, a quality factor, an economic factor, and any other suitable factor. The predictive maintenance controller may store performance standard data and component data to compare to the collected or observed performance data from the data sources 10. In step S14, the data processing system 12 schedules performance of the required maintenance activity based upon the predicting to define a predictive maintenance requirement. The predictive maintenance requirement may comprise one or more of the following: a predictive maintenance activity, a time frame or date for performing the predictive maintenance activity, one or more components, and resources. The scheduler 60 of the data processing system 12 may schedule performance of the required maintenance by considering the component data identifier, the maintenance identifier and any associated maintenance date as an input to the scheduler 60. The resource availability module 55 may provide availability data to one or more of the following inputs to the scheduler 60: worker data, facility data, tool data, and component data. In one embodiment, the resource availability module 55 provides the availability of a component, a facility, a tool, a worker, an assembly and the equipment to perform maintenance at a perspective date and at a perspective location. Following step S14, the scheduler 60 may facilitate communication of the predictive maintenance requirement to the resource planner 14 or the coordinator 56. The method may continue with step S54 of FIG. 6. The coordinator 56 may coordinate the following: predictive maintenance requirements, configuration maintenance requirements, and general maintenance requirements to form a resultant maintenance plan. The communication interface 24 may communicate the resultant maintenance plan to a management system 28 (e.g., resource allocation data processing system) via a communications network. In one example, management system 28 may contact a worker, a manager of a facility or another manager of a resource to coordinate the availability of resources necessary to meet or comply with the resultant maintenance plan. FIG. 9 and FIG. 10 show illustrative examples of how a data processing system 12 may be used in conjunction with a communication network 102 to integrate various aspects of predictive maintenance in accordance with the invention. In FIG. 9, a data processing system 12, the operational data source 46, the human resources data source 48, the resource allocation system 104, and the purchasing system 70, may be co-located at a common site or in close proximity to one another. In contrast, the supplier data source 44 may communicate with the data processing system 12 over a communication network 102. The supplier data source 44 may be located at a supplier site. A supplier site refers to any site that is owned or controlled by the supplier of a component, an assembly, a system or the equipment. Similarly, a supplier data processing system 106 (e.g., an order fulfillment center) may be located at the supplier site. The purchasing system 70 communicates with the supplier data processing system 106 over communication network 54. The communication network 102 may comprise the Internet and intranet, a communication system that supports a switched circuit connection, a communication system that supports a virtual connection, a data packet network, or another suitable telecommunication interconnection. The resource allocation system 104 may facilitate communications with workers for performing maintenance. The resource allocation system 104 may also facilitate the distribution of components supplied by the supplier internally within a maintenance provider (e.g., an MRO organization). For example, the resource allocation processing system 104 may support the logistics involved with distributing inventory of the maintenance organization internally to multiple (maintenance, repair or overhaul) facilities located in geographically disparate or widely separated regions. Accordingly, resource allocation system 104 may consider a transportation cost, freight cost, custom duties, scheduling of shipments, packaging of shipments, and other activities which are necessary to insure that timely and accurate provision of material, tools and the availability of workers. The resource allocation system 104 assures that repairs may be carried out and maintenance may be carried out without disruption from the unavailability of a qualified worker, a suitable facility, a requisite tool, a requisite component, a requisite consumable or any other information within the time frame of scheduled by the scheduler 60 for performance of the predictive maintenance. In FIG. 10, the data processing system 12 is coupled to at least one of a resource allocation data processing system 104 and a supplier data processing system 106 via a communications network 102. Like reference numbers in FIG. 9 and FIG. 10 indicate like elements. The communications network 102 may refer to the Internet, an intranet, a data packet network, a public switched telephone network, a circuit-switched telecommunications network, or some other telecommunications network. The supplier data source 44, the operational data source 46 and the human resources data source 48 communicate with the data processing system 12 over one or more communication network 102. The data processing system 12, the purchasing system 70 and the personnel management system may be co-located. The purchasing system 70 preferably communicates with the supplier's data processing system 106. The data processing system 12 supports electronic communication with the supplier data source 44. The electronic communication may facilitate reduced costs in maintaining one or more items of equipment through the availability of useful information on at least one of maintenance, repair, or overhaul (e.g., MRO) of equipment. For example, useful information may include performance data or manufacturing data. Performance data or manufacturing data may be made available to the data processing system 12 to foster determination of an accurate preliminary schedule or resultant schedule for predictive maintenance on the equipment. The purchasing system supports communication with the supplier data processing system 106, such as a supplier order fulfillment center. Communication between the purchasing system 70 and the supplier data processing system 106 may be automated to facilitate the acquisition of component, an assembly of components, of system of components, or other materials to meet planned maintenance activity or maintenance requirements. The operator or user of the equipment may reduce the downtime for the equipment by predicting potential failures of the equipment or breakdowns of the equipment in advance of such breakdowns or failures actually occurring. Maintenance activities are preferably performed in a proactive manner, as opposed to, a reactive manner after a defect or deficiency in the equipment has been identified through an inspection. The communication network 102 of FIG. 10 supports a distributed entity or maintenance provider that manages predictive maintenance of the equipment. A distributed entity or maintenance provider may have human resources (e.g., maintenance workers) and components of equipment that may be located at different geographic locations. Further, the equipment may be mobile or portable with geographic locations that change over time during normal use of the equipment (e.g., where the equipment represents a passenger airplane). The data processing system 12 (e.g., the resource planner 14) may use the preliminary schedule and the resource availability data provided as worker data, facility data, tool data, and component data to generate a resultant schedule or planned maintenance plan for performing predictive maintenance on the equipment. The resultant maintenance plan may comprise a scheme that includes one or more of the following elements: a predictive maintenance requirement, a configuration maintenance requirement, and a general maintenance requirement. The communication interface 24 provides an interface for allowing the data processing system 12 to communicate the resultant maintenance plan over a communication network 102 to a supplier data processing system 106, a resource allocation data processing system 104, or the like. The supplier data processing system 106 may comprise an enterprise resource planning system or supplier fulfillment center, for example. The supplier data processing system 106 may support the maintenance entity's ordering of components, materials (e.g., consumable materials), tools (e.g., test equipment or diagnostic equipment) and other resources necessary to complete predictive maintenance of the equipment. Referring to FIG. 10, the resource allocation system 104 may communicate with a wireless or landline communications infrastructure 108 via a communication network 102. The wireless or landline communication infrastructure 108 may be associated with the first worker terminal 110 through an nth worker terminal 112. The worker terminals (110, 112) represent electronic terminals of the workers who are responsible for maintaining the equipment. For example, each worker terminal (110, 112) may represent a mobile phone, a mobile terminal with a display, a pager, a personnel digital assistant, or another communications device. In one embodiment, the worker terminals (110, 112) and the wireless communications infrastructure (108) supports a two-way messaging interface. Accordingly, the workers can interact with the resource allocation system to provide real time availability information and to check on future assignments of planned maintenance. The foregoing description of the method and system describes several illustrative examples of the invention. Modifications, alternative arrangements, and variations of these illustrative examples are possible and may fall within the scope of the invention. Accordingly, the following claims should be accorded the reasonably broadest interpretation, which is consistent with the specification disclosed herein and not unduly limited by aspects of the preferred embodiments disclosed herein. |
|
abstract | A discharge apparatus usable in a nuclear reactor includes an emitter apparatus in the form of a plurality of wire segments that emit electrons via beta decay to a collector. The rate at which the electrons are emitted is directly related to the neutron flux in the vicinity of each wire segment. The continual emission of electrons from the wire segments to the collector results in a charge imbalance, and an electrostatic discharge event in the form of a spark occurs between the wire segment and the collector. Time-of-flight techniques are used to analyze signals that result from the electrostatic discharge event to determine the position along the discharge apparatus where the electrostatic discharge event occurred. The electrostatic discharge events over time at various locations along the discharge apparatus where the wire segments are situated are employed in determining the neutron flux and thus the power generation at the locations. |
|
041772415 | abstract | A process for recovering compounds of enriched nuclear fuel from scrap materials is disclosed. The process yields an acid solution with the nuclear fuel dissolved therein. Except for spent filter media, the scrap materials are calcined to produce an oxidized material, which is reduced to a particulate material and leached in an acid bath that contains some recycled acid to yield an acid solution of the nuclear fuel material. The scrap materials comprised of spent filter media are mulched and contacted with the acid solution. The insoluble materials are separated from the acid solution, and at least a portion of the acid solution is recycled to the leaching step, while the remainder of the acid solution is collected for subsequent treatment to recover the dissolved nuclear fuel material. Apparatus for performing the foregoing process is also disclosed. |
055330746 | summary | BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates generally to measurement and monitoring of the coolant level in nuclear power reactors when the reactor is depressurized, such as during an outage for maintenance, inspection and refueling of the reactor. 2. Discussion of Background Commercial, nuclear power reactors use water for three distinct purposes: for heat transfer, for neutron moderation to facilitate fission, and for radiation shielding. During reactor operation, the water, acting as a heat transfer medium, or "coolant," captures the energy generated by the fissioning of nuclear fuel as heat. In its role as a neutron moderator, the water molecules slow down the high energy neutrons released in a fission event so that they are more likely to cause a next fission event in a sequence of fission events, which sequence is the so-called chain reaction that enables the reactor to continue to produce power. Water shields workers from radiation from "spent" or used nuclear fuel stored at reactors in "pools" and from radiation emanating from fuel in the core when the part of the core is being replaced during a refueling outage. Reactors are generally pressurized during operation so that, at reactor temperatures, the coolant remains liquid, or at least partly liquid. Some reactors, called pressurized water reactors (PWRs), operate at pressures sufficiently high so that the coolant remains a liquid and does not turn to steam. Upon leaving the pressure vessel, the heated coolant is conducted to a heat exchanger where it transfers the heat it absorbed from the fuel to a second water system at lower pressure. The water in the secondary water system flashes to steam for use in driving stream turbines. Other reactors, called boiling water reactors (BWRs), operate at somewhat lower pressures than PWRs so that two phase flow--water and steam--occurs directly in the reactor vessel. The steam is extracted from the pressure vessel and conducted from there to turbines. In both kinds of reactors, the coolant is circulated using coolant pumps and is kept at operating elevated pressure using pressurizers. During a reactor refueling outage, the reactor pressure vessel is depressurized and the reactor head is removed for access to the core of nuclear fuel and vessel internal components and structures. Fuel in the core is replaced and maintenance and inspections of the reactor system can be performed during this time. Although the coolant level is lowered, the nuclear core in the pressure vessel is kept covered with coolant to remove "decay heat." Nuclear fuel, even after the chain reaction has been stopped, still generates and radiates considerable heat from the radioactive decay of the fission fragments locked inside the fuel elements. This decay heat must be removed by having a residual heat removal system circulate water through the core continuously. The coolant, in addition to being needed to absorb and remove this decay heat, also acts as a shield by attenuating radiation given off by the radioactive fuel, so that those engaged in refueling operations or otherwise near the core for inspection and maintenance are exposed to much less radiation than they would otherwise be were the core not covered with coolant, and, for that matter, covered to a depth that is high enough so that the residual heat removal system pump does not lose suction. Therefore, both for attenuating radiation and for decay heat removal, maintaining the level of coolant above the core during refueling is vital. To maintain and monitor the coolant level in unpressurized power reactors, tubes of narrow diameter are typically used to conduct a small portion of the coolant to another location in the reactor containment, removed from the pressurizer and coolant loops. The coolant tubes are connected to the coolant loop piping at several locations and to the instrumentation connection located on the pressurizer. At this remote location, a portion of the coolant tubing is replaced with clear tubing so that the level of coolant in the tubes can be visually inspected. The coolant level in this "sight tube" corresponds to the actual level in the reactor system. At some reactors, a differential pressure device connected between two points in the coolant system produces an electrical signal related to the coolant level. The signal is proportional to the difference in the pressure at the "wet" and "dry" sides. In addition to sight tubes and differential pressure transducers, ultrasonic level sensors are used in the coolant loops when the coolant level falls below the top of the coolant loop pipe. However, these systems are inherently inaccurate and can cause serious conditions to occur. Part of the inherent inaccuracy results from the differences in the relative size of the coolant piping compared to the instrument tubing. Also, if air intrudes into the "wet" side or water intrudes into the "dry" side of a differential pressure system, or if the dry side is exposed to a positive or negative pressure, such as when an air evacuation system is connected to the pressurizer or when the coolant level is increased, the levels indicated by these systems will be false. Ultrasonic systems are also inaccurate, especially for higher draining rates where advance warning would be crucial, and they can confuse water droplets on the piping walls with the water level. Furthermore, there is a lag in time from when the coolant level changes and the time when that change appears in a sight tube because of the low driving head between the level indication system and the coolant system. When the system is being drained, a lag in the change of the level means that the sight tube will give a false high signal; when the system is being refilled, it will give a false low signal. Both false signals are unconservative; that is, the error is potentially harmful and not simply an error that does no harm or one where the actual level of the coolant is safer than indicated. A false high signal can result in loss of residual heat cooling; a false low signal can result in spilling of coolant, which can result in contamination of equipment and personnel. Consequently, changes need to be made in small, slow increments so that the level detector has time to register the new coolant level before any further changes can be made. If too great a change is made, the realization of true level may not occur until shutdown cooling has been lost. Loss of shutdown core cooling has serious safety implications, yet there have been two hundred reported events since 1980 regarding failure of the maintenance drain down level indicating systems and a resulting loss of residual heat removal. These events have occurred because of discrepancies between the actual coolant level and the level shown by the level-indicating system. Because of the consequence of errors in measuring liquid levels, the rate of level change is limited by utility operating procedures. This self-imposed requirement for slow level change carries with it a cost to the utility operating the nuclear power plant. Lowering and raising the liquid level are done at the beginning and at the end of the outage, both critical path events: their duration adds directly to the length of the outage. While the outage takes place, the utility may have to buy replacement power, typically at a cost of $500,000 to $750,000 per day, approximately $20,000 to $30,000 per hour. Consequently, there remains a need for an accurate, rapid coolant level measuring and monitoring system for nuclear power reactors. SUMMARY OF THE INVENTION According to its major aspects and briefly stated, the present invention is a system for determining coolant level in a nuclear reactor that is accurate and fast. It is based on receipt of two or more independent pressure indications from pressure transducer sets, one or more located in the coolant piping and one located at the top of the pressurizer. The signals generated by these two transducer sets are input into a programmed microprocessor that calculates and displays the level corresponding to the pressures sensed. The present invention is a more accurate, much faster system for determining liquid level. As such, it promotes reactor safety and reduces outage time and cost. It reduces radiation exposure of workers because the need to enter the radioactive environment of the containment building to obtain local readings from the sight tube described in the Discussion of Background, above, is eliminated; the levels are displayed in the reactor control room where operators control the residual heat removal system pumps and valves. Therefore, they are in a position to receive the information about the level of coolant where they can control it. An important feature of the present invention is the use of two independent pressure sensor sets rather than a differential pressure sensor. By using two independent sensor sets, the pressure sensed by one is not affected by the pressure of the other, as in current reactor coolant level indicator designs. The independence of signals results in greater accuracy. A system according to the present invention is accurate to within 0.01 inches at fill rates in excess of one foot per second, compared to discrepancies of as much as 1.5 feet or more when fill rates exceeded 0.2 feet per second with the conventional system. Another important feature of the present invention is the use of electrical signals rather than a pressure wave conveyed through a long, thin tube to convey pressure information. Electrical signals do not have the time lag associated with pressure waves in external coolant tubing, and therefore the change in level indication occurs essentially as it happens. Yet another feature of the present invention is the location of the display in the reactor control room where operators can monitor coolant level and take appropriate action to change levels when necessary, without the need to enter the reactor containment to check a sight tube. A group of features of the present invention related to signal accuracy is the use of redundant pressure tranducers in each sensor set, signal quality checking, and an "auctioneering" logic system to select the lower wet signal and higher dry signal. This group of features provides a safety factor that improves the reliability of the level calculation and slightly underestimates coolant level when all transducers are functioning and provides a backup signal in the event one fails. Other features and advantages of the present invention will be apparent to those skilled in the art of reactor coolant level indications from a careful reading of the Detailed Description of Preferred Embodiments accompanied by the following drawings. |
claims | 1. A method of scanning a patient, said method comprising the steps of(a) establishing a first electron-accelerating voltage potential between a first cathode and an anode;(b) establishing a second electron-accelerating voltage potential between a second cathode and said anode;(c) emitting a first plurality of electrons from said first cathode and toward said anode so that said first plurality of electrons impinge on said anode and thereby generate a first x-ray beam;(d) emitting a second plurality of electrons from said second cathode and toward said anode so that said second plurality of electrons impinge on said anode and thereby generate a second x-ray beam;(e) directing first x-ray beam and said second x-ray beam in alternating pulses through a filter device so as to form an alternating pulse composite x-ray beam;(f) directing said alternating pulse composite x-ray beam through said patient and thereafter receiving said alternating pulse composite x-ray beam with a detector so as to accordingly generate x-ray signals; and(g) generating an x-ray image in response to said x-ray signals;wherein said first electron-accelerating voltage potential has a characteristic first kilovolt peak, said second electron-accelerating voltage potential has a characteristic second kilovolt peak, and said second kilovolt peak is different from said first kilovolt peak. 2. A method as set forth in claim 1, wherein said anode includes a single rotating target upon which said first plurality of electrons and said second plurality of electrons impinge. 3. A method as set forth in claim 2, wherein steps (c) and (d) are performed in an alternating manner. 4. A method as set forth in claim 1, wherein said anode includes a first rotating target upon which said first plurality of electrons impinge, and said anode includes a second rotating target upon which said second plurality of electrons impinge. 5. A method as set forth in claim 4, wherein steps (c) and (d) are performed in an alternating manner. 6. A method as set forth in claim 1, wherein said filter device includes a first filter and a second filter that respectively have different energy-absorbing characteristics. 7. A method as set forth in claim 1, wherein said alternating pulse composite x-ray beam is formed so as to have a plurality of x-ray quantity-versus-energy peaks. 8. A method as set forth in claim 1, wherein said detector includes at least one array of multiple detector elements for generating said x-ray signals according to the energy intensity of said composite x-ray beam. 9. A method as set forth in claim 1, wherein said x-ray image is generated so as to have differentiable energy-density characteristics on a display. 10. A method of scanning a patient, said method comprising the steps of:(a) establishing a first electron-accelerating voltage potential between a first cathode and an anode;(b) establishing a second electron-accelerating voltage potential between a second cathode and said anode;(c) emitting a first plurality of electrons from said first cathode and toward said anode so that said first plurality of electrons impinge on said anode and thereby generate a first x-ray beam;(d) emitting a second plurality of electrons from said second cathode and toward said anode so that said second plurality of electrons impinge on said anode and thereby generate a second x-ray beam;(e) directing said first x-ray beam and said second x-ray beam through a filter device so as to form a composite x-ray beam;(f) directing said composite x-ray beam through said patient and thereafter receiving said composite x-ray beam with a detector so as to accordingly generate x-ray signals; and(g) generating an x-ray image in response to said x-ray signals;wherein said first electron-accelerating voltage potential has a characteristic first kilovolt peak, said second electron-accelerating voltage potential has a characteristic second kilovolt peak, and said second kilovolt peak is different from said first kilovolt peak;wherein said anode includes a first rotating target upon which said first plurality of electrons impinge, and said anode includes a second rotating target upon which said second plurality of electrons impinge; andwherein steps (c) and (d) are performed simultaneously. 11. A method of scanning a patient, said method comprising the steps of:(a) establishing a first electron-accelerating voltage potential between a first cathode and an anode;(b) establishing a second electron-accelerating voltage potential between a second cathode and said anode;(c) emitting a first plurality of electrons from said first cathode and toward said anode so that said first plurality of electrons impinge on said anode and thereby generate a first x-ray beam;(d) emitting a second plurality of electrons from said second cathode and toward said anode so that said second plurality of electrons impinge on said anode and thereby generate a second x-ray beam;(e) directing said first x-ray beam and said second x-ray beam in an alternating fashion through a filter device so as to form an alternating pulse composite x-ray beam having a plurality of x-ray quantity-versus-energy peaks;(f) directing said alternating pulse composite x-ray beam through said patient and thereafter receiving said alternating pulse composite x-ray beam with an energy-differentiating detector so as to accordingly generate x-ray signals according to the energy intensity of said alternating pulse composite x-ray beam; and(g) generating an x-ray image in response to said x-ray signals so that said x-ray image has differentiable energy-density characteristics on a display;wherein said first electron-accelerating voltage potential has a characteristic first kilovolt peak, said second electron-accelerating voltage potential has a characteristic second kilovolt peak, and said second kilovolt peak is different from said first kilovolt peak. 12. A method as set forth in claim 11, wherein said anode includes a single rotating target upon which said first plurality of electrons and said second plurality of electrons impinge, and steps (c) and (d) are performed in an alternating manner. 13. A method of scanning a patient, said method comprising the steps of:(a) establishing a first electron-accelerating voltage potential between a first cathode and an anode;(b) establishing a second electron-accelerating voltage potential between a second cathode and said anode;(c) emitting a first plurality of electrons from said first cathode and toward said anode so that said first plurality of electrons impinge on said anode and thereby generate a first x-ray beam;(d) emitting a second plurality of electrons from said second cathode and toward said anode so that said second plurality of electrons impinge on said anode and thereby generate a second x-ray beam;(e) directing said first x-ray beam and said second x-ray beam through a filter device so as to form a composite x-ray beam having a plurality of x-ray quantity-versus-energy peaks;(f) directing said composite x-ray beam through said patient and thereafter receiving said composite x-ray beam with an energy-differentiating detector so as to accordingly generate x-ray signals according to the energy intensity of said composite x-ray beam; and(g) generating an x-ray image in response to said x-ray signals so that said x-ray image has differentiable energy-density characteristics on a display;wherein said first electron-accelerating voltage potential has a characteristic first kilovolt peak, said second electron-accelerating voltage potential has a characteristic second kilovolt peak, and said second kilovolt peak is different from said first kilovolt peak;wherein said anode includes a first rotating target upon which said first plurality of electrons impinge, said anode includes a second rotating target upon which said second plurality of electrons impinge, and steps (c) and (d) are performed simultaneously. 14. A method of scanning a patient, said method comprising the steps of:emitting a first plurality of electrons from a first cathode and toward an anode so that said first plurality of electrons impinge on said anode and thereby generate a first x-ray beam;emitting a second plurality of electrons from a second cathode and toward said anode so that said second plurality of electrons impinge on said anode and thereby generate a second x-ray beam;directing said first x-ray beam and said second x-ray beam alternately through a filter device so as to form an x-ray beam with alternating characteristics;directing said alternating characteristic x-ray beam through said patient and thereafter receiving said alternating characteristic x-ray beam with a detector so as to accordingly generate x-ray signals; andgenerating an x-ray image in response to said x-ray signals;wherein said filter device includes a first filter and a second filter that respectively have different energy-absorbing characteristics; andwherein said filter device is operable to rotatably interchange the respective positions of said first filter and said second filter as said first x-ray beam and said second x-ray beam are respectively directed through said filter device. 15. A method of scanning a patient, said method comprising the steps of:emitting a first plurality of electrons from a first cathode and toward an anode so that said first plurality of electrons impinge on said anode and thereby generate a first x-ray beam;emitting a second plurality of electrons from a second cathode and toward said anode so that said second plurality of electrons impinge on said anode and thereby generate a second x-ray beam;directing said first x-ray beam and said second x-ray beam in an alternating fashion through a filter device so as to form a alternating pulse composite x-ray beam having a plurality of x-ray quantity-versus-energy peaks;directing said alternating pulse composite x-ray beam through said patient and thereafter receiving said alternating pulse composite x-ray beam with an energy-differentiating detector so as to accordingly generate x-ray signals according to the energy intensity of said alternating pulse composite x-ray beam; andgenerating an x-ray image in response to said x-ray signals so that said x-ray image has differentiable energy-density characteristics on a display;wherein said filter device includes a first filter and a second filter that respectively have different energy-absorbing characteristics, and said filter device is operable to rotatably interchange the respective positions of said first filter and said second filter as said first x-ray beam and said second x-ray beam are respectively directed through said filter device. 16. A system for scanning a patient, said system comprising:a first cathode operable to emit a first plurality of electrons;a second cathode operable to emit a second plurality of electrons;an anode for thereon impinging said first plurality of electrons and said second plurality of electrons in an alternating fashion so as to respectively generate a first x-ray beam alternating with a second x-ray beam;a filter device operable to filter said first x-ray beam and said second x-ray beam so as to form an alternating pulse composite x-ray beam;a detector operable to receive said composite x-ray beam after being directed through said patient and accordingly generate x-ray signals;a display operable to generate an x-ray image in response to said x-ray signals; andat least one controller electrically coupled to said first cathode, said second cathode, said anode, said filter device, said detector, and said display;wherein said filter device includes a first filter and a second filter that respectively have different energy-absorbing characteristics; andwherein said controller is operable to control said filter device so as to rotatably interchange the respective positions of said first filter and said second filter as said first x-ray beam and said second x-ray beam are respectively directed through said filter device. 17. A system as set forth in claim 16, wherein said anode is rotatable. 18. A system as set forth in claim 16, wherein said anode includes a single rotatable target upon which said first plurality of electrons and said second plurality of electrons can impinge. 19. A system as set forth in claim 16, wherein said anode includes a first rotatable target upon which said first plurality of electrons can impinge, and said anode includes a second rotatable target upon which said second plurality of electrons can impinge. 20. A system as set forth in claim 16, wherein said detector includes at least one array of multiple detector elements for generating said x-ray signals according to the energy intensity of said composite x-ray beam. |
|
claims | 1. A system comprising:a proton therapy system comprising a plurality of sub-systems including:a super-conducting magnet sub-system;a cryogenic-cooling sub-system;a vacuum sub-system;a radio frequency sub-system; andan ion source;wherein an operation of the proton therapy system comprises a plurality of operation states, the plurality of operation states corresponding to a plurality of power states of the plurality of sub-systems,wherein the proton therapy system is configured to at least partially automatically perform the plurality of operation states in sequence to prepare the proton therapy system to generate and extract a proton beam. 2. The system of claim 1, wherein the plurality of operation states activate the plurality of sub-systems in sequence. 3. The system of claim 1, wherein the plurality of operation states comprise an off state, a first standby state, a second standby state, a radio frequency ready state and a beam ready state. 4. The system of claim 3, wherein the off state comprises a proton therapy system operation state wherein each of the plurality of sub-systems are deactivated except for the cryogenic-cooling sub-system. 5. The system of claim 3, wherein the first standby state comprises a proton therapy system operation state wherein the cryogenic-cooling sub-system and vacuum sub-systems are activated and all other sub-systems of the plurality of sub-systems are deactivated. 6. The system of claim 3, wherein the second standby state comprises a proton therapy system operation state wherein the super conducting magnet sub-system, the cryogenic-cooling sub-system, and the vacuum sub-system are activated and all other sub-systems of the plurality of sub-systems are deactivated. 7. The system of claim 3, wherein the radio frequency ready state comprises a proton therapy system operation state wherein the super conducting magnet sub-system, the cryogenic-cooling sub-system, the vacuum sub-system, and the radio frequency sub-system are activated, and all other sub-systems of the plurality of sub-systems are deactivated. 8. The system of claim 7, wherein the radio frequency sub-system is activated in a reduced power state during the radio frequency ready state. 9. The system of claim 3, wherein the beam ready state comprises a proton therapy system operation state wherein each of the plurality of sub-systems are activated and operating at a plurality of pre-defined set values. 10. The system of claim 1, wherein the plurality of sub-systems further comprises a vertical deflector plate sub-system configured to generate an electric field in a plurality of vertical deflector plates comprised in the cyclotron to influence a trajectory of the proton beam extracted from the proton therapy system. 11. The system of claim 10, wherein the electric field is generated in the plurality of vertical deflector plates by supplying a voltage through the vertical deflector plates. 12. The system of claim 1, wherein the plurality of sub-systems further comprises a phase measurement sub-system comprised in the proton therapy system and configured to correct an effect of beam phase shifts affecting the proton beam. 13. The system of claim 12, wherein the a phase measurement sub-system comprises a non-destructive beam phase detector. 14. The system of claim 12, wherein the a phase measurement sub-system comprises a phase control loop configured to tune a magnetic field generated by the super-conducting magnet sub-system. 15. The system of claim 14, wherein the phase control loop tunes the magnetic field generated by the super-conducting magnet sub-system by measuring the effect of the beam phase shifts affecting the proton beam with respect to a radio frequency generated by the radio frequency sub-system during operation of the proton therapy system. 16. The system of claim 1, wherein the proton therapy system comprises a cyclotron. 17. A method for automatic cyclotron initialization comprising:measuring a temperature of a plurality of components in a super-conducting magnet comprised in a cyclotron;determining a current configuration of the super-conducting magnet based on the temperature;automatically setting a power supply of the super-conducting magnet to the determined current configuration;generating a proton beam in an ion source comprised in the cyclotron with a super-conducting magnet operating with the determined current configuration; andextracting the proton beam. 18. The method of claim 17 further comprising monitoring the extracted proton beam in a phase control loop. 19. The method of claim 18 wherein the extracted proton beam comprises a beam phase, and wherein monitoring the extracted proton beam comprises measuring the beam phase with respect to a radio frequency system of the cyclotron in a phase measurement system. 20. The method of claim 19 wherein monitoring the beam comprises utilizing a signal of a non-destructive beam phase detector. 21. The method of claim 19 wherein monitoring the beam comprises measuring and quantifying an effect of beam phase shifts due to magnetic field drifts. 22. The method of claim 19 further comprising tuning the extracted proton beam monitored in the phase control loop. 23. The method of claim 21 wherein tuning the beam comprises tuning an a beam current stability of the cyclotron by stabilizing the effect of the beam phase shifts due to magnetic field drifts. 24. The method of claim 21 wherein tuning the beam comprises resetting the magnet current to a desired value. 25. A method for automatically refining operation of a cyclotron, the method comprising:centering a proton beam produced by the cyclotron;tuning an extraction efficiency of the proton beam produced by the cyclotron; andrefining positions of a plurality of radial phase slits comprised in the cyclotron,wherein the centering, the tuning and the refining are performed automatically by a control system of the cyclotron. 26. The method according to claim 25 wherein automatically centering the proton beam comprises:measuring an oscillation of a current of the proton beam to determine a precession of the proton beam; andreducing the precession of the proton beam. 27. The method according to claim 26, wherein the oscillation of the current is measured by an internal straight probe. 28. The method according to claim 26, wherein the cyclotron comprises a first plurality of trim rods, and wherein reducing the precession of the radial beam comprises using the first plurality of trim rods to adjust an amplitude and a phase of a first harmonic of the generated magnetic field corresponding to the oscillation of the current of the proton beam. 29. The method according to claim 25, wherein automatically tuning an extraction efficiency comprises analyzing a beam current of the proton beam and resetting values corresponding to a second plurality of trim rods and voltages in a plurality of extraction deflectors to pre-defined values. 30. The method according to claim 29, wherein automatically tuning an extraction efficiency further comprises exciting the precession of the proton beam prior to an extraction of the proton beam with a second plurality of trim rods. 31. The method according to claim 29, wherein automatically tuning an extraction efficiency further comprises adjusting the voltages in a plurality of extraction deflectors, the plurality of extraction deflectors configured to direct a trajectory of the extracted proton beam. 32. The method according to claim 25, wherein the automatically refining positions for the plurality of radial phase slits comprises:determining a plurality of beam current measurements at the plurality of phase slits, the plurality of phase slits being positioned at a first plurality of radial positions;calculating a second plurality of radial positions based on the plurality of beam current measurements; andautomatically re-positioning the phase slits at the second plurality of radial positions. |
|
summary | ||
06198793& | claims | 1. An illumination system for wavelengths .ltoreq.193 nm, comprising: a light source having an illumination in a predetermined surface; a device for the production of a secondary light source; a mirror or lens device having a mirror or lens, which is organized into a raster element; an optical element, which is arranged between said mirror or lens device and a reticule plane, whereby said optical element images said secondary light source in an exit pupil of the illumination system, wherein said raster element of said mirror or lens is shaped and arranged in such a way that an image of said raster element covers a major portion of said reticule plane, and wherein said exit pupil is illuminated, and said exit pupil is defined by an aperture and a filling ratio. a light source with any desired illumination in a predetermined surface, a mirror or lens device having at least two mirrors or lenses, with each mirror or lens organized into a raster element, an optical element arranged between said mirror or lens device and a reticule plane, said process comprising the following steps: arranging said raster element of a first mirror or lens to cover said surface without overlapping; shaping said raster element of said first mirror or lens such that its form corresponds to that of a field to be illuminated, whereby a secondary light source is assigned to each said raster element; arranging said raster element of a second mirror or lens to a position at said secondary light source; shaping said raster element of said second mirror or lens such that its form corresponds to that of said secondary light source; rotating or tilting said raster elements of said first and second mirrors or orienting and selecting an angle of deflection of a prismatic component of said raster elements of said first or second lens, a light path being produced, whereby a predetermined assignment of said raster elements of said first mirror or lens to said second mirror or lens is maintained, so that said raster element of said first mirror or lens is imaged in said reticule plane by said raster element of said second mirror or lens; an image of said raster element of said first mirror or lens is partially superimposed in said reticule plane; and said secondary light source is imaged in an exit pupil by said optical element. 2. The illumination system according to claim 1, wherein said optical element comprises at least one field mirror or at least one field lens. 3. The illumination system according to claim 2, wherein said optical element is at most two field mirrors or field lenses. 4. The illumination system according to claim 2, wherein said field mirror is arranged in striped incidence. 5. The illumination system according to claim 1, wherein said mirror or lens device comprises a mirror or a lens with a raster element formed as field honeycombs. 6. The illumination system according to claim 5, wherein said field honeycombs in their aspect ratio essentially correspond to that of a field to be illuminated in said reticule plane. 7. The illumination system according to claim 5, wherein said mirror or lens with said raster element produces said secondary light source. 8. The illumination system according to claim 1, further comprising a collector that collects light from said light source. 9. The illumination system according to claim 8, wherein said collector and said mirror or lens with said raster element produce said secondary light source. 10. The illumination system according to claim 1, wherein said light source radiates in a steradian greater than .pi./2. 11. The illumination system according to claim 10, wherein said light source is a plasma focus source. 12. The illumination system according to claim 1, wherein said light source radiates in a steradian smaller than .pi./2. 13. The illumination system according to claim 12, wherein said light source is a pinch-plasma source. 14. The illumination system according to claim 1, wherein said mirror or lens device comprises a first mirror or lens with a multiple number of field honeycombs and a second mirror or lens with a multiple number of pupil honeycombs. 15. The illumination system according to claim 14, wherein said field honeycombs are arranged on said first mirror or lens in such a way that they do not overlap and their images cover a surface to be illuminated in said reticule plane. 16. The illumination system according to claim 14, wherein said pupil honeycombs are arranged on said second mirror or lens in such a way that their images, which are produced by said optical element, illuminate said exit pupil with a predetermined pattern. 17. The illumination system according to claim 16, comprising a light path between a pair of field and pupil honeycombs formed by rotating and tilting said field and said pupil honeycombs relative to one another. 18. The illumination system according to claim 16, comprising a light path constructed between a pair of field and pupil honeycombs by orienting and selecting a deflection angle of a prismatic component of said field honeycombs and said pupil honeycombs. 19. The illumination system according to claim 1, further comprising a zigzag beam path produced by field and pupil planes. 20. The illumination system according to claim 1, wherein said mirror or lens device comprises a telescope system. 21. The illumination system according to claim 20, wherein said mirror or lens comprises said raster element, and is one mirror or lens of said telescope system. 22. The illumination system according to claim 20, wherein said telescope system comprises a collector mirror or collector lens. 23. The illumination system according to claim 22, wherein said telescope system additionally comprises a first mirror or lens with a multiple number of field honeycombs, whereby said collector mirror or said collector lens has positive refractive power and said first mirror or lens has negative refractive power. 24. The illumination system according to claim 20, wherein said telescope system comprises a first mirror or lens with a multiple number of field honeycombs and a second mirror or lens with a multiple number of pupil honeycombs, whereby said first mirror or lens has positive refractive power and said second mirror or lens has negative refractive power. 25. The illumination system according to claim 1, further wherein a distance from said light source to a field to be illuminated is smaller than 3 m. 26. The illumination system according to claim 1, wherein said illumination system comprises three to five mirrors, and wherein at least one mirror has striped incidence and at least one mirror has said raster element. 27. The illumination system according to claim 1, wherein said illumination system comprises four to five mirrors, and wherein at least two mirrors have grazing incidence and at least two mirrors have said raster elements. 28. The illumination system according to claim 1, wherein said raster element of said mirror is curved. 29. The illumination system according to claim 1, wherein said raster element of said mirror is planar. 30. The illumination system according to claim 1, wherein said raster element of said mirror has a surface that is arranged on a curved surface. 31. The illumination system according to claim 1, wherein said raster element of said mirror is arranged on a basic structure according to a type of Fresnel lens. 32. The illumination system according to claim 1, wherein said raster element of said mirror is tilted relative to an enveloping or bearing surface. 33. The illumination system according to claim 1, wherein said mirror is comprised of at least two raster elements, said at least two raster elements are arranged in rows and each adjacent row is displaced relative to the other adjacent row by a fraction of a length of one of said raster elements. 34. The illumination system according to claim 1, wherein said light source has a steradian component of light radiated of 0.5 .pi. transported to a field. 35. The illumination system according to claim 1, wherein said mirror device has an axial symmetric construction with central vignetting. 36. The illumination system according to claim 1, wherein said mirror device has an outer axial course of a light bundle that is free of vignetting. 37. The illumination system according to claim 1, wherein said raster element has an aspect ratio of 1:1 to 1:20. 38. The illumination system according to claim 1, wherein the illumination system has a field that is a rectangular field or an annular segment. 39. The illumination system according to claim 1, wherein said mirror has a toroidal form, whereby cross sections can also have conical and aspherical components. 40. The illumination system according to claim 1, further comprising an optical element that has a function selected from the group consisting of imaging a secondary light source in an entrance pupil of a subsequent projection objective, remodeling a pre-given rectangular illumination by raster elements to form a field in a form of an annular segment, adjusting an intensity distribution over said field, and mixtures thereof. 41. The illumination system according to claim 1, wherein said mirror has a reflectivity that is position-dependent. 42. The illumination system according to claim 1, further comprising a field-side numerical aperture that amounts to approximately 0.01 to 0.1. 43. The illumination system according to claim 1, further comprising an accessible diaphragm plane. 44. The illumination system according to claim 43, further comprising a masking device at said diaphragm plane, with which a type of illumination can be adjusted. 45. The illumination system according to claim 1, wherein said light source is a synchrotron radiation source. 46. The illumination system according to claim 45, wherein said light source is an undulator source or a wiggler source. 47. EUV projection exposure unit for microlithography with an illumination system according to claim 1 comprising a mask on a carrier system, a projection objective, and a light-sensitive object on a carrier system. 48. The EUV projection exposure unit according to claim 47, wherein the unit is a scanning system. 49. The EUV projection exposure unit according to claim 47, further comprising an illumination intensity at said light-sensitive object, with an unstructured mask, that has position-dependent differences of less than .+-.5%. 50. The EUV projection exposure unit according to claim 47, further comprising a scan energy at said light sensitive object, with an unstructured mask, that has position-dependent differences of less than .+-.5%. 51. The EUV projection exposure unit according to claim 47, further comprising a vacuum window transparent to EUV that is arranged in a beam path. 52. The EUV projection exposure unit according to claim 51, wherein said vacuum window is arranged at a constriction of a light bundle in said illumination system. 53. Process for the production of microelectronic components according to claim 1. 54. A process for designing an illumination system for wavelengths .ltoreq.193 nm, said illumination system having: |
RE0347086 | claims | 1. A scanning ion conductance microscope comprising: (a) a reservoir holding a sample to be scanned therein; (b) a micropipette having an open tip communicating with a hollow shaft; (c) an electrolyte solution disposed within said reservoir covering said sample and disposed within said tip .[.and shaft.]. of said micropipette; (d) a first microelectrode .[.diposed.]. .Iadd.disposed .Iaddend.in said shaft in .[.electrical contact.]. .Iadd.ionic communication .Iaddend.with said electrolyte .[.therein.]. .Iadd.solution in said open tip.Iaddend., said first microelectrode being .[.spaced from inner sidewalls of said shaft to allow said electrolyte solution to pass between said first microelectrode and said inner sidewalls of said shaft.]. .Iadd.in ionic communication with electrolyte solution in said reservoir via said open tip by means of electrolyte solution within said tip.Iaddend.; (e) a second microelectrode disposed in said reservoir in .[.electrical contact.]. .Iadd.ionic communication .Iaddend.with said electrolyte .[.therein.]. .Iadd.solution in said reservoir and forming a continuous ionic current path between said first and second microelectrodes via the electrolyte solution in said reservoir and in said open tip.Iaddend.; (f) scanning means for scanning said tip of said micropipette over a top surface of said sample in a scanning pattern; (g) voltage means for applying a voltage across said first and second microelectrodes; (h) current means for measuring .[.the.]. .Iadd.an ionic .Iaddend.current flowing .Iadd.in the ionic current path .Iaddend.between said first and second microelectrodes through said open tip of said micropipette and for supplying an indication of said current at an output thereof; and, (i) control logic means having an output connected to said scanning means and an input connected to said output of said current means for causing said scanning means to set the height of said tip at a desired distance above said top surface and for outputting data of interest related to said .[.top surface.]. .Iadd.sample .Iaddend.as it is scanned. (a) feedback means connected between said scanning means and said control logic means for providing said control logic means with an indication of a z-directional component of the position of said tip of said micropipette; and wherein, (b) said control logic means includes logic for causing said scanning means to position said tip of said micropipette at a distance above said top surface which will maintain the ion conductance between said first and second .[.electrodes.].electrodes .Iadd.microelectrodes .Iaddend.through said open tip of said micropipette at a constant value which will cause said tip to follow said top surface in close non-contacting proximity thereto whereby said data of interest output by said control logic means reflects the .[.topology.]. .Iadd.topography .Iaddend.of said top surface. said control logic means includes logic for causing said scanning means to scan said tip of said micropipette in a plane parallel and close adjacent above said top surface whereby said data of interest output by said control logic means reflects the ion conductance of said .[.top surface.]. .Iadd.sample .Iaddend.at the positions of said tip. (a) a plurality of said micropipettes disposed to form a multi-barrel scanning head; and, (b) a plurality of said first microelectrodes disposed in respective ones of said micropipettes, each of said microelectrodes being specific to a different ion; and wherein, (c) said control logic means includes logic for causing said scanning means to scan said tip of said micropipette in a plane parallel and close adjacent above said top surface whereby said data of interest output by said control logic means reflects the ion conductance of said .[.top surface.]. .Iadd.sample .Iaddend.at the positions of said tip of each of said micropipettes. said second .[.electrode.]. .Iadd.microelectrode .Iaddend.is disposed within said shaft of one of said micropipettes. (a) a reservoir holding a sample to be scanned therein; (b) a micropipette having an open tip communicating with a hollow shaft; (c) an electrolyte solution disposed within said reservoir covering said sample and disposed within said tip .[.and shaft.]. and shaft of said micropipette; (d) a first microelectrode disposed in said shaft in .[.electrical contact.]. .Iadd.ionic communication .Iaddend.with said electrolyte .[.therein.]. .Iadd.solution in said tip.Iaddend., said first microelectrode being .[.spaced from inner sidewalls of said shaft to allow said electrolyte solution to pass between said first microelectrode and said inner sidewalls of said shaft.]. .Iadd.in ionic communication with electrolyte solution in said reservoir by means of the electrolyte solution within said open tip.Iaddend.; (e) a second microelectrode disposed in said reservoir in .[.electrical contact.]. .Iadd.ionic communication .Iaddend.with said electrolyte .[.therein.]. .Iadd.solution in said reservoir and forming a continuous ionic current path between said first and second microelectrodes via the electrolyte solution in said reservoir and in said open tip.Iaddend.; (f) scanning means for scanning said tip of said micropipette over a top surface of said sample in a scanning pattern; (g) voltage means for applying a voltage across said first and second microelectrodes; (h) current means for measuring .[.the.]. .Iadd.an ionic .Iaddend.current flowing .Iadd.in the ionic current path .Iaddend.between said first and second microelectrodes through said open tip of said micropipette and for supplying an indication of said current at an output thereof; (i) control logic means having an output connected to said scanning means and an input connected to said output of said current means for causing said scanning means to set the height of said tip at a desired distance above said top surface and for outputting data of interest related to said .[.top surface.]. .Iadd.sample .Iaddend.as it is scanned; (j) feedback means connected between said scanning means and said control logic means for providing said control logic means with an indication of a z-directional component of the position of said tip of said micropipette; and wherein, (k) said control logic means includes first logic for causing said scanning means to position said tip of said micropipette at a distance above said top surface which will maintain the ion conductance between said first and second .[.electrodes.]. .Iadd.microelectrodes .Iaddend.through said open tip of said micropite at a constant value which will cause said tip to follow said top surface in close non-contacting proximity thereto whereby said data of interest output by said control logic means reflects the topology of said top surface; and, (l) said control logic means includes second logic for causing said scanning means to scan said tip of said micropipette in a plane parallel and close adjacent above said top surface whereby said data of interest output by said control logic means reflects the ion conductance of said .[.top surface.]. .Iadd.sample .Iaddend.at the positions of said tip. (a) a plurality of said micropipettes disposed to form a multi-barrel scanning head; and, (b) a plurality of said first microelectrodes disposed in respective ones of said micropipettes, each of said microelectrodes being specific to a different ion whereby when said second logic of said control logic causes said scanning means to scan said tip of said micropipette in a plane parallel and close adjacent above said top surface said data of interest output by said control logic means reflects the ion conductance of said .[.top surface.]. .Iadd.sample .Iaddend.at the positions of said tip of each of said micropipettes. said second .[.electrode.]. .Iadd.microelectrode.Iaddend.is disposed within said shaft of one of said micropipettes. (a) disposing the sample to be scanned in a reservoir containing an electrolyte covering the sample; (b) providing a micropipette having an open tip communicating with a hollow shaft; (c) disposing an electrolyte within the tip .[.and shaft.]. of the micropipette; (d) disposing a first microelectrode in the shaft in .[.electrical contact.]. .Iadd.ionic communication .Iaddend.with the electrolyte .[.therein in non-contacting relationship with inner sidewalls of the shaft and.]. .Iadd.in .Iaddend.the open tip; (e) disposing a second microelectrode in the reservoir in .[.electrical contact.]. .Iadd.ionic communication .Iaddend.with the electrolyte .[.therein.]. .Iadd.in said reservoir and forming a continuous ionic current path between said first and second microelectrodes via the electrolyte solution in said reservoir and in said open tip.Iaddend.; (f) applying a voltage across the first and second microelectrodes and measuring .[.the.]. .Iadd.an ionic .Iaddend.current flowing .Iadd.in the ionic current path .Iaddend.between the first and second microelectrodes through the open tip; (g) scanning the tip of the micropipette over a top surface of the sample in a scanning pattern with the tip of the micropipette at a distance above the top surface which will maintain the ion conductance between the first and second electrodes through the open tip at a constant value which will cause the tip to follow the top surface in close noncontacting proximity thereto while providing a z-directional component of the position of the tip of the micropipette; (h) outputting data of interest which reflects the topology of the top surface; (i) scanning the tip of the micropipette over a top surface of the sample in a scanning pattern with the tip of the micropipette in a plane parallel and close adjacent above the top surface; and, (j) outputting data of interest which reflects the ion conductance of the .[.top surface.]. .Iadd.sample .Iaddend.at the positions of the tip. (a) providing a plurality of the micropipettes disposed to form a multi-barrel scanning head; and, (b) disposing a plurality of the first microelectrodes in respective ones of the micropipettes with each of the microelectrodes being specific to a different ion whereby when the tip of the micropipette is scanned in a plane parallel and close adjacent above the top surface the data of interest output reflects the ion conductance of the .[.top surface.]. .Iadd.sample .Iaddend.at the positions of the tip of each of the micropipettes. disposing the second microelectrode in the shaft of one of the plurality of micropipettes in electrical contact with the electrolyte therein. .Iadd. measuring a time dependence of ion conductance of the sample at a selected location of said sample. .Iaddend. .Iadd. disposing the sample to be scanned in a reservoir containing an electrolyte covering the sample; providing a micropipette having an open tip communicating with a hollow shaft and in which is disposed a first microelectrode spaced apart from the open tip within said micropipette; disposing said microelectrode in said reservoir so that said electrolyte occupies said open tip and said first microelectrode in ionic communication with said electrolyte in said reservoir via said electrolyte in said open tip; disposing a second microelectrode in the reservoir in ionic communication with the electrolyte in said reservoir and forming a continuous ionic current path between said first and second microelectrodes via the electrolyte solution in said reservoir and in said open tip; applying a voltage across the first and second microelectrodes and measuring an ionic current following in the ionic current path between the first and second microelectrodes through the open tip; scanning the tip of the micropipette over a top surface of the sample in a scanning pattern with the tip of the micropipette at a distance above the top surface which will maintain the ion conductance between the first and second microelectrodes through the open tip at a constant value which will cause the tip to follow the top surface in close non-contacting proximity thereto while providing a z-directional component of the position of the tip of the micropipette; and outputting data of interest which reflects the topography of the top surface. .Iaddend. .Iadd. disposing the sample in an electrolyte solution; providing a first microelectrode in a micropipette having an open tip filled with said electrolyte solution; providing a second microelectrode; disposing the micropipette with said first microelectrode and said second microelectrode in said electrolyte solution with said first and second microelectrodes each in ionic communication with said electrolyte solution to form a continuous ionic current path through said sample and between said first and second microelectrodes via the electrolyte solution; positioning the first microelectrode over at least one selected location above the top surface of the sample; applying a voltage across the first and second microelectrodes; measuring an ionic current flowing in the ionic current path between said first and second microelectrodes and through said sample at the selected location; and outputting data which reflects the ion conductance of said sample at said selected location based on the measured ionic current. .Iaddend. .Iadd.15. The method according to claim 14, further comprising: scanning said micropipette with said first microelectrode over the top surface of the sample in a scanning pattern with the tip in a plane parallel above the top surface, measuring the ionic current flowing in said ionic current path between said first and second microelectrodes and through the sample during scanning of the tip; and outputting data which reflects the ion conductance of said sample as function of said scanning pattern and the measured ionic current. (a) providing a plurality of the first microelectrodes in respective of a plurality of micropipettes each having an open tip and disposed to form an multielectrode scanning head with each of the first microelectrodes being specific to a different ion; and, (b) disposing said plurality of the micropipettes with said first microelectrodes in said electrolyte solution so that said first microelectrodes are located in close adjacent position above the top surface of the sample during scanning and the data of interest output reflects the respective ion conductances of the sample at the scanning measuring time dependance of ion conductance of said sample at said (a) providing a plurality of the first microelectrodes disposed in respective of a plurality of said micropipettes to form a multi-electrode scanning head with each of the microelectrodes being specific to a different ion; and, (b) disposing said plurality of the micropipettes with said microelectrodes in said electrolyte solution so that said microelectrodes are located in close adjacent position above the top surface of the sample at said at least one selected position and the data of interest output reflects the ion conductance of the sample at the position of the tip of each of the microelectrodes. .Iaddend. 2. The scanning ion conductance microscope of claim 1 and additionally comprising: 3. The scanning ion conductance microscope of claim 1 and additionally comprising: 4. The scanning ion conductance microscope of claim 1 and additionally comprising: 5. The scanning ion conductance microscope of claim 4 wherein: 6. A scanning ion conductance microscope capable of providing both topographic and ion conductance information about a sample comprising: 7. The scanning ion conductance microscope of claim 6 and additionally comprising: 8. The scanning ion conductance microscope of claim 7 wherein: 9. .[.The.]. .Iadd.A .Iaddend.method of .[.operating a scanning ion conductance microscope to provide both.]. .Iadd.providing .Iaddend.topographic and ion conductance information about a sample comprising the steps of: 10. The method of claim 9 and additionally comprising the steps of: 11. The method of claim 10 wherein said step of disposing a second microelectrode in the reservoir in electrical contact with the electrolyte therein comprises the step of: 12. The method according to claim 9, comprising: 13. A method of providing topographic information about a sample, comprising the steps of: 14. A method of measuring ion conductance of a sample, comprising: .Iaddend. .Iadd.16. The method of claim 15, further comprising: positions of the respective first microelectrodes. .Iaddend. .Iadd.17. The method according to claim 14, further comprising: selected location. .Iaddend. .Iadd.18. The method of claim 14, further comprising: |
description | This application claims priority from U.S. Provisional Patent Application No. 60/809,453 entitled “Method & Apparatus for Controlled Fusion Reactions” filed May 30, 2006. The foregoing application is incorporated herein by reference in its entirety. The present invention relates to methods and systems for extracting energy from controlled fusion reactions. It is widely recognized that controlled fusion offers a clean and plentiful energy source. However, despite billions of dollars invested, only limited success has been achieved in creating an efficient, self-sustaining fusion reaction. All prior approaches have been limited by three major factors: (a) Only a single means of energy extraction is used. (b) Instead of focusing on Direct Drive X-ray driven reactions, the bulk of the work has been focused on indirect drive reactions, particularly using large lasers as drivers. (c) Hydrodynamic instability is a serious problem. This occurs when the compression of the target pellet is not sufficiently uniform. It gives rise to local thermal non-uniformity which, in turn, causes local cooling. This results in an unsymmetrical burn of the fuel. Energy can be extracted from a fusion reaction by two primary means: Thermal and Electrical. Thermal extraction is a straightforward application of the Rankine Thermal Cycle, which is used in almost every electrical power plant. In this process, a coolant is heated, the heated coolant used to turn a turbine, and the turbine used to turn a generator. This process has a nominal 55% efficiency. It is both possible and practical to extract electricity directly from fusion plasma. This has been demonstrated many times, and is a process with an efficiency of about 85%. The disadvantage of this technique to prior art fusion power systems is that it produces high voltage DC. High voltage DC is difficult to work with and, more importantly, not suitable for long distance power transmission and distribution. It cannot be readily or efficiently shifted in voltage as AC power can. Hydrodynamic Instability is a major problem that the designer of every fusion power system faces. Formally known as Rayleigh-Taylor Instability, it is a problem that arises from non-uniform compression of the fuel pellet. Non-uniformities in excess of 1% in compression result in the formation of “jets” of energy that surge outward and locally cool the target pellet. The current generation of laser driven fusion systems use multiple beams (as many as 192 in one system) to attempt to provide a sufficiently uniform compression of the fuel pellet. It would be desirable to provide a system for extracting energy from controlled fusion reactions in which both thermal energy and high voltage DC energy are extracted. It would be desirable if extracted high voltage DC energy can be used as an energy source to sustain controlled fusion reactions. It would be further desirable to design a system from extracting energy form controlled fusion reactions, with a high hydrodynamic stability for achieving highly uniform compression of fuel pellets. One embodiment of the invention provides a system for extracting energy from controlled fusion reactions. The system includes a central target chamber for receiving fusion target material. A plurality of energy drivers are arranged around the target chamber so as to supply energy to fusion target material in the chamber to initiate a controlled fusion reaction of the material, releasing energy in the forms of fusion plasma and heat. A plurality of means for extracting energy from the fusion reaction are provided, and comprise means to extract high voltage DC power from the fusion plasma; and means to extract thermal energy from the central target chamber. The foregoing embodiment increases efficiency of a fusion power system by extracting both high voltage DC energy and thermal energy. Another embodiment of the invention provides a system for extracting energy from controlled fusion reactions wherein the plurality of energy drivers are powered by an energy storage means. The energy storage means receives power from a first power supply and provides start-up and make-up power, and a second power supply derives energy from high voltage DC power extracted from the fusion plasma. The “start-up power” is the total energy required for initiate the fusion reaction and the “make-up power” is the energy that is added to the energy from the second power supply to maintain operation of the fusion reaction. The foregoing embodiment achieves high efficiency by using the high voltage DC power extracted from the fusion reaction as a source of power for the energy drivers that drive the fusion reactions. This means that most of the energy required to drive the fusion reaction is derived from the (previous) fusion reaction itself. A further embodiment of the invention provides a system for extracting energy from controlled fusion reactions in which each of the plurality of energy drivers comprises a unitary apparatus. The unitary apparatus produces both (a) an x-ray pulse for causing the fusion target material to undergo a controlled fusion reaction so as to cause energy release in the forms of fusion plasma and heat, and (b) RF energy to simultaneously heat the fusion target material. The foregoing embodiment of the invention has the ability to produce an RF heating pulse simultaneously with the x-ray drive pulse without reducing efficiency. This allows the use of RF heating to increase the efficiency of the fusion power system at little additional cost and with no energy penalty. A still further embodiment of the invention provides a fusion power system in which an apodizing structure is associated with each energy driver for reshaping the wavefront of the x-ray pulse to be concave from the perspective of the fusion target material. The foregoing embodiment of the invention corrects the wavefront errors that give rise to Rayleigh-Taylor Hydrodynamic instability by means of the mentioned Apodizing Filter. As the target pellet is a sphere, the Apodizing filter is used to change the shape of the compression wavefront to a highly concave surface whose radius matches the radius of the target By this means, the wavefront “wraps around” one face of the target and provides totally uniform compression of the target. A direct benefit of the use of Apodizing Filters to correct the compression wavefront is that the number of beams used to illuminate the target is reduced. Instead of the 192 beams that the National Ignition Facility Fusion Reactor at Lawrence Livermore lab in California uses, the current embodiment of the invention may allow the use of as far fewer beams, such as 6. This directly reduces the cost and size of the reactor, while increasing its reliability. A list of drawing reference numbers, their associated parts and preferred materials for the parts can be found near the end of this description of the preferred embodiments. Literature references are cited in full after the list of drawing reference numbers. In this description, short literature references for author “Nakai,” for instance, are given as follows: (Nakai Reference.) Main principles of preferred embodiments of the invention are described in connection with FIGS. 1-3. FIG. 1 shows a reactor for generation of energy by controlled nuclear fusion. The system includes of a central target chamber or region 10. A series six or more of Energy Drivers 12 are arranged in symmetrical pairs around the central target region. The symmetrical Energy Drivers 12 are arranged in symmetrical manner about a target pellet location 22, so as to collectively create a preferably highly spherical wavefront that impinges on target fusion pellet at location 22. The energy drivers produce X-ray beams at high fluency which symmetrically compress the target to initiate and sustain a fusion reaction. The energy drivers are preferably Stimulated X-ray Emitters (SXE) as first described by the inventor of this current invention in U.S. Pat. No. 4,723,263. In the preferred embodiment, the mentioned SXE drivers are fitted with an RF producing means which provides a simultaneous pulse of RF energy to provide additional heat to the reaction. This is described further in the discussion of FIGS. 10-13. With reference to FIGS. 1-3, a plurality of Energy Extraction Cones 14 are disposed around central target region 10. Each of these cones is a portion of a vacuum system. They each contain an energy collection grid 46 which produces a High Voltage DC output which is used to drive the SXE Energy Drivers 6. Energy Extraction Cones 14 may be formed in other shapes, such as cylinders. A detailed discussion of this process is found in the discussion of FIG. 3 below. The system of FIGS. 1-3 contains a second energy extraction means which may suitably be a standard Rankine Cycle Thermal loop. Coolant is introduced into an inner heat exchange sub-system 24 by an Inlet Pipe 18, circulated through the heat exchanger 24 and then exited from the reactor via pipe 20. The heated coolant is used to drive a turbine which in turn drives a generator to produce electricity. Most of this electricity is available to supply external energy grids. A small portion is used to provide so-called make-up power to the system to compensate for the small inefficiency of the HVDC Energy Extraction Cones 14. A Fuel Pellet injection system 16 is used to inject the fusion target pellets into the reactor. In the actual system, pellet injector 16 is oriented vertically as shown in FIG. 2B. FIGS. 2A-2B show principal internal and external components and their geometric relationship. In FIG. 2A, we see a cross-section of the reactor. The disposition of the Energy Drivers 12 and the Energy Extractor Cones 14 is clearly visible. Also visible are the inner structures of the reactors, which are shown in detail in FIG. 20. The reactor chamber wall is the outermost layer, shown at 10, which provides structural support for the internal structures and also is the vacuum enclosure. While depicted as a spherical object, other shapes may be successfully employed. The shape of the chamber has no impact on the functionality of the system. The next innermost layer consists of magnetic confinement coils 30. These coils create a strong magnetic field that confines the fusion plasma and keeps it from contacting the liner 28 and other internal structures. The magnetic field produced by the magnetic confinement coils 30 has apertures (low field regions) which correspond to the locations of the energy extractor cones and SXE energy drivers. The next innermost layer is the coolant passage layer (heat exchanger) 24. Coolant enters this structure through the coolant inlet 18, circulates through the coolant passages 24 and exits in a superheated state via the coolant outlet 20. This superheated coolant is used to power a turbine & generator to produce electricity. In this view, the pellet injector 16 is seen in its proper vertical orientation. FIG. 3 is a block diagram of energy flow of the reactor system of FIG. 1. The two energy extraction loops are shown. The thermal loop consists of the thermal coolant inlet 18, the thermal coolant outlet 20, and the coolant passage layer (heat exchanger) 24. The operation of this loop is described above in the discussion of FIG. 2. The High voltage DC Extraction loop consists of the Extractor Cone 14, the Extractor Grid 46, the DC return 48, the Energy Storage and Power Conditioning means 38, the Pulse Modulator 34, and its two synchronized outputs (a) 36, HVDC to the SXE Energy Driver 12 and (b) 32, the Magnetic Confinement Drive signal. Fundamental to a preferred embodiment of this invention is the use of directly extracted high voltage DC to driver the SXE energy drivers. The SXE runs on high voltage DC, so it is directly compatible with the direct DC output of the energy extractor cones 14. The extracted energy is used to recharge the energy storage means 38. The energy storage system can utilize either a capacitive storage means or an inductive storage means or both, by way of example. The use of capacitive storage is the preferred embodiment for this portion of the system. The Energy Storage and Power Conditioning sub-system 38 has a second energy input 40 which allows power from external sources (e.g., 42, 44) to be applied to the system. External source 42 can be an Electron Coupled Transformer as described below, and external source 44 is a high voltage DC power supply. The power from second energy input 40 is used for system startup and also to provide make-up power during operation. This is to compensate for the inefficiency losses in the described HVDC extraction loop. FIGS. 4A and 4B are cross-sections of the basic SXE Energy Driver, which may be used as energy drivers 12 in FIG. 1. FIG. 4A is an and view and FIG. 4B is a side view. Visible in these views are an Anode 64, a Grid 66, and a cathode 68. The SXE is a triode electron tube. It has a novel electron gun structure, comprised of the cathode 68 and the grid 66. The physical juxtaposition of these elements is such that it forms a circular waveguide. A circular waveguide supports the Transverse Electric Mode (TEM) which always propagates at the Speed of Light (“c”) in a vacuum. This property is critical to the function of the SXE in that it ensures that the electron beam, as shown by arrows, sweeps the anode at the speed of light and thus the phase velocity and the group velocity of the wavefront are matched. This is known as the Collapsing Traveling Wave. This is important in the x-ray formation process in that it ensures that the x-rays formed by the beam are always traveling in a highly ionized zone and are therefore not absorbed by self-absorption processes. The grid structure (discussed in detail in FIG. 5) is highly symmetrical. This ensures that the collapse of the wave towards the anode is perfectly symmetrical. As a result, when the electrons strike the anode, they create a highly ionized region of Bremsstrahlung. There are also a large number of secondary electrons present in such highly ionized region. The anode is filled with a lasing material. The Bremsstrahlung photons strike atoms of the lasing material and, as a result of their being at significantly higher energy than the K-shell ionization potential of the atom, they totally ionize the atom. The resulting repopulation cascade causes the release of photons from each electron shell of the atom. The surplus of electrons ensures that this process occurs very rapidly. A cascade reaction follows. The radiation is, at first, isotropic. But as it proceeds along the length of the anode, off-axis radiation is either suppressed by the wall of the abode or used to ionize other atoms. All of this takes place in the ionized zone that sweeps along the anode at “c” (in a vacuum). The resulting beam is collimated geometrically by the anode and consists mostly of K-shell photons, L-shell photons, and M-shell photons when they are present. Referring now to FIGS. 5A, 5B and 5C, these figures show details of the Grid and Phase Matching Network of the SXE of FIGS. 4A and 4B. FIG. 5A shows the entire Grid-Phase Matching Network and details of the Grid insulation. These two elements are actually part of a single structure. FIG. 5B shows details of a preferred Grid Tensioning and insulating means. FIG. 5C shows the electrical schematic of the Phase Matching Network. Common to all tubes with Traveling Wave Electron Guns (TWEG) are the design requirements for the grid. No matter what scale or power level the tube is designed for, the following characteristics are common and must be present in order for the Traveling Wave Electron Gun to operate. The Traveling Wave Electron Gun (TWEG) is a unique structure in that it uses the close juxtaposition of the grid 66 and the cathode 68 to produce a Circular Waveguide structure that supports a Transverse Electric Mode (TEM). The Transverse Electric Mode in a Circular waveguide always travels at the speed of light (“c”) (in a vacuum). This aspect of the TWEG accounts for its extremely fast risetime (one nanosecond for each foot or 30.48 cm of gun length). The grid is also used to both produce the electric field necessary to extract electrons from the cathode and to control the flow of such electrons. This is accomplished by selectively biasing the grid relative to the cathode. Both switching and modulation functions can be attained by appropriate biasing of the tube. There are several critical conditions that must be met when designing a grid for a TWEG structure. They are: (1) The grid-cathode spacing must be constant across the length of the grid. This is usually accomplished by placing the grid under high tension or building it with a rigid structure (2) The number of elements in the grid must be high enough to ensure a constant and uniform electric field in the grid-cathode region. (3) There must be no sharp edges or burs anywhere on the grid structure. Individual elements can be round, flat or high aspect-ratio elliptical shapes. All edges must be fully radiused. In this context, fully radiused means that the edge in question has a radius equal to half the thickness of the material; an example of fully radiused appears at 125 in FIG. 14. The actual implementation of these design rules is determined by the size of the grid being built. The grid can be made from a single piece or, more commonly, a series of individual elements constrained by mounting rings on either end 130, 132, provided with suitable electrical insulators 136, 140 to prevent arcing, and a means of maintaining tension on the grid structure. In the preferred embodiment shown, each grid element is provided with a tensioning means in the form of a heavy spring 146, washer 148, and nut 150. The nuts of the various grid elements are tightened with a torque wrench to ensure uniform tension on all elements. The electrical connection to the grid is made by means of a phase matching network 134, 136 that is connected to the input end of the grid. The phase matching network consists of a series of wires 134 of exactly equal length, with a typical tolerance of +/−0.0005″ (+/−12 microns). Each wire of the phase matching network is connected to the lower grid support ring 132 at a point equidistant from the two adjacent grid elements. There is a plurality of phase matching network wires symmetrically disposed around the grid support ring. The other ends of the phase matching network wires are connected to a common connector element 135. This has a number of holes on one end equal to the number of phase matching network wires, and a single hole on the opposite end. A wire is attached to this hole and runs to the grid vacuum feedthrough. The wires are silver soldered or welded by the Tungsten-Inert Gas method (TIG) as appropriate. TIG welding is preferred but not always possible. The purpose of this phase matching network is to ensure that the entire base of the grid responds to the control signal at the same moment with an accuracy that is preferably in the picosecond range. This results in a highly symmetrical wave propagating in the TWEG structure. This wave of ground potential has the effect of allowing energy stored in the grid-cathode gap and also energy available to the cathode to propagate to the anode resulting in conduction of this signal. When the grid is grounded through the phase matching network, a radially symmetrical collapsing travelling wave of electrons is formed and propagates along the length of the TWEG structure. FIG. 6 shows the operating principle of the Apodizing Filter, with wavefront movement shown by arrows. Optimal performance of any fusion system depends on creating a perfectly symmetrical compression of the fuel target pellet. The Energy Drivers 12 (FIG. 1) of the current invention provides a means of symmetrically illuminating the target. If the wavefronts 60 that impinge on the target are given a concave geometry whose radius matches the radius of the target pellet, then it is possible to create an almost perfectly symmetrical compression wavefront on the fuel target pellet. The reason that this is necessary is to minimize the Rayleigh-Taylor Instability which, if severe enough, can cause the fuel pellet to heat in a non-uniform fashion and thus not ignite in a fusion reaction. If necessary, additional Energy Drivers 12 can be added in symmetrical pairs to increase the uniformity of compression wavefronts. Geometric considerations determine the number of drivers that are added. If six drivers is not sufficient, the next step would preferably be 12 drivers, followed by 14 drivers, followed preferably by 20 drivers. Other numbers of Energy Drivers are possible. The Anodizing Filter 58 of FIG. 6 consists of an object of varying thickness that is placed in the beam path. The cross-section is matched in thickness to the radius of the target. In the preferred embodiment, these filters are made from thin film materials that are deposited to create the desired cross-section. The selection of the material is determined by the energy drive requirement of the fusion fuel combination. In the case of the Deuterium-Tritium reaction, this is between 250 and 350 electron volts, then materials with a very low atomic number such as Lithium, Beryllium, Boron or Carbon would be used. Higher energy reactions such as Hydrogen-Boron would use either these materials or possibly Magnesium, Aluminum or Silicon. It is important that the Atomic number of the density material not be so high that significant absorption occurs. It is noted that the Apodizing Filter will produce some scatter radiation but that is not a problem in the current invention. Fundamental to the process of fusion reactions is the minimization of Rayleigh-Taylor instabilities (RTI) that occurs during compression of the fusion target material. For spherical target geometries, the ideal compression wavefront is a concentric spherical wave that reduces in diameter with perfect symmetry. In practical equipment for controlled fusion reactions, this is extremely difficult to attain. It is important to note that diffractive optical techniques in the form of elements such as Zone Plates can also be used to correct the wavefront. Zone plates are well known in optical sciences. The extension to the soft x-ray portion of the spectrum is simple and has already been reported in the literature. The challenge that faces designers of fusion rectors is how to achieve similar symmetrical compression of the fusion target. A wide range of solutions has produced numerous reactor geometries. The present discussion focuses on the specific case of systems that use fuel pellets as the fusion target material. This class of systems is known as Inertial Confinement (“ICF”) systems. Common to all ICF systems is to have the driver energy presented to the target as a collective series of combined synchronous energy beams. The combined synchronous wavefronts of the energy beams approximate a collapsing spherical shell. In general, the more beams utilized, the better (or more spherical) the confinement. This can be appreciated most clearly in the area of Laser driven fusion where the most successful systems have the highest number of beams. Systems such as the NOVA laser have upwards of 50 beams. The new National Ignition Facility (NIF) at Lawrence Livermore National Laboratory (LLNL) has 192 synchronous beams and is expected to have significantly better confinement than predecessor systems such as NOVA (LLNL), OMEGA (LLNL), and GEKKO (Japan). The basic principles of ICF described as follows are: (1) Confinement times, (2) burn fractions, and (3) the need for target compression implosion. The implosion process of a typical direct-drive ICF target is roughly divided into three phases: initial phase, acceleration phase and deceleration phase. (The presently claimed invention uses a direct drive system.) In the initial phase, first shock wave travels in a fuel pellet and the fluid in the pellet is accelerated mainly by the shock wave. The outer (or ablative) shell is ablatively accelerated inward in the second phase. Then, fuel is compressed heavily in the deceleration phase. In the initial phase, perturbations on the target surface are seeded by initial imprint due to laser irradiation nonuniformity, along with the original target surface roughness. These perturbations are accompanied by rippled shock propagation before the shock breaks out on the inner surface of the fuel pellet, and further accompanied by rippled rarefaction propagation. The perturbations grown on the outer surface due primarily to the R-T instability in the second (acceleration) phase are then fed through on the inner surface. (Nakai Reference.) In the mentioned ME facility, 192 laser beams are utilized to produce 1.8 Megajoules of energy and consume 500 TeraWatts of power, of which 30 KiloJoules is ultimately transferred as x-rays into the deuterium-tritium fuel in the target fuel pellet. With ignition and successful burn, the fuel can produce some 600 to 1,000 times more energy than is put into it. This produces an intense flux of x rays of almost 1,000 terawatts per square centimeter. The large number of beams in the NIF facility will allow the laser illumination to more closely approximate a uniform x-ray field than did the mentioned NOVA facility. Nevertheless, a basic asymmetry will still exist due to hot spots heated directly by the laser beams and cold spots where heat is lost through the laser holes. Because ignition is dependent upon smooth x-ray illumination of the pellet, target designers intend to reduce asymmetries in the x-ray flux to less than 1 percent by properly locating the laser-heated hot spots, adjusting the exact length of the hohlraum that contains the pellet, and modifying the laser pulse intensities. Hohlraums are used with indirect drive systems, in contrast to the presently claimed direct x-ray drive system. The mechanism of Laser driven ICF is premised on light being absorbed at the hohlraum cylinder walls, which converts the laser light into soft x-rays. The hohlraum is made of a high atomic number material such as gold, which maximizes the production of x-rays. These x-rays are rapidly absorbed and reemitted by the walls setting up a radiation driven thermal wave diffusing into the walls of the hohlraum. Most of the x-rays are ultimately lost into the walls, some escape out the laser entrance holes, and the rest are absorbed by the target pellet in the center of the hohlraums and drive its implosion. Typically this coupling to the pellet is a less than of the total energy, or about 0.2 for a power plant scale laser heated hohlraum. Thus, coupling for indirect drive is relatively poor compared to direct drive. (Rosen Reference.) Indirect drive is less efficient at coupling energy to a pellet than direct drive because of the conversion to x-rays in the hohlraum. However, indirect drive is less sensitive to variations in beam intensity and hydrodynamic instabilities. The ignition threshold for directly-driven and indirectly-driven targets is about the same. However, the gain is calculated to be about a factor of 2 greater in directly driven targets. The choice of the x-ray temperature is crucial because it dictates the material forming the pellet's outer, ablator layer, key to the implosion and subsequent ignition reactions. If this layer is smooth enough and bathed uniformly in x rays, its ablation will efficiently force the pellet inward at a velocity of about 400 kilometers per second (more than one-thousandth of the speed of light) and create the pressure and temperature required for fusion reactions to begin. (Haan Reference.) One of the key issues in minimizing Rayleigh-Taylor instabilities concerns the x-ray flux interacting with the ablator surface. At higher fluxes, the ablation of the material also carries off the growing perturbations. Initial perturbations are also minimized by making pellet layers as smooth as possible. Laser-plasma instability and hydrodynamic instabilities are complementary threats to ignition, and the targets are intentionally designed so that the two threats are roughly balanced. Higher temperatures requiring higher laser intensities worsen laser-plasma instabilities but minimize hydrodynamic instabilities. In turn, low temperatures minimize laser-plasma instabilities but magnify hydrodynamic instabilities. As a result, designers have arrived at low and high x-ray temperature boundaries, about 250 electron volts and 350 electron volts for the specific case of Deuterium-tritium fuel, beyond which efficient implosion and ignition are difficult to attain (optimum conditions. Other fuels have higher energy requirements. The fundamental difference between the dynamics of implosions directly driven by lasers and those driven by x-rays is that lasers are absorbed at relatively low electron density, n, corresponding to the critical electron density for the wavelength of that laser, whereas x-rays are absorbed deeper into the target at solid material densities, which, when ionized by the x-ray flux, are at very high electron densities. Thus even if the laser is at ⅓ mm light, the typical x-ray absorption region has electron densities nearly 100 times larger. To achieve the conditions under which inertial confinement is sufficient to achieve thermonuclear burn, an imploded fuel pellet is compressed to conditions of high density and temperature. In the laboratory a driver is required to impart energy to the pellet to effect an implosion. There are three drivers currently being considered for ICF in the laboratory: (1) High-powered lasers, (2) accelerated heavy ions, and (3) x-rays resulting from pulsed power machines. We define the ablation velocity by r Vabl 5 dm/. We expect a full order of magnitude difference in Vabl, between direct and indirect drive. Direct drive, by virtue of its overall better coupling [{acute over (η)}r of order (0.8)(0.1)=8% versus indirect drive (0.2)(0.2) 4%] has advantages over indirect drive, both in terms of gain, and in terms of a smaller driver, but is challenged by the RT instability. (Barnes Reference.) The pressures, P, will scale as nT{acute over (α)}n1/3/2/3. By this scaling we would expect about a factor of 5 difference in pressures between direct and indirect drive, and indeed at equal energy fluxes of 1015 W/cm2, ⅓ mm laser light has a pressure of about 90 MB, whereas x-rays produce an ablation region pressure of about 400 MB. The higher pressure attainable with direct x-ray drive coupled with the higher coupling efficiency make it a more desirable candidate. One of the reasons direct x-ray drive has not been chosen for large scale experiments to date has been the unavailability of suitable drivers. In order to achieve conditions for ICF, targets have a spherical shell filled with a low density (≦1 mg/cm′) equimolar mixture of deuterium and tritium (DT) gas. The spherical shell consists of an outer ablator and an inner region of frozen or liquid DT. Energy from the driver is delivered to the ablator which heats up and expands. As the ablator expands the rest of the shell is forced inward to conserve momentum. The pellet behaves as a spherical, ablation-driven rocket. As the pellet implodes, the compression wave heats the central region. Electron conduction and radiative losses act to cool the central region. Fuel convergence ratios of 30-40:1 and a central fuel temperature of 10 Key are required so that α particle deposition from thermonuclear burn of DT can overcome conduction and radiative losses and a self-sustaining burn wave can be generated. An asymmetric implosion will convert less of the available energy into compression. Assuming the available energy is such that a 25% variation in symmetry is tolerable at peak fuel compression, then less than 1% variation in symmetry is acceptable in the precompressed pellet. (Barnes Reference.) The preceding discussion explains the dynamics of target implosion physics, the relative efficiencies and trade-offs of the direct and indirect drive schemes and the impact of Rayleigh-Taylor Hydrodynamic Instability (RTI). Prior work has focused on improving the uniformity of laser illumination to minimize the effects of RTI. We note that once the fuel pellet is ignited, there is no difference between direct and indirect drive fusion systems. Since lasers are the most prevalent high energy drive source, they have been the focus of most of the research. Heavy ion beams have been used but those systems tend to be less efficient than the laser drive systems. A small percentage of work has been done using direct x-ray drive. This has been mostly done with either Z-pinch or plasma focus drivers. Neither of these systems has demonstrated the reliability or efficiency for practical direct drive x-ray fusion processes. The Stimulated X-ray Emitter (SXE) of U.S. Pat. No. 4,723,263 is uniquely suited to resolving both the driver and the RTI issues. This system scales efficiently to the sizes necessary to drive fusion reactions. If we take NIF value of 30 KiloJoules of x-ray flux as being necessary to drive a fusion reaction, we can scale an SXE system accordingly. If we use 6 drivers, then each driver needs only produce 5 KiloJoules. Twelve drivers scale to 2.5 KiloJoules and 20 drivers scale to 1.5 Kilojoules. The following shows what is necessary to produce a 2.5 KiloJoule (for example) SXE driver. Early research with the SXE showed that is has 10% conversion efficiency. Thus, to achieve 2.5 Kilojoules output, 25 KiloJoules DC input per driver are required. Assuming we operate a one foot (30.48 cm) diameter SXE at 500 KV, we get approximately 3.5 KiloJoules per linear foot of driver. Further assuming we want a 20 nanosecond x-ray pulse; this means that a 20 foot long SXE (6.1 meters length) would be required. A 20 foot (6.1 meter) SXE would thus be capable of 7 Kilojoules of x-ray output. So this driver could actually be used in a 6 driver configuration. The use of 20-foot drivers yields a compact system “footprint” of 3,600 square feet (335 square meters) and occupies a cube with 60 feet to a side (216,000 cubic feet or 6,116 cubic meters). Such a system is sufficiently compact to be used in maritime applications, such as in aircraft carriers and other major naval vessels or dedicated floating power plants. This is very attractive except when one considers the RTI issue. The SXE produces a nominally planar wavefront in its output pulse. In a 6-driver configuration, it is clear that RTI would probably preclude a successful reaction from occurring. If, however, we are willing to accept a small loss of efficiency, it is possible to introduce an Anodizing filter into the x-ray beam, as discussed above in connection with FIG. 6. As used herein, “an Anodizing filter” means a quasi-optical element that has a transmission profile which is denser in the center than at the edges, with some controlled attenuation function from the edge to the center to the edge. This would be the x-ray equivalent of Anodizing filters that are routinely used with optical band lasers to control the wavefront shape. The filter for the SXE would be built to produce a concave wavefront. The symmetrical assembly of concave wavefronts would be highly beneficial in suppressing RTI by increasing the uniformity of the compression wavefront. The use of more than 6 drivers, in configurations such as 12, 14, 20 or more drivers offers the potential for increased uniformity of the compression wavefront. The advantage of using an Apodizing filter is to minimize the number of drivers required which lowers the total cost and complexity of the system and increases the reliability of the system. This concept can be extended to optical drive fusion systems as well. However, given the advantages of Direct X-ray drive, particularly when one consider the fast reaction group of processes, the use in optical drive systems, while certain to improve performance, is negated by the advantages of X-ray drive. While the use of a variable density object as an anodizing filter is considered the preferred embodiment, it is noted that it is possible to use diffractive optical techniques to construct an anodizing filter for the soft x-ray band. A typical form of diffractive optic is the Zone Plate. This device uses Fresnel zones to modify the wavefront. Such a diffractive filter is currently more difficult to manufacture than a variable density type anodizing filter. FIG. 7 shows a projected view of the SXE driver enhanced with an energy storage capacitor 70 integrated directly into its structure. One of the most difficult problems associated with controlled fusion reactions is getting a sufficient amount of energy into the reaction in a very short period of time. It is necessary to deliver energy on the order of 30 KiloJoules of x-rays into the target in a few nanoseconds. Given that electricity travels at or near the speed of light, which equates to approximately one foot (30.48 cm) per nanosecond, and the time available to do this is only a few nanoseconds, it becomes clear that the energy storage means must be proximate to the means of energy delivery. This issue is addressed in the design of the SXE driver 12 of FIG. 4 by adding a coaxial capacitor to the external surface of the SXE, as shown in FIG. 7. The external surface of the SXE is the outside surface of the cathode 68, so it offers a very large, low inductance means of connection. The entire inner surface of the capacitor is bonded in intimate electrical contact to the cathode. The capacitor is then wound around the SXE driver until it has a suitable diameter to provide the required capacitance to store the energy necessary for the reaction. It should be noted that the cathode-grid interelectrode space is a capacitor by itself and stores a considerable amount of energy. A three-inch (75 mm) diameter structure stores approximately 200 picofarads per foot (30.48 cm). A two foot (61 cm) diameter device would store 1.6 nanofarads per foot (30.48 cm) if operated at 500,000 Volts and would store approximately 4 Kilojoules in the cathode-grid interelectrode space. Thus, the coaxial capacitor would only have to add one KiloJoule to meet the requirements of the fusion reaction. The reason that this enhanced storage means is included in a preferred form of current invention is for large scale commercial power generation. It also allows for shorter energy drivers 12 to be used if “Fast Fusion” reactions are contemplated. The trade-offs in x-ray pulse width and energy suggest the possible necessity for this enhancement. FIG. 8 shows a cross-section of an Electron-coupled Transformer. The Electron Coupled Transformer (ECT) is a novel electron tube derivative of the SXE. The ECT is a pulse amplification device. It utilizes the same style electron gun as the SXE (i.e., cathode 68 and grid 66). The difference lies in the design and installation of the anode 64. In the SXE, the anode is always hollow and filled with a lasing material. The input end (left, lower, FIG. 8) is always connected to ground. The inventor of the current invention realized that the basic SXE structure was very similar to a class of high speed transformers known as “Linear Adder Transformers”. In these devices, the secondary is a “stalk” with one end attached to ground and the other end as the high voltage output terminal. A series of Toroidal secondaries are stacked on the stalk. These are pulsed in sequence, such that the time between pulses is equal to the propagation time of the pulse up the stalk. Each secondary pulse adds to the energy (voltage) in the secondary. The disadvantage of the Magnetic Linear Adder Transformer is that the Toroidal primaries will go into saturation and collapse the field if they are driven with too large a pulse. This limits the amount of energy that one can extract from this type of transformer. The inventor of the current invention realized that there was a strong similarity between the Linear Adder Transformer and the SXE. The both incorporated a “Stalk. Both used a sequential drive mechanism, but the SXE had a much larger current-handling capacity due to the large current-handling capacity of its cold cathode. In early SXE experiments, both ends of the anode were grounded so no high voltage was observed. An experiment was conducted in late 2006 where a version of the SXE was constructed that had only one end grounded and the other end highly insulated. A solid anode 64 (FIG. 8) was used in this test. A pulse was injected into the cathode and the anode output was measured. A final distinction between the Linear Adder Transformer and the ECT is that in the Linear Adder Transformer, the primaries are separate distinct entities. The pulse that results has a “staircase” leading edge as a result. The ECT, in its preferred embodiment, has a continuous primary (cathode) and thus has a smooth leading edge to its pulse. The ECT is much lighter than a Linear Adder due to the lack of magnetic cores. A 100 KV 100 KA ECT weighs less than 200 pounds (90.7 kilograms). FIG. 9 shows the results of the foregoing test. The input pulse 86 and output pulse 84 were measured with identical voltage dividers on a high speed oscilloscope. The output pulse was several times larger than the input pulse, thus verifying the operational concept of the ECT. The enormous energy handling capacity of the ECT gives us some options for the power supply design. The basic choices are: (1) Individual Isolated Power Supplies 34, 38 (FIG. 3) for each driver 12 (no matter how many are used) which are synchronized by the use of high precision delay generators in each power supply. (2) Two large power supplies 34, 38 (FIG. 3), one for each half of the total number of drivers 12, with a single delay generator to synchronize the two sides. High voltage is distributed by a network similar to the phase matching network 134, 136 (FIGS. 5A-5C) where the transmission line 36 lengths are controlled to ensure synchronization of the drivers 12. (3) A single large supply 34, 38 to drive the entire system. High voltage is distributed by a network similar to the phase matching network 134, 136 where the transmission line 36 lengths are controlled to ensure synchronization of the drivers 12 While theoretically possible, the design of #3 above would not be practical for geometric and safety reasons. The High Voltage transmission lines 36 (FIG. 3) would be very long and there would be constant risk of arc discharge. The design of #2 above is more practical but still has long transmission lines 36 (FIG. 3). It does, however, have the advantage of reduced system complexity and therefore higher reliability. The ECT 42 and Pulse Modulator 38 designs will handle the load imposed by this design. The design of #1 above is the most complex, but in some ways the easiest to implement. The individual power supplies 34, 38 for each driver 12 would be of “modest size”. The high voltage transmission line 36 from the power supplies 34, 38 to the drivers 12 would be extremely short, which is preferred. Each power supply 34, 38 would have to be controlled by its own delay generator and there would be a necessary tuning process where all the drivers 12 are brought into temporal synchronization. We note that it is also possible to synchronize the drivers 12 by mechanical means. In this case, the physical length of the high voltage input line 36 would be adjusted by a small amount (fractions of an inch or millimeters) to achieve temporal synchronization of the drivers 12. Referring back to FIG. 8, we see that the ECT is nearly identical in form to the SXE (FIG. 4) but the dimensions, anode, and output are different. In the preferred embodiment, the ECT is encased in a Glass Vacuum Envelope 76. There is a robust high voltage insulator 80 at the output, which provides a constant impedance electrical connection to the outside world. The Grid and cathode signals are fed in through feedthroughs 74 and 72, respectively. The entire device is encased in a Lead radiation shield 78 to contain the transverse radiation field that forms. The thickness of shield 78 is a function of the cathode voltage and is calculated by conventional means for determining a radiation safety shield. We note that it is both possible and practical to utilize the coaxial capacitor energy enhancement scheme described above in the “Energy Storage Enhancement of SXE” with the ECT. This would be a convenient method of making additional energy available to the ECT for extremely high power applications. FIG. 10 shows an SXE combined with an RF generating means, and FIG. 11 shows the RF generating means. Specifically, a separate tube known generically as a Virtual Cathode Oscillator (Vircator) is mounted onto the output (right-shown) end of the SXE in FIG. 11. In this configuration, we take advantage of the Electron-Coupled Transformer (ECT) principle to use the high voltage pulse that is created by the SXE process and apply it directly to the cathode 90 of the Vircator. The Vircator body forms a resonant cavity 98 which oscillates when the cathode fires. A grid 92 controls the firing of the Vircatron. The control signal is obtained from the output terminal 142 of the grid of the SXE, which is located at the opposite end from the phase matching network. The trigger pulse is applied to the Vircator sequentially as a result of the Traveling Wave action of the SXE Grid. The Cathode and grid contain an aperture in their center that the x-ray pulse propagates through. The novelty of the foregoing system is that it combines two techniques known by themselves, i.e., Direct x-ray drive and RF Heating, so as to realize increased system efficiency. This concept is practical because the SXE is going to generate a high voltage DC pulse whether it is used or not. However, if the RF heater is not employed, then the SXE output is grounded and no high voltage DC pulse occurs. The electrical energy then leaves the system in the form of a current pulse in the ground return. But, because the HVDC pulse is available, it makes sense to use it, particularly since using it does not affect the x-ray output. FIG. 11 shows a cross-section of the Vircator RF head. The principle components are the cathode 90, the grid 92, a mesh anode 94, a resonant cavity 98, and an output window 96. The drive pulse comes directly from the anode of the SXE 12, which is attached directly to the Vircator cathode via the cathode feedthrough 102. The Vircator is triggered by the output signal from the SXE grid 142. When the Vircator is triggered, a burst of RF energy is formed by oscillation in the resonant cavity 98. This energy has a spectral distribution that is determined by the dimensions of the cavity 98. Typically, this energy is between 200 MHz and 2.5 GHz. The energy exits the Vircator and enters the Target Chamber 10 by the output window 96. The Vircator is one type of RF source that can be integrated to the SXE 12 to increase system operating performance. The Vircator cathode 90 has an aperture 93 in its center through which the x-ray pulse from the SXE passes into the target chamber 10. FIG. 12 shows a cross-section of an SXE combined with a Magnetically Insulated Linear Oscillator (MO) at the output (right-shown) end of the SXE. The MILD is another well known, high power RF source, similar to the Vircator. The significant difference is that it can produce much higher frequencies than the Vircator. Structurally, the major difference is the incorporation of a drift tube 122 of FIG. 14A and use of a Traveling Wave Electron Gun (TWEG) instead of the planar cathode 90 and grid 92 of the Vircatron. There is a resonant cavity 98 and its dimensions in conjunction with the dimensions of the drift tube 122 (FIG. 14A) determine the output range. Conventional MILD devices have outputs between 300 MHz and 3.5 GHz. The inventor of the present invention has experimentally verified that by placing a grating surface on the inner face of the drift tube 122 (FIG. 14A), as shown FIG. 14B, it is possible to generate RF at much higher frequencies than those available from a smooth bore drift tube 122. The source of this RF is due to the Smith-Purcell effect which describes the interaction of a relativistic electron beam with a grating surface 123. Outputs in the THz range are possible. The grating surface can be formed by many methods. The spacing, face angle and grating geometry all are determinants in the frequency achieved (FIG. 14B). It has been determined that the preferred embodiment of the drift tube grating is an internal thread as shown in FIGS. 14A and 14B. By altering the thread parameters, the output frequency is changed. The ends of the Drift Tube 125 are radiused to minimize formation of undesirable electric field perturbations inside the Resonant Cavity 98. The balance of the SXE-MILO driver is the same as the SXE-Vircator. In fact, the RF heads—Vircator and MILO—can be interchanged. As in the case of the SXE-Vircator, the TWEG of the MILO has a hollow center through which the x-rays pass. The electron output from the TWEG is compressed by the drift tube 122 and oscillates in the resonant cavity 98. The SXE-based fusion power generation system has a substantially higher efficiency than all other fusion power generation systems. This is due to two factors: (1) Direct x-ray drive is inherently more efficient than any indirect method. (2) Multiple Means of Energy Extraction. Let us consider what the basic efficiency determinants of the fusion process are. We will first consider the amount of energy required to initiate a fusion reaction. Let: W=Power input to drivers, (NIF=400 TeraWatts; SXE=50 MegaWatts) X=Energy required to generate x-rays (NIF=1.5 MegaJoules, SXE=50 KiloJoules) Y=Amount of x-ray required to drive the reaction (25 KiloJoules; either case) Z=Total energy output of the fusion, (1000 times the input energy; either case) T=Reaction Burn Time (5 Hertz repetition rate 200 milliseconds; either case)We can now make the following statements: X>Y, and for a system to be practical, Z>>X In the case of NIF, X=1.5 MegaJoules, and Y=25 Kilojoules (for a D-T reaction). According to researchers at NIF, a complete burn of the fuel pellet will produce “somewhere between 600 and 1000 times the amount of energy that is put into it [the target]” (Haan Reference). Dr Haan does not tell us whether he means the laser power input or the x-ray input. If he is referring to the laser power input of 1.5 MegaJoules, this would argue for an output of 1.5 GigaJoules. If he is referring to the x-ray input, then 25 KiloJoules input would yield 25 MegaJoules output. The NIF baseline design calls for a pellet injection rate of 5 pellets per second, so it is reasonable to presume that the useful life of the plasma is 200 milliseconds. The NIF system requires around 400 TeraWatts of power (4×1012 Watts) to accomplish this. If we use the actual x-ray input of 25 KiloJoules, and an output of 25 MegaJoules, the output value times the burn time equals 5 MegaWatts. A system that consumes 400 TeraWatts to produce 5 MegaWatts has an efficiency of 0.00015%. If we were to use the input power to the laser as a multiplier instead of the x-ray input power, the output would only be around 250 GigaWatts. In either case, when compared to the massive input power requirement (400 TeraWatts), it is clear that NW is only a step in the process, not a system that should achieve breakeven conditions. Let us now consider an SXE based system using the same D-T reaction and fuel pellet as the above analysis of NW. We have previously shown that the D-T fusion reaction produces 2.5×108 watts (250 GigaWatts) per pellet for a period of 200 milliseconds. The SXE driver system will consume 25 MegaJoules which, for the 200 milliseconds time period works out to (2.5×108)×(2×10−1)=5×107 Watts or 500 MegaWatts. A system that consumes 500 MegaWatts to produce the same 250 GigaWatts has an efficiency of 500% (output/input=efficiency). We now take the Rankine cycle loss into account and come up with an efficiency of 250%. The foregoing calculation does not take into account one of the most important characteristics of a preferred embodiment of the current invention: The simultaneous use of direct extraction of high voltage DC to run the SXE drivers, which run on high voltage DC. The direct extraction process has a verified efficiency of approximately 85%. This means that 15% of 500 MegaWatts (75 MegaWatts) is drawn from the thermal output leaving over 249 GigaWatts available for output to a power grid. This feature makes the use of SXE systems for maritime applications a practical as the dimension of the system are small enough to allow its incorporation on any ship with a beam of 100 feet (30.5 meters) or more. This analysis also shows that the baseline design system described in this application is more than capable of exceeding breakeven conditions. The current invention is not limited to the use of the SXE and its derivatives as the x-ray source for providing energy to initiate the fusion reaction. There is a prior art device known as a Plasma Focus device. This is an electron tube with a different structure from the SXE. It is capable of producing intense x-ray bursts at the energy levels required for Direct Drive Fusion Applications. It has several disadvantageous attributes which make it less desirable than the SXE for use as a fusion driver. The Plasma Focus does not produce a collimated beam of x-rays as the SXE does. This is not desirable as there is a need to focus the energy on the target. The SXE produces a collimated beam of the correct diameter. The Plasma Focus requires an off-axis reflector that is curved in 2 dimensions. This reflector can be used to collimate the beam or bring it to a focus on the target pellet. The beam quality is such that it would be necessary to use the Apodizing Filter of a preferred embodiment of this invention to correct the wavefront to a useful shape. The Plasma Focus does not generate a simultaneous High Voltage DC output pulse as the SXE does. This is a disadvantage as it means that external heating or compression technologies will require a separate power supply and will lower the overall efficiency of the fusion reactor significantly. (Gai Reference.) The following list of drawing reference numbers has three columns. The first column includes drawing reference numbers; the second column specifies the parts associated with the reference numbers; and the third column mentions a preferred material (if applicable) for the parts. PREFERREDREFERENCE NUMBER LISTMATERIAL10Target ChamberStainless Steel12SXE X-ray Driver (6 places)Various14Energy Extraction Cones (6 places)Various16Target Pellet InjectorVarious18Thermal Coolant InletStainless Steel20Thermal Coolant OutletStainless Steel22Target Pellet Locationn/a24Coolant Passage Layern/a28LinerRefractory Metal30Magnetic Confinement CoilsCopper32Magnetic Confinement Driven/a34Pulse ModulatorElectronics36HV DC to SXE DriverElectronics38Energy Store & Power ConditioningElectronics40Startup & Makeup PowerElectronics42Electron Coupled TransformerVarious44HV DC Power SupplyElectronics46DC Extractor GridRefractory Metal48HV DC recycles to Energy Storen/a56Plane WaveX-ray58Apodizing FilterVarious Low-Z Materials60Corrected WavefrontX-ray62Collapsing Traveling WaveElectrons64AnodeRefractory Metal; Hi-Z66GridRefractory Metal68CathodeGraphite (PreferredEmbodiment)70Coaxial CapacitorDielectric/Metal Layers72Cathode FeedthroughCeramic & Metal74Grid FeedthroughCeramic & Metal76Glass Vacuum EnvelopeGlass (Ceramic,Stainless Steel)78Radiation ShieldLead80Anode Output InsulatorCeramic84ECT Input Waveformn/a86ECT Output Waveformn/a90Vircator CathodeGraphite92Vircator GridRefractory Metal93Aperture in Vircator Cathoden/a94Anode MeshRefractory Metal96Output WindowRF TransparentLow-Z Ceramic98Resonant Circular CavityStainless Steelor Copper100Mounting FlangeStainless Steel102Cathode FeedthroughCeramic & Metal104Cathode SupportRefractory Metal106Grid FeedthroughCeramic & Metal108Grid SupportRefractory Metal110Getter Pumpn/a112Getter Pump FeedthroughCeramic & Metal114MILO CathodeGraphite116MILO Cathode SupportRefractory Metal118MILO GridRefractory Metal120MILO Grid supportrefractory Metal122Drift TubeRefractory Metal124Drift Tube SupportCeramic125Radiused end of Drift TubeRefractory Material126Internal Anode InsulatorCeramic128Grid insulatorCeramic130Upper Grid Support RingStainless Steel132Lower Grid Support RingStainless Steel134Phase Matching Network WireStainless Steel136Phase Matching Network ConnectorStainless Steel138Internal Anode InsulatorCeramic140Phase Matching Network End InsulatorCeramic142Grid Output TerminalRefractory Metal144Wire to Grid FeedthroughCeramic & Metal146Grid Tension SpringStainless Steel148WasherStainless Steel150Hex NutStainless Steel152Phase Matching Network InsulatorCeramic The following literature references are cited in short form in the specification. For instance, the short literature reference for author “Nakai” is given as follows: (Nakai Reference.) “On Target Designing for Ignition”, Steven Haan, Lawrence Livermore, Science & Technology Review, July/August, 1999 “The Physics Issues That Determine Inertial Confinement Fusion Target Gain and Driver Requirements; A Tutorial”, Mordecai D. Rosen, Lawrence Livermore National Laboratory, Livermore, Calif. 94550 12 Nov. 1998, Physics of Plasmas, Vol. 6, No. 5. “Convergent Hydrodynamics of Inertial Confinement Fusion Implosions”, C. W. Barnes, LLNL Physics Division 132 Progress Report 1997-1998 “Fundamental Experiments on Hydrodynamic Instability in Direct Drive Laser Fusion at Gekko XII”, M. Nakai, Institute of Laser Engineering, University of Osaka, Japan. “Production of Fast Neutron with a Plasma Focus Device”, Moshe Gai, Laboratory for Nuclear Science at Avery Point, University of Connecticut, 5 May 2006 The foregoing describes fusion power systems in which both high voltage DC energy and thermal energy are extracted. In one embodiment, the extracted high voltage DC energy can be used as an energy source to sustain controlled fusion reactions. High hydrodynamic stability in focusing driving energy onto a target fuel pellet can be realized with the use of an Apodizing filter to shape the driving energy wavefronts that reach a fuel pellet. While the invention has been described with respect to specific embodiments by way of illustration, many modifications and changes will occur to those skilled in the art. It is, therefore, to be understood that the appended claims are intended to cover all such modifications and changes as fall within the true scope and spirit of the invention. |
|
description | This is a continuation application, under 35 U.S.C. § 120, of copending international application No. PCT/EP2014/056068, filed Mar. 26, 2014, which designated the United States; this application also claims the priority, under 35 U.S.C. § 119, of German patent application No. DE 10 2013 205 524.2, filed Mar. 27, 2013; the prior applications are herewith incorporated by reference in their entireties. The invention relates to a pressure-relief system, also referred to as a venting system, with integrated filtration for the containment of a nuclear plant, in particular for a boiling water reactor. It further relates to an associated operating method. During major accidents in nuclear power plants involving a core meltdown, very high levels of airborne activity occur within the safety enclosure, also referred to as the containment, which can be released into the environment in significant quantities when there are large leakages and unpermitted overpressure situations. This airborne activity may in such cases cause high-level unwanted long-term land contamination in the area surrounding the nuclear power station, above all due to the high half-life periods of the different radionuclides contained, particularly iodine and cesium isotopes. Different systems for overpressure limitation and pressure relief of the containment in accident situations are already installed in numerous nuclear power stations. These facilities allow the retention of aerosols and, in part, elementary iodine too, and also organic iodine compounds (organoiodine), and they often contain wet cleaning systems or scrubber systems for the pressure-relief flow, also referred to as the venting flow, with substantial water reservoirs in terms of volume in the form of tanks and other storage containers. These large water reservoirs are necessary in order to limit the undesirable effects of the decay heat from the retained radioactive aerosols and iodine, namely temperature rise to water evaporation, and allow the cooling of hot gases to boiling point temperature in venting mode. A drying-out of the scrubber system would jeopardize the retention function and, in addition, cause the retained activity to be released again. Furthermore, research on containment behavior in existing plants has shown that in certain accident scenarios significantly increased activity and, consequently, aerosol and iodine decay heats can be released. The water requirement in the scrubber facilities of the venting system is therefore significant in such situations and leads to correspondingly high-volume facilities which usually can no longer be housed in existing buildings. This means new installation buildings which bring with them substantial costs. Existing retrofitted facilities such as scrubbers or sand bed filters, for example, and corresponding combinations of these, solve the problem of organoiodine retention, which has recently had to be re-evaluated on the basis of corresponding research findings, with a great deal of success in some cases. However, facilities of this kind still necessarily have to be protected from external influences, as a result of which they prove very expensive to construct and generate substantial costs. The problem addressed by the invention involves identifying a remedial measure in this respect and providing a venting system for the containment of a nuclear power plant which allows effective and reliable operation of a wet scrubber for the venting flow with a simultaneously compact design, particularly with regard to the amounts of washing fluid to be made available. Furthermore, a particularly advantageous operating method for a venting system of this kind is to be specified. It is accordingly an object of the invention to provide a venting system for the containment of a nuclear plant and method of operating the venting system that overcomes the above-mentioned disadvantages of the prior art devices and methods of this general type. The invention is based on the knowledge that an improvement in known venting systems and associated scrubber facilities can be achieved through the passive development of existing water reservoirs, including in existing plants, to achieve higher safety standards. This facilitates a simplified layout of the containment and associated security systems and substantial cost reductions as a result. The method according to the invention and the facilities are preferably used so that the gases or steam produced during emergency conditions with a core meltdown due to the residual heat released, including leakages, are completely drawn off in the event of overpressure and almost completely cleaned of airborne activity before being released into the environment. The retained activity is advantageously quickly fed back into the containment. In this way, relevant activity leakages and, as a consequence, ground contamination in the area surrounding the nuclear plant can be prevented. With the design according to the invention, it is possible by producing passive propellant pressure by using accident-related pressure gradients, preferably combined with passive filling level control, for the water level (more generally: the scrubbing liquid level) in the scrubber facilities to be filled up before or at the start of the venting process and/or constantly kept within the desired range. In this case, liquid accumulations which exist in any case or are created as a result of the accident are used as washing fluid reservoirs, so that reliable operation is guaranteed, even with very small-sized external or additional storage containers. The filling of the scrubber facilities may, on the one hand, take place when the venting system is started, in particular prior to the actual venting, using the maximum propelling, static pressure difference. On the other hand, a propellant pressure may be generated passively, particularly through selective throttling of the venting flow in the gas inlet region of the scrubber facility utilizing flow-dynamic relationships, which propellant pressure draws the scrubbing liquid into the scrubber facility. The necessary negative intake pressure may be produced automatically, for example by selective throttling and a drop in pressure in the Venturi tube of a Venturi washer. In this case, a negative propelling pressure difference >0.1 bar, preferably with a high pressure at the start of venting >1 bar, in relation to the feeding water reservoir, e.g. the wet well in a boiling water reactor or the building sump in a pressurized water reactor, is produced in the gas inlet region of the scrubber facility, e.g. by nozzles, especially Venturi nozzles, perforated nozzles and similar variants. The water suction lines may furthermore be sized in such a way that even with a boiling pool with steam bubble formation in the suction line, effective plug conveying is made possible, particularly through a sufficiently small diameter of <25 mm, advantageously <15 mm. Through a combination of suction lines of different nominal widths, initial rapid filling and subsequent filling level top-ups, including with a boiling pool, can each be separately optimized. The aforementioned measures mean that comparatively large height differences of 5 to 30 m or more, for example, between the water reservoir (more generally: scrubbing liquid reservoir) and the scrubber unit can be overcome. The adjustment and control of the filling level in the scrubber is preferably carried out via a float filling level control, preferably using a dirt-proof control ball lock or rotary slide valve. By connecting the two mechanisms in series, greater filling reliability can be achieved. In this case, for example, the control ball lock can be inserted at the water outlet and a rotary slide valve included upstream—integrated in the top-up line. In particular, in venting mode and where evaporation occurs, e.g. through incoming overheated gas and/or through decay heat in the scrubber, the quantity of water evaporated in each case is passively fed back out of the reservoir. In a further advantageous embodiment it is possible, even in after-venting mode without throughput, when there is a small incidence of decay heat of 10 to <50 kW, for example, through the combination of evaporation and convective heat delivery via the surfaces of the scrubber facility, to remove the heat generated and thereby achieve complete passivity and independence of the facility, even during long-term operation. The maximum feeding rate is advantageously limited by throttle devices in the top-up line, such that in the case of variants with a very high decay heat of 500 to 1,000 kW, for example, on the one hand, the maximum amount of evaporated water (equivalent to the evaporation output) can be fed in and with attachment to a wet well as the reservoir, this limitation at the same time acts as an outflow limitation from the wet well. This means that if a line of this kind fails, no short-term wet well failure can occur and overfeeding of the leakage is prevented. By positioning the inlet opening of the top-up line as low as possible in the wet well, even when the liquid level has dropped and the condensation pipes are exposed accordingly, the remaining liquid volume in the wet well can be used to top up the scrubber facility and therefore for effective gas purification in the scrubber facility. In particular, this produces the advantage that in the case of accident-related evaporation of the water normally covering the outlet openings of the condensation pipes, for example, during which the gas filtration which otherwise takes place in the wet well fails, at least the gas purification in the scrubber facility is retained, without further quantities of water having to be actively moved in the short and medium term, such as in difficult station blackout situations when pumps are not available, for example. In this way, safe operation of the plant and a substantial increase in safety during serious accidents is achieved. In addition, an additional water reservoir is used to fill or refill the scrubber facility, e.g. in the form of reservoir tanks arranged at ground level and the like. In this case, the water supply for the scrubber facility is established by an independent pump unit, for example, which is operated by a battery module or via compressed air cylinders. Through the combination of filling by means of wet well water, for example, and/or additional filling by the other aforementioned water reservoirs, a reliable long-term heat removal is ensured, even when there are very high decay heat outputs in the scrubber. The Venturi section in the Venturi scrubber is advantageously formed by long Venturi tubes, the scrubbing liquid suction region whereof lies deep in the pool and which blows out above the scrubbing liquid level for the most part. These Venturi units are preferably operated at the high Venturi speeds of >150 m/s, preferably >200 m/s, known from German patent DE 103 28 773 B3—relative to the scrubbing liquid suction area on the Venturi neck—combined with a further subsequent critical throttling. Venturi tubes—round venturis or also flat venturis—within a neck area region >2 cm2 to 20 cm2 are preferably used in order to guarantee a minimum number and, with simultaneously small dimensions of <5 cm thick, preferably <3 cm thick to guarantee short scrubbing liquid feed-in paths and scrubbing liquid suction slits/areas of <50% of the neck areas, preferably at an angle of 30° to 90° to the Venturi axis, to achieve an optimum separating process. In some cases, a version with short Venturi tubes or a combination of short and long Venturi tubes which blows out below the water level may also be advantageous. The separation of the scrubbing liquid from the gas flow purified in the Venturi tube preferably takes place in stages. Part of the charged scrubbing liquid is separated right at the Venturi outlet by means of impact separation. To further minimize the water requirement during the start-up phase, there can be a separation of the Venturi liquid at the outlet pipe by an impact separator and selective return into the suction region. In addition, very high empty pipe speeds of >1 to 3 m/s, for example, and selective drop entrainment which leads to further harmful substance separation through the formation of highly effective reaction surfaces, are advantageously set. In a subsequent, highly effective centrifugal force separator stage which preferably contains a high-speed blade separator with a high-speed and low-speed range, particularly with >3, preferably >10, blade rows which are preferably flowed through horizontally at speeds >5 m/s h, in order to achieve small limiting droplet diameters <10 μm, the scrubbing liquid is separated from the gas flow and conducted back into the pool. By using an upstream agglomerator/demister, there is a possibility of reducing the limiting droplet diameter to values <5 μm and therefore greatly increasing the separation rate, particularly of small drops. In a further demister stage which is preferably occupied by metal fibres of >60 μm thickness graduated to 8 μm thickness, a further agglomeration of the remaining fine droplets and also a partial separation of fine aerosols can also take place. In addition, further fiber mats with a finer configuration or sintering pore filters can be included downstream. This particular combination with permanently high Venturi speeds—adjusted by means of subsequent critical throttling—and the high empty pipe speed and also the centrifugal force and demister separation allows a separation/retention of aerosols with a particle diameter of >1 μm to >99.9% and simultaneously also for penetrating fine aerosols with particle diameters of <1 μm at least to >99, and also a parallel iodine separation of up to >99%. In addition, by the dropping of direct feeding of chemicals, such as sodium hydroxide (NaOH) and/or thiosulphates, for example, effective iodine sorption is achieved. The inclusion of this chemical feed may take place via pressure difference release or via a thermally soldered valve, for example. The combination illustrated particularly enables a very high gas throughput to take place in the smallest space. It is thereby possible for very compact, throughput-intensive Venturi units to be formed which can additionally be divided (split) into small Venturi scrubber units. In this way, units can be integrated in areas with only very limited installation space available. This crucially reduces costs and the building screening effect and protective effect also lead to greater functional reliability of the facility. The individual facilities in this case are advantageously connected in a communicating manner and may, particularly in the case of separate float valve feeding, be housed individually or in groups at different levels too. In summary, the advantages achieved using the invention are particularly that by means of a scrubber facility of a containment venting system installed outside the containment, which scrubber facility is connected to a reservoir for scrubbing liquid located within the containment, a passive first fill and subsequent feeding of the scrubber facility, preferably with float filling level control, is made possible through system-inherent suction pressure generation facilitated as a result of accident conditions with a simultaneously particularly compact, space-saving design. Particularly when using a high-speed Venturi scrubber controlled by subsequent critical pressure relief of the venting flow during sliding pressure operation, highly effective activity retention can be achieved. The fact that during normal power station operation a “dry” scrubber facility not filled with scrubbing liquid can be kept on standby for accident operation means that further advantages in relation to cost, weight, etc. are achieved. Other features which are considered as characteristic for the invention are set forth in the appended claims. Although the invention is illustrated and described herein as embodied in a venting system for the containment of a nuclear plant and method of operating the venting system, it is nevertheless not intended to be limited to the details shown, since various modifications and structural changes may be made therein without departing from the spirit of the invention and within the scope and range of equivalents of the claims. The construction and method of operation of the invention, however, together with additional objects and advantages thereof will be best understood from the following description of specific embodiments when read in connection with the accompanying drawings. Turning now to the single FIGURE of the drawing in detail, there is shown a nuclear plant depicted in sections being a nuclear power plant of the boiling water reactor (BWR) kind. Within a safety enclosure hermetically sealed against the outside environment/a safety tank made of steel and/or reinforced concrete referred to as a containment 4 is arranged a dry well 8 which contains the reactor pressure vessel 10, among other things. The inner chamber enclosed by the containment 4 is also referred to as the safety enclosure or occasionally as the confinement. In an alternative nomenclature, the containment (or else the wall thereof) is referred to as the containment casing or containment building, while the inner chamber itself is referred to as in short as the containment. In addition, a safety barrier or building wall 6 against external events may be erected around the containment 4 as an integral part of the reactor building. Contrary to the depiction in the FIGURE, the building wall 6 may also be disposed at a greater distance from the containment 4 and enclose a part or all of the system components described below outside the containment 4. Alternatively, separate plant buildings and system zones may be erected. Furthermore, an annular wet well 12, for example, is disposed within the containment 4, into which the steam produced for the purposes of condensation is introduced during serious accidents involving the release of steam within the dry well 8. In this case, the condensate 14 accumulates in the wet well 12. For this purpose, the wet well 12 is in fluidic contact with the dry well 8, for example via an overflow line sealed during normal operation following a siphon principle with a liquid plug, also referred to as a downcomer tube 92, so that when a predetermined overpressure occurs in the dry well 8, there is an overflow of steam into the wet well 12. The atmosphere in the dry well 8 is largely rendered inert in such situations, usually by the released steam and by the introduction of nitrogen where necessary. The FIGURE shows the arrangement based on the example of a BWR plant with a wet well 12 configured in the shape of a toroid. The principle applies in the same way too wet wells which are configured without a toroid but with a liner and/or concrete casing. The downcomer tubes 92 are normally immersed during reactor operation with their (lower) outlet openings 94 in the liquid 14, substantially water, contained up to a normal filling level 96 in the wet well 12. During accidents involving an overflow of steam and non-condensable gases from the dry well 8 into the wet well 12, this involves cleaning or scrubbing of the inflowing gas-steam mixture in the liquid 14. The immersion depth of the downcomer tubes 92 determines the pressure difference between the dry well 8 and the wet well 12 required to begin the overflow. It is typically between 0.2 and up to 0.5 bar. If this pressure difference is exceeded, there is an overflow and, as a consequence of this, a rise in pressure in the wet well 12 in the medium term—despite the partial condensation of steam constituents. It can be said overall that an overpressure existing in the dry well 8 in respect of the environment outside the containment 4 is transferred at least in part to the wet well 12. Consequently, during the course of a serious accident there is an equalization of the (over) pressure conditions in the entire containment 4. This applies particularly when, as a result of constant evaporation, the level of liquid 14 in the wet well 12 drops below the outlet openings 94 of the downcomer tubes 92, so that there is free pressure equalization between the dry well 8 and the wet well 12. Despite the measures described, during serious accidents with a massive release of steam there may be a critical overpressure within the containment 4 which could endanger the integrity of the containment 4. In order to counter scenarios of this kind, filtered venting of the containment 4 as a constituent part of the safety systems is provided where necessary in the nuclear power station according to the FIGURE. For this purpose, a pressure-relief line 16 closed by a shutoff device during normal operation of the nuclear power station is fed through the containment, through which line a pressure-relief flow is conducted out of the containment 4 into the outside environment when the shutoff device is open. This process is also referred to as venting. The predominantly gaseous pressure-relief flow, which particularly contains steam constituents and also non-condensable gases, is referred to correspondingly as the venting flow. The pressure-relief line 16 is also referred to as the venting line. This is referred to overall as a venting system 18. In the present case, the pressure-relief line 16 contains two separate line sections 20 and 22 on the inlet side which are combined further downstream into a union point 24. The first line section 20 has an inlet opening 26 communicating with the inner chamber of the wet well 12. To be more precise, the inlet opening 26 is arranged in the ceiling region of the wet well 12, namely in the space normally filled with gas above the liquid or above the (liquid) condensate 14 accumulating due to steam condensation in the floor region. The second line section 22 has an inlet opening 28 communicating with the inner chamber of the dry well 8, which inlet opening is significantly higher geodetically than the inlet opening 26 of the first line section 20. Each of the two line sections 20 and 22 is provided with its own shutoff device 30 or 32 which is formed as a twin device in each case with two series-connected individual devices (tandem configuration). The shutoff device 30 or 32 in each case lies, when viewed in the flow direction 34 of the venting flow, directly behind the associated duct through the containment, so that the venting flow can only be removed where necessary exclusively via the first line section 20 or the second line section 22 from the spatial region of the containment 4 assigned in each case or also via both line sections 20 and 22 simultaneously (insofar as the two inlet openings 26 and 28 lie at comparable pressure levels). Particularly in the first phase of the accident procedure, the venting flow can be removed from the wet well 12 exclusively via the first line section 20. If there is a complete overflow of the wet well 12 in a subsequent phase, this line section 20 is closed and instead of it the second line section 22 connected to the dry well 8 is activated for venting. In order to reduce the release of radioactive activity into the environment during venting to a justifiable degree, corresponding retention systems in the form of filter devices and scrubber facilities are inserted into the pressure-relief line 16. Since the space available within the containment 4 is generally limited in the case of a boiling water reactor, these facilities are installed outside the containment in the case of the plant according to the FIGURE. Nevertheless, in order to put in place adequate protection against external events, the installation takes place in suitable buildings. In particular, a wet scrubber 36 installed outside the containment 4 for the Venturi scrubber-type venting flow is present, which wet scrubber is inserted in the pressure-relief line 16 downstream of the union point 24 for the two line sections 20 and 22 and therefore downstream of each shutoff device 30 and 32. The wet scrubber 36 contains a washing liquid tank 38 or a washing tank for short, in which at least one Venturi tube 40, preferably a plurality of Venturi tubes 40, is arranged, preferably in a vertical or slightly inclined orientation. On the input side, the respective Venturi tube 40 is connected at its lower end to the upstream section of the pressure-relief line 16 and at the output end the outlet opening 42 of the Venturi tube 40 lying higher up projects into the washing liquid tank 38. In the neck portion lying therebetween, which tapers in the manner of a Venturi tube or a Laval nozzle, more precisely at the narrowest point referred to as the neck portion 44, the Venturi tube 40 has an intake opening 46 for scrubbing liquid configured in the manner of a slot, for example, particularly as an annular slot in the case of round Venturi tubes. In the case of the hitherto predominantly customary system design, the washing liquid tank 38 is filled with a scrubbing liquid 52 during venting up to a design filling level 48 which lies above the intake opening 46 and below the outlet opening 42. This method of operation is illustrated in the right half of the wet scrubber 36 depicted in the FIGURE (the left half and the right half each represent different operating states of one and the same scrubber device). The venting flow entering the Venturi tube 40 from below reaches its greatest flow speed at the neck portion 44 and in so doing draws the surrounding scrubbing liquid 52 out of the washing liquid tank 38 via the intake opening 46, which scrubbing liquid is entrained in the form of a fine mist of droplets. Due to the fragmentation and misting of the scrubbing liquid 52, an internal interaction takes place within the Venturi tube 40 between the venting flow and the scrubbing liquid 52, as a result of which airborne or gas-borne activity entrained in the venting flow in the form of aerosols and iodine compounds are deposited in the scrubbing liquid droplets. The venting flow mixed with the activity-laden scrubbing liquid mist then leaves the outlet opening 42, preferably in the manner of a freely blowing arrangement at the upper end of the Venturi tube 40, in other words above the scrubbing liquid level. A first separation of the scrubbing liquid 52, on the one hand, and the gaseous venting flow, on the other, takes place there at an impact separator 54. In this case, the radiologically active components remain for the most part bound in the scrubbing liquid 52 which sinks down again according to the principle of gravitational separation. The cleaned venting gas flow, on the other hand, accumulates above the scrubbing liquid level and enters the downstream section of the pressure-relief line 16 after flowing through a fine separator 56, also referred to as a demister, into the downstream section of the pressure-relief line 16 which is connected to the head of the washing liquid tank 38. Water is preferably substantially used as the scrubbing liquid 52, the water being combined with chemical additives or reagents from a chemical tank 58, where necessary. The chemical tank 58 in this case is connected to the pressure-relief line 16 upstream of the wet scrubber 36 via a connection line 60 in the exemplary embodiment. It is preferably installed in a geodetically elevated position, so that the feeding of chemicals into the venting flow takes place purely passively by gravity. A preferably adjustable throttle valve 62 in the connection line 60 produces the desired dosing. The shutoff device 63, which is closed when the venting system is on standby, in the connection line 60 is configured for automatic opening where necessary as a bursting element or by a fusible link. Instead of the feeding of chemicals provided for here via the venting flow which entrains them within the pressure-relief line 16 and conveys them into the washing liquid tank 38, a direct attachment of the chemical tank 58 or the connection line 60 to the washing liquid tank 38 can take place. Instead of a single wet scrubber 36, there may also be a plurality of wet scrubbers 36 inserted in parallel fluidically, particularly in order to adapt to existing structural circumstances. To this end, upstream of the scrubber section split into partial units in this manner (split version) the pressure-relief line 16 has corresponding branches to partial sections and unions/junctions possibly upstream of the scrubber section. Downstream of the wet scrubber 36 the cleaned venting flow passes through a throttle device/throttle valve 64 inserted in the end portion of the pressure-relief line 16 there and is relaxed during this approximately to the ambient atmospheric pressure. Further filter devices not shown here, particularly of the dry filter and/or sorbent filter type, may also be present upstream or downstream of the throttle device 64 in the end portion of the pressure-relief line 16. Finally, the cleaned and pressure-relieved venting flow is released into the environment via a flue 66 or another outlet opening. The venting system 18 in the present case is configured for particularly high retention rates of the wet scrubber 36 during venting operation. As described in German patent DE 103 28 773 B3, at the time owned by Framatome ANP GmbH (now AREVA GmbH), this is possible in that a particularly high flow speed of the venting flow, measured at the neck portion 44 of the Venturi tube 40, of 150 m/s or more, for example, is set. This is achieved purely passively through the so-called critical pressure relief of the venting flow at the throttle device 64 in sliding pressure regime. For details of the system design which makes this possible, particularly with regard to the configuration and dimensioning of the flow-guiding components, and also the associated method aspects, reference is made to the aforementioned German patent DE 103 28 773 B3 (corresponding to U.S. Pat. No. 8,218,709) which is hereby expressly incorporated by reference in the present description. Correspondingly, the end portion of the pressure-relief line 16 lying upstream of the throttle device 64 during venting operation forms a low-pressure section approximately at atmospheric pressure (approximately 1 bar). The starting section of the pressure-relief line 16, on the other hand, forms by contrast a high-pressure section approximately at containment pressure (typically 2 to 4 bar or more at the start of venting). However, a quite clear drop in pressure (from roughly 0.3 to 1 bar) usually likewise occurs in the Venturi tube 40 of the wet scrubber 36 and/or also before this at the Venturi inlet, particularly with a corresponding, targeted design and configuration. The throttle portion 102 in the pressure-relief line 16 configured in this manner upstream of the outlet opening 42 into the washing liquid tank 38, which throttle portion can be expanded, comparatively speaking, in the flow direction 34 or also concentrated in one or more short throttle points, is only suggested schematically in the FIGURE. With this kind of characterization of the pressure stages (two-stage pressure drop) it is therefore possible to refer to a high-pressure portion upstream of the Venturi tube 40, a mid-pressure portion in the line portion lying further downstream up to the throttle device 64 and a low-pressure portion downstream of the throttle device 64 (in relation to the venting operation). As already expressed in the aforementioned German patent DE 103 28 773 B3, a central problem when operating a venting system 18 of this kind is the decay heat of the fission products accumulating in the scrubbing liquid 52. This is because the decay heat leads to the evaporation of the scrubbing liquid supply in the wet scrubber 36, which is associated with the risk of “dry running”. This, in turn, would to a large extent bring about the loss of the actually desirable filter and retention function. In the plant according to German patent DE 103 28 773 B3, the problem is solved in that, on the one hand, a recycling of activity-loading, hot scrubbing liquid 52 into the containment 4 is provided. On the other hand, the initial scrubbing liquid store in the wet scrubber 36 is comparatively generously sized. In addition, the “used” scrubbing liquid 52 from evaporation and recycling in the wet scrubber 36 is replaced by subsequent feeding of comparatively cold scrubbing liquid 52 from an external storage tank. This results in comparatively large-capacity tanks with a corresponding space requirement and high production and maintenance costs. In order to avoid this, an essentially different approach is taken with the venting system 18 according to the FIGURE. The wet scrubber 36 is namely kept dry in standby mode (that is: when the nuclear power station is in normal operation). The washing liquid tank 38 is only filled with scrubbing liquid 52 shortly before the venting operation begins. For this purpose, the liquid 14 present in the wet well 12 and/or the condensate accumulating there under accident conditions is transferred into the washing liquid tank 38 and used there as scrubbing liquid 52. When the venting system 18 is on standby, the wet well 12 therefore forms a still empty or only partly full reservoir 67 for liquid 14 which is largely filled subsequently under accident conditions with activation of the venting system 18, the liquid being used as a scrubbing liquid 52 in the wet scrubber 36. The transfer preferably takes place purely passively without resorting to electrically operated or otherwise active components. In concrete terms, an overflow line effective as a top-up line or a feeding line 68 for the liquid/condensate 14 is guided for this purpose out of the wet well 12 through the containment into the washing liquid tank 38 of the wet scrubber 36. The inlet opening 70 of the feeding line 68 is arranged in the floor area of the wet well 12 and lies, particularly when steam condensation begins in the wet well 12, reliably below the developing condensate liquid level. The arrangement within the wet well 12 at the lowest possible point, particularly below the outlet openings 94 of the downcomer tubes 92, means that the inlet opening 70 is still immersed in the liquid 14, even in situations where the level is very low. When viewed in the overflow direction of the liquid/condensate 14, just behind the duct through the containment 6, a shutoff device 72 is inserted in the feeding line 68. Further downstream, an optional throttle valve 74 limits the flow through the feeding line 68 in a desirable manner. Finally, the feeding line 68 opens out at the end in the inner chamber of the washing liquid tank 38. Alternatively—not depicted here—the feeding line 70 may be connected to a drainage and residual heat removal system 98 present in the lower region or beneath the wet well 12 and connected thereto. During normal operation of the nuclear power station, the shutoff device 72 in the feeding line 68 is closed, likewise the shutoff devices 30 and 32 in the two line sections 20 and 22 of the pressure-relief line 16. There is still no scrubbing liquid 52 in the washing liquid tank 38 of the wet scrubber 36; instead, it is dry. In the event of an incipient coolant loss accident with a massive release of steam in the dry well 8 of the nuclear power station and, consequently, also in the wet well 12 and also with condensate 14 accumulating there, during a comparatively short activation phase of a few seconds to minutes, with the shutoff devices 30 and 32 in the pressure-relief line 16 closed to begin with, the shutoff device 72 in the feeding line 68 for the liquid/condensate 14 is opened. Since, as described earlier, the inner chamber of the wet well 12 is at a pressure level of 2 to 6 bar, for example, due to an accident, while in the inner chamber of the washing liquid tank 38 during the activation phase an ambient pressure of roughly 1 bar still prevails, the emerging static pressure difference of around 1 to 5 bars in this case drives the liquid/condensate 14 from the wet well 12 through the feeding line 68 into the washing liquid tank 38. This means that in a purely passive manner, in other words without the use of electric pumps and the like, an initial filling of the washing liquid tank 38 takes place up to the usual design filling level 48 already referred to. The comparatively large driving pressure difference means that height differences between the installation sites of the reservoir 67 and the wet scrubber 36 of up to around 30 m or more can be overcome. As soon as the operational readiness of the wet scrubber 36 is established in this way, by opening the shutoff devices 30 and/or 32 in the pressure-relief line 16, the actual venting operation can be initiated with wet scrubbing of the venting flow. The pressure grading described above with a high-pressure portion, a mid-pressure portion and a low-pressure portion in the pressure-relief line 16 is then created as a result of the flow dynamic. The pressure differential existing between the wet well 12 and the washing liquid tank 38, which is typically only 0.3 to 1 bar in this operating state, however, drives the liquid/condensate 14 from the wet well 12 into the wet scrubber 36, where it is active as a scrubbing liquid 52, when the shutoff device 72 is open. In this way, the subsequent feeding of scrubbing liquid 52 consumed by evaporation also takes place preferably purely passively from an internal reservoir 67 which is in any case present due to the underlying accident conditions. Based on this design, an additionally present external storage tank 76 for scrubbing liquid 52 may exhibit a comparatively small volume compared with previous plants. The storage tank 76 is installed in a geodetically high position, for example, such that a feeding or refeeding of scrubbing liquid 52 into the washing liquid tank 38 is made possible by the forces of gravity. Alternatively or in addition, as depicted in the FIGURE, a delivery pump 78 is inserted in the connection line 80 between the storage tank 76 and the wet scrubber 36, which pump is driven by a drive motor 82 of the electric motor type, for example, or by a gas expansion motor or the like. Corresponding emergency power units, batteries, storage batteries, compressed gas canisters, etc. are present for this purpose. Alternatively, the liquid may be conveyed directly by a pressurized propellant gas. The scrubbing liquid filling level in the washing liquid tank 38 may be automatically regulated in the feeding line 68, as indicated in the wet scrubber 36 shown in the right half of the FIGURE by a passive float valve 84 or the like. This means that the valve body of the control valve is actuated depending on the current water level by a float mechanically coupled thereto in the washing fluid tank 38. In this way, the shutoff device 72 in the feeding line 68 need only be opened once at the start of the activation phase and no longer need be activated after opening, as the filling level is then controlled via the float valve 84. As an alternative to the operating method described above, in which the actual venting process is preceded by an activation phase for the initial filling of the wet scrubber 36, a simultaneous or contemporaneous opening of the shutoff device(s) 30 or 32 may also take place in the pressure-relief line 16 and of the shutoff device 72 in the feeding line 68. In this case, the initial filling of the wet scrubber 36 with scrubbing liquid 52 takes place at the same time as the start of the venting process, due to the aforementioned dynamically adjusted pressure difference of typically 0.3 to 1 bar between the wet well 12 and the washing liquid tank 38. In order to keep the initial dry operation of the wet scrubber 36 as short as possible, the washing liquid tank 38 is designed with suitably selected inner contouring and/or inner partitioning, such that there is a comparatively quick rise in the scrubbing liquid level in the region of the intake opening 46 of the Venturi tube 40 during the introduction of scrubbing liquid 52 from the internal or external reservoir. As shown in the FIGURE, within the comparatively large washing liquid tank 38 which encloses the Venturi arrangement as a whole and seals it in respect of the environment, a substantially smaller, upwardly open washing liquid tank or pool 86 may be arranged, for example, which encloses the Venturi tube 40 in the region of the neck portion 44 with the intake opening 46 and in which the outlet opening 88 of the feeding line 68 is immersed or opens out. This small pool 86 configured in the exemplary embodiment in the manner of a collecting funnel or an upwardly open annular chamber also particularly collects the scrubbing liquid droplets falling from the impact separator 54 and possibly from the fine separator 56 as completely as possible. For this purpose, a return line (not shown) may be conducted from the separator in each case into the pool 86. The pool 86 has a volume of < 1/10 of the total volume of the washing liquid container 38, for example—relative to the design filling level 48—and, accordingly, fills up to the upper edge within the shortest time, for example <10 min, preferably <3 min, at the start of the combined venting and filling process, as indicated in the left half of the wet scrubber 36 shown in the FIGURE. As a result of this, the wet scrubbing of the venting flow described further above starts very early. As the venting operation progresses, the remaining washing liquid tank 38 is also filled up to the desired design filling level 48, which guarantees particularly balanced operating conditions. A configuration of this kind is practical particularly when a plurality of Venturi tubes 40 inserted in parallel fluidically via a distributor is arranged within the enclosure formed by the washing liquid tank 38, because the enclosing washing liquid tank 38 is then necessarily relatively large in volume. Each or at least the vast majority of the Venturi tubes 40 in this case is advantageously provided with its own small pool 86 for rapid initial filling. A continuous or occasional return or recirculation of scrubbing liquid 52 from the washing liquid tank 38 into the containment 4 via a return line not shown here may optionally be provided for, into which a delivery pump is inserted to overcome the rise in pressure. Reference is made in this respect to the predecessor German patent DE 103 28 773 B3, as already referred to. Even if in the preceding description the particularly important case of a boiling water reactor with removal of the scrubbing liquid 52 from the wet well 12 were emphasized, it is still possible to resort to other internal liquid reservoirs within the containment 4. In particular, the design described can also be used with a pressurized water reactor. In this case, for example, sump water can be drawn out of the sump region of the reactor building. In addition, other control basins, storage basins or storage tanks installed in the containment 4 can be used as reservoirs for the scrubbing liquid to be removed. In these cases too, the reservoir 67 in question should preferably be arranged in such a manner and connected to the (remaining) containment 4 fluidically or at the gas pressure end, such that the overpressure prevailing in the containment 4 under accident conditions can be used for passive conveyance of the liquid 14 out of the reservoir 67 into the wet scrubber 36. The following is a summary list of reference numerals and the corresponding structure used in the above description of the invention: 2Nuclear plant4Containment6Building wall8Dry well10Reactor pressure vessel12Wet well14Condensate/liquid16Pressure-relief line18Venting system20Line section22Line section24Union point26Inlet opening28Inlet opening30Shutoff device32Shutoff device34Flow direction36Wet scrubber38Washing liquid tank40Venturi tube42Outlet opening44Neck portion46Intake opening48Design filling level52Scrubbing liquid54Impact separator56Fine separator58Chemical tank60Connection line62Throttle valve64Throttle device66Flue67Reservoir68Feeding line70Inlet opening72Shutoff device74Throttle valve76Storage tank78Delivery pump80Connection line82Drive motor84Float valve86Pool88Outlet opening92Downcomer tube94Outlet opening96Normal filling levelDrainage and residual98heat removal systemThrottle portion |
|
039705838 | claims | 1. In an isotope generator for the production of liquids containing 99 mTc which generator is provided with a reservoir having an inlet opening and an outlet opening and a parent isotope 99 mMo in the form of a molybdate on a carrier material located in said reservoir the improvement wherein the carrier material contains Al.sub.2 O.sub.3 and at least partially hydrated manganese dioxide. 2. The isotope generator of claim 1 wherein the carrier material is in the form of Al.sub.2 O.sub.3 particles at least a fraction of which is at least partially coated with at least partially hydrated manganese dioxide. 3. The isotope generator of claim 2 wherein for each gram of Al.sub.2 O.sub.3 from 1.5 to 4 mgs of manganese in the form of at least partially hydrated manganese dioxide is present. 4. In an isotope generator for the production of liquids containing 99 mTc which generator is provided with an inlet opening and an outlet opening and a parent isotope 99 mMo in the form of a molybdate on a carrier material located in said reservoir the improvement wherein the carrier material consists of an upper layer at the side of the inlet opening containing Al.sub.2 O.sub.3 particles at least partially coated with a layer of at least partially hydrated manganese dioxide and a lower layer situated at the side of the outlet opening consisting of Al.sub.2 O.sub.3 particles. 5. The isotope generator of claim 4 wherein 30-60% by weight of the carrier material is present in the upper layer. 6. The isotope generator of claim 4 wherein in the upper layer of the carrier material for each gram of Al.sub.2 O.sub.3 there is present from 1.5 to 4 mg of manganese in the form of at least partially hydrated manganese dioxide. 7. The isotope generator of claim 6 wherein for each gram of Al.sub.2 O.sub.3 from 2.2 to 3 mg of manganese in the form of at least partially hydrated manganese dioxide is present. 8. The isotope generator of claim 4 wherein the reservoir is in the form of an open-ended cylindrical body the openings of which are closed by pierceable rubber stoppers and the carrier material in the reservoir is enclosed between filters located at the top and bottom of the carrier material in the reservoir. |
044329300 | summary | CROSS-REFERENCES TO RELATED APPLICATIONS This application is related to copending applications Ser. No. 217,060 entitled "Mechanical Spectral Shift Reactor" by W. J. Dollard et al.; Ser. No. 217,056 entitled "Latching Mechanism" by L. Veronesi; Ser. No. 217,061 entitled "Spectral Shift Reactor" by W. R. Carlson et al.; Ser. No. 217,052 entitled "Displacer Rod For Use In A Mechanical Spectral Shift Reactor" by R. K. Gjertsen et al.; Ser. No. 217,053 entitled "Mechanical Spectral Shift Reactor" by D. G. Sherwood et al.; Ser. No. 217,275 entitled "Mechanical Spectral Shift Reactor" by J. F. Wilson et al.; Ser. No. 217,055 entitled "Hydraulic Drive Mechanism" by L. Veronesi et al.; Ser. No. 217,059 entitled "Fuel Assembly For A Nuclear Reactor" by R. K. Gjertsen; and Ser. No. 217,051 entitled "Fuel Assembly For A Nuclear Reactor" by R. K. Gjertsen et al. all of which are filed Dec. 16, 1980 and to Ser. No. 228,007 entitled "Self-Rupturing Gas Moderator Rod For A Nuclear Reactor" by G. R. Marlatt, filed Jan. 23, 1981 all of which are assigned to the Westinghouse Electric Corporation. BACKGROUND OF THE INVENTION The invention relates to spectral shift reactor control and more particularly to mechanical means for spectral shift rector control. In typical nuclear reactors, reactivity control is accomplished by varying the amount of neutron absorbing material (poisons) in the reactor core. Generally, neutron absorbing control rods are utilized to perform this function by varying the number and location of the control rods with respect to the reactor core. In addition to control rods, burnable poisons and poisons dissolved in the reactor coolant can be used to control reactivity. In the conventional designs of pressurized water reactors, an excessive amount of reactivity is designed into the reactor core at start-up so that as the reactivity is depleted over the life of the core the excess reactivity may be employed to lengthen the core life. Since an excessive amount of reactivity is designed into the reactor core at the beginning of core life, neutron absorbing material such as soluble boron must be placed in the core at that time in order to properly control the excess reactivity. Over the core life, as reactivity is consumed, the neutron absorbing material is gradually removed from the reactor core so that the original excess reactivity may be used. While this arrangement provides one means of controlling a nuclear reactor over an extended core life, the neutron absorbing material used during core life absorbs neutrons and removes reactivity from the reactor core that could otherwise be used in a more productive manner such as in plutonium fuel production. The consumption of reactivity in this manner without producing a useful product results in a less efficient depletion of uranium and greater fuel costs than could otherwise be achieved. Therefore, it would be advantageous to be able to extend the life of the reactor core without suppressing excess reactivity with neutron absorbing material thereby providing an extended core life with a significantly lower fuel cost. One such method of producing an extended core life while reducing the amount of neutron absorbing material in the reactor core is by the use of "Spectral Shift Control". As is well understood in the art, in one such method the reduction of excess reactivity (and thus neutron absorbing material) is achieved by replacing a large portion of the ordinary reactor coolant water with heavy water. This retards the chain reaction by shifting the neutron spectrum to higher energies and permits the reactor to operate at full power with reduced neutron absorbing material. This shift in the neutron spectrum to a "hardened" spectrum also causes more of the U.sup.238 to be converted to plutonium that is eventually used to produce heat. Thus, the shift from a "soft" to a "hard" spectrum results in more neutrons neutrons being consumed by U.sup.238 in a useful manner rather than by poisons. As reactivity is consumed, the heavy water is gradually replaced with ordinary water so that the reactor core reactivity is maintained at a proper level. By the end of core life, essentially all the heavy water has been replaced by ordinary water while the core reactivity has been maintained. Thus, the reactor can be controlled without the use of neutron absorbing material and without the use of excess reactivity at start-up which results in a significant uranium fuel cost savings. The additional plutonium production also reduces the U.sup.235 enrichment requirements. While the use of heavy water as a substitute for ordinary water can be used to effect the "spectral shift", the use of heavy water can be an expensive and complicated technology. Another well known phenomenon related to reactor control is referred to as xenon transient behavior. Xenon-135 is a fission product of uranium fuel some of which is a direct fission product of uranium-235 but most of which originates from the radioactive decay of tellurium-135 and iodine-135 which are produced from the fissioning of uranium-235. The major portion of the xenon thus produced is produced in a delayed manner due to the intermediate isotope production. This results in a time delay of several hours between the fissioning of fissile or fertile material and the production of large quantities of xenon-135. On the other side of the xenon transient phenomenon is the fact that since xenon-135 has a large neutron absorbing cross-section, xenon-135 tends to absorb neutrons and be destroyed thereby. Thus, xenon acts as a neutron poison in a reactor core robbing the core of neutrons that could be used to sustain the chain reaction. The transient usually associated with the xenon phenomenon arises because as power is reduced due to load follow reason, neutron population in the core decreases which results in less destruction of xenon and in temporary xenon accumulation. This temporary accumulation of xenon further reduces reactor power by xenon absorption of neutrons. However, the reduction in reactor power lowers the core temperature which increases core reactivity due to the negative moderator temperature coeffecient of the reactor. Thus, a minor oscillation in reactor power, xenon population, and core temperature can result from transient xenon production. Likewise, a similar result may occur from an attempt to increase reactor power in response to load follow requirements. This may occur since an increase in reactor power requires an increase in neutron population and fuel depletion which increases xenon production in the fuel. But since the xenon production is delayed in time, the poisonous effect of the xenon is temporarily delayed which again produces the transient oscillations between core temperature, xenon population, and reactor power. As is well understood in the art, the effects of these xenon transients can be effectively controlled by the addition or subtraction of boron in the reactor coolant by a feed-and-bleed process. The change in boron concentration in the reactor coolant can be timed to correspond to the changes in core reactivity due to the xenon transient thereby negating such transient. This can be accomplished as long as the boron concentration in the reactor coolant is sufficiently high to make a feed-and-bleed process possible in a timely manner. However, when the boron concentration falls below a given level, for example below 100 ppm. as is necessary near the end of core life, boron cannot be removed from the reactor coolant fast enough to compensate for xenon accumulation. Therefore, as the boron concentration in the reactor coolant nears a low level such as at the end of core life, boron compensation of xenon becomes very difficult which effectively prevents load follow maneuvering of reactor power so as to avoid xenon transients. Therefore, what is needed is a method to extend core life and provide for load follow capabilities at low reactor coolant boron concentrations. SUMMARY OF THE INVENTION A method of operating a pressurized water nuclear reactor comprising determining the present core power and reactivity levels and predicting the change in such levels due to displacer rod movements. Groups or single clusters of displacer rods can be inserted or withdrawn based on the predicted core power and reactivity levels to change the core power level and power distribution thereby providing load follow capability, without changing control rod positions or coolant boron concentrations. |
044144750 | description | Referring now to the figures of the drawing and first particularly to FIGS. 1-3 thereof, it is seen that in a nuclear power plant, especially with a pressurized water reactor, provisions are made for at least temporary storage of the weak to medially active waste which results, for example, from the purification of the cooling medium, in a storage chamber 1 which is bounded by walls 2 in a protected building of the nuclear power plant. The walls 2 form a mostly even, flat inner surface 3. From the surface 3, projections 4 extend into the chamber 1, and recesses 5 are formed along side of the projections 4. Because of the uneven conditions formed by this configuration, shielding containers 7 which are densely stacked in the storage chamber 1 are secured in four layers on top of each other, as particularly shown in FIG. 2. The stacking extends, if possible, as far as the construction will allow up under the ceiling 8 of the chamber 1, where a hoist 9 is disposed so as to be movable on a rail 10. The shielding containers 7 are formed of concrete or another readily available and inexpensively manufactured material with good shielding properties, such as cast iron. As especially clearly shown in FIG. 4, the containers have a mostly square cross section with flat side surfaces 13, 14, 15 and 16. Only the corners 18, 19, 20 and 21 deviate from this square cross section. In this way the diagonally opposed corners 18 and 20 are provided with projections 23 and 24, which extend beyond the square cross section, while the other corners 19 and 21 are flattened or chamfered so that the edge regions 25 and 26 form an angle 27 in FIG. 4, and thereby form recesses with respect to the square cross section. The angle 27 matches an angle 28 of the projections 23 and 24, as shown at the corner 18. This causes the shielding containers 7 to interlock with each other and with the projections 4 and recesses 5 of the wall surface 3 due to the dense stacking as shown in FIGS. 1 and 2. The result is a solid stack 29 shown in FIG. 2, which, however, can still be taken apart in spite of its earthquake-resisting configuration, because it is based on an interlocking connection and not a permanently fixed connection. The shielding containers 7 have a cylindrical clearance 30, having centers 31 that coincide with the centerpoint of the square cross section. In this cylindrical clearance, which extends through the total height H of the shielding container 7, as shown in FIG. 5, a storage barrel which is indicated at reference numeral 33 with dot-dash lines can be inserted. Thus, the barrel is mechanically well secured and shielded in the stack 29 of shielding containers 7, without having a fixed connection of its own with the shielding containers 7 or the walls 2. Therefore, the barrel 33 can be inserted after the shielding containers have been stacked, and it can also be removed again before breaking up the stack 29. In order to reinforce the connection when stacking several layers on top of each other, projections 36 are provided at the bottom surface 35 of the shielding containers 7 at all four corners 18, 19, 20 and 21, in such a way that the side of the projections 36 facing the through-clearance 30 is formed by diagonally-oriented slopes 37, 38, 39 and 40. The top surface 42 on top of the shielding container 7 is provided with corresponding recesses 41, so that the middle region 43 between the corners 18 and 21 and the clearance 30 is raised. The height H.sub.1 of the raised portions at the top surface 42 is the same as the height H.sub.2 of the projections 36 at the bottom surface 35. FIG. 5 shows that at the top surface 42, undercuts 44 are provided for attaching gripper-tools 47, 48 shown in FIG. 2, the undercuts being lined with an anchoring sheeting 45. The anchoring extends into the side-wall 46 of the shielding container. The anchoring 45 is formed of metal, so that the forces induced by the gripper tool into the concrete are well distributed into the concrete. As shown in FIG. 4, in the illustrated embodiment there are four undercuts 44 symmetrically formed at the square cross section. However, for actual transport it may be sufficient if the hoist or lifting tool 9 is only attached to the shielding container 7 with two oppositely-disposed jaws 47 and 48. |
summary | ||
description | This application claims benefit of application Ser. No. 60/676,595 filed May 1, 2005. 1. Technical Field The invention relates to a system for synthesizing labeled compounds such as [18F] 2-fluoro-2-deoxy-D-glucose, and the like, employing various single-use integrated kits of materials, valves and vessels fitted to a fixed stationary apparatus. 2. Background F-18 compounds, exemplified by [18F] 2-Fluoro-2-Deoxy-D-Glucose (hereinafter FDG), have become widely used in nuclear medicine for diagnostic studies using a Positron Emission Tomography (PET) body scanning technique. Production of 18F-labeled FDG is, by now, well known. Information can be found in: 1) Fowler et al., “2-Deoxy-2-[18F]Fluoro-D-Glucose for Metabolic Studies: Current Status,” Appl. Radiat. Isotopes, vol. 37, no. 8, pp. 663–668 (1986); 2) Hamacher et al., “Efficient Stereospecific Synthesis of No-Carrier-Added 2-[18F]-Fluoro-2-Deoxy-D-Glucose Using Aminopolyether Supported Nucleophilic Substitution,” J. Nucl. Med., vol. 27, pp. 235–238 1986; 3) Coenen et al., “Recommendation for Practical Production of [2-18F]Fluoro-2-Deoxy-D-Glucose,” Appl. Radiat. Isotopes, vol. 38, no. 8, pp. 605–610 (1987) (a good review); 4) Knust et al., “Synthesis of 18F-2-deoxy-2-fluoro-D-glucose and 18F-3-deoxy-3-fluoro-D-glucose with no-carrier-added 18F-fluoride,” J. Radioanal. Nucl. Chem., vol. 132, no. 1, pp. 85–91 (1989); and 5) Hamacher et al., “Computer-aided Synthesis (CAS) of No-carrier-added 2-[18F]Fluoro-2-Deoxy-D-Glucose: An Efficient Automated System for the Aminopolyether-supported Nucleophilic Fluorination,” Appl. Radiat. Isotopes, vol. 41, no. 1, pp. 49–55 (1990). See also U.S. Pat. No. 6,567,492 to Kislelev al. (20 May 2003). Several automatic processing systems capable of production of radiopharmaceuticals, such as 18F-labeled FDG, have also been described in: 1) U.S. Pat. No. 5,808,020 to Ferrieri et al. (15 Sep. 1998); 2) U.S. Pat. No. 6,599,484 to Zigler et al. (29 Jul. 2003); PCT pub. WO2004093652 by Buchanan et al. (2004-Nov.-04); and 3) German patent DE10320552 to Maeding et al., “Apparatus marking pharmaceutical substances with fluorine isotope, preparatory to positron-emission tomography, locates anion exchanger within measurement chamber” (2004-Nov.-25). These can be characterized as being stationary systems that do not use any removable components, where all connections of tubes and valves are permanent and do not change in day-to-day operation. Some, such as Zigler et al., describe their systems as multi-batch capable. These have the advantage of being able to save cost by reusing components. That is accomplished by rinsing all vessels and connecting tubing with solvents between production cycles without removing them from the apparatus. It is usually referred to as a Clean-in-Place (CIP) procedure. However, due to the configuration of apparatus, it may be impossible to achieve complete cleaning and sterilization of all components. In addition, the CIP approach requires substantial downtime between processing cycles, which may even exceed the duration of the processing cycle itself. CIP procedures also require extensive validation and may not be acceptable from regulatory standpoint due to the inherent risk of cross-contamination between batches. Finally, such systems cannot be easily adapted for production of multiple different products, because all plumbing components are stationary and cannot be quickly changed in normal operating conditions. To ameliorate the CIP problems, the following disclose use of removable kits for synthesis of 18F-labeled compounds, mainly FDG: 1) U.S. Pat. No. 5,312,592 (17 May 1994) and U.S. Pat. No. 5,415,843 (16 May 1995) to Andersson (17 May 1994); 2) U.S. Pat. No. 5,759,513 to Nakazawa et al. (2 Jun. 1998); 3) U.S. Pat. No. 5,932,178 to Yamazaki et al. (3 Aug. 1999); 4) U.S. Pat. No. 6,172,207 to Damhaut et al. (9 Jan. 2001); and 5) U.S. Pub. no. 2004/0028573 A1 by Schmitz et al. (12 Feb. 2004), corresponding to EU patent EP1343533 (2003-Sep.-17). Damhaut et al. disclose a process with a preference for a single-use kit, but the physical aspects of the kit are not well developed. The other four references disclose single-use kit apparatus. The major problem is that they are dedicated to a particular process and are not easily reconfigured. This is an issue because, to save cost, injection molded plastic manufacturing should be used where possible. However, the need for any one radiopharmaceutical may not justify the investment in an injection mold for each one. In producing FDG and other radiopharmaceuticals, there are a number of difficulties. Radioisotopes produce radiation that can damage some construction materials limiting the selection. Of course, workers must be shielded and cannot be in the presence of the processing apparatus. Such protective shielding used for this purpose must be relatively thick; a minimum 10 cm (4 in.) of lead is typically required to adequately protect personnel. The size of this shielding and its weight depend mainly on the size and dimensions of the processing apparatus. Therefore it is important that such apparatus is made as compact as possible to minimize the cost and weight of shielding. Even after a production run, the apparatus can contain enough residues so that handling the used apparatus is dangerous. A typical decay period of 12–16 hours is needed during which time equipment cannot be accessed by hand. However, to be efficient multiple batches must be processed each day, typically as many as sixteen. As noted, a disposable kit must not be expensive compared to the value of the final product. This means that it should be made from inexpensive parts and materials and be capable of being reconfigured for different processes. Because of the short half-life of some radioisotopes (109 min. for the 18F), these products must be produced in relatively large quantities to allow for decay during delivery to the patient from a manufacturing facility. Therefore, it is necessary to perform this process automatically using systems placed within the protective shielding without manual intervention. To increase production, it is useful if the automated systems can be quickly and safely re-loaded with materials needed for the next production run. Because of the short radioisotope half-life, production facilities are distributed in many geographical locations. Since different skill sets are required to run a radioisotope generator and a chemical process, preparation of kits on-site requires more personnel than if kits were prepared in advance at a central location. (Central preparation should also improve quality control.) However, some chemicals have a short shelf life unless kept sealed. Another difficulty is that the cost and weight of lead shielding makes it desirable to limit the volume taken up by processing apparatus as much as possible. Typically, sizes less than 40 cm (16 in.) deep by 40 cm (16 in.) high by 20 cm (8 in.) wide would be desirable. In a system for the preparation of radiopharmaceuticals that includes a fixed processor, production can be improved by using disposable kits, in particular, kits having a vertical plate parallel to the processor front face and a sensibly horizontal plate mounted to the vertical plate. The vertical plate mounts a plurality of fluidic interconnections having mating connections mounted on the processor front face as well as rotary slide valves that interface with rotary actuators protruding from the processor front face. Mating and interfacing is accomplished by translating the vertical plate horizontally with respect to the processor. The horizontal plate mounts one or more reservoirs or vials providing reagents on the top with reactor and collection vials on the bottom. It also can mount one or more filter cartridges. Connections between components on both plates are made with flexible plastic tubing. The rotor and stator of the rotary slide valves are contained within circular cavities in the vertical plate that become the valve housings with fluid input and output through holes in the plate at the end of the cavity. The stator is at the end of the cavity and has corresponding holes that are appreciably smaller than the tubing used. Although tubing can be inserted by hand, the stator is an elastomeric material having sufficient friction to hold and seal the tubing once inserted without further fixturing. The rotor is a hard plastic having one or more channels or slots on a side facing the stator. Rotating the rotor makes and breaks fluid connections between holes in the stator. The side facing away from the stator has a slot for engaging a blade end of a spring-loaded rod that is driven by a rotary actuator in the processor. The processor has a reactor vessel heater that can be moved up to surround a reactor on the bottom of the horizontal plate. To reduce cost and assembly time and provide increased rigidness to the vertical plate as it is pushed against the spring loaded actuators and fluid connections, the horizontal plate is mounted with integral fingers that snap fit into corresponding holes in the vertical plate. Both plates, rotors, stators and connecting tubes are manufactured from radiation resistant injection-molded or extruded plastic. However, the arrangement of vials and valves means that the fluid circuits can be easily re-configured for different processes by using various combinations of different rotors and stators and repositioning interconnecting tubes. Linear translation of the kit is accomplished with a linear actuator mounted on the processor and connected to a kit mounting structure having vertical guides that, during processing operations, surround slides that are part of the kit vertical plate. The kit is supported from underneath by rods or similar that extend out from the processor. At the end of a run, the linear actuators disengage the kit from the processor interface and push the kit off the end of the rods so that the kit slides down out of the guides into a shielded collection bin below. The collection bin is arranged so that kits slide into a chamber out of a line of sight from the processor allowing an operator to insert a new kit by hand without being irradiated. Optionally, a cassette feeder that drops new kits from a magazine into the kit vertical guides could be used. Due to the short half-life, radiopharmaceuticals are produced at dispersed geographic locations near the site of isotope production. Preferably, kits are configured at a central location off-site. During this configuration process, septum-sealed vials are filled with reagents. These vials are put in place on the horizontal plate, but left sealed. After installing the kit, hypodermic needles attached to flexible tubing are pushed through the septa. Radiopharmaceuticals that require more than one heated reactor may be made using two or more processor/kit modules in sequence. The modules are designed to be less than 16 cm (6 in.) wide so that a typical radiation enclosure can accommodate up to four modules. The following describes the best way of carrying out the invention. All specific materials, sizes, dimensions, suppliers and parts mentioned are provided as an example only to enable easy reproduction of the invention and are not limiting. Other materials, dimensions and parts from different suppliers can be used to achieve the same effect. FIG. 1a illustrates, generally, a processing system utilizing the invention shown from the top, front, and one side. There are two main sections, a stationary synthesizer section 10 and a removable kit 100. Depending on the process, the synthesizer section may have various inputs and outputs, namely: fluid or gas input/output lines; compressed air, nitrogen, or vacuum lines; an electric power input; and electronic control cables. The stationary synthesizer 10 may also contain, as needed: various sensors used for feedback control such as pressure or radioactivity sensors; electric relay controlled solenoid valves used to control pneumatic devices with magnetic position sensor feedback; manually adjustable needle valves for gas flow control; a local vacuum pump; and a microprocessor-based control module. The above devices are employed in the manner commonly used in similar systems and well known to any person skilled in the art. The stationary synthesizer 10 also has, mounted on a side, linear pneumatic actuator kit positioners 20 (three are shown on one side) connected to a kit mounting plate 22 having two side supports 24 with slots 26 aligned with a sensibly vertical plate 102 of the kit 100. The slots 26 (not shown to scale) are wide enough to permit the kit 100 to slide up and down. Two kit support rods 32 protrude from the synthesizer underneath the kit support the bottom of the vertical plate 102. This version also contains a reagent heater 42 that is raised up and down by two linear pneumatic actuator heater positioners 44 (one is shown). When the kit has finished processing a batch, the reagent heater (if used) is lowered and the kit positioners 20 (located behind the hex mounting nuts with protruding pistons shown) can push the kit away from the synthesizer off the end of the support rods 32 into a shielded bin below (not shown). As generally illustrated in FIG. 1a and shown in detail in FIG. 2, there can be a variety of reagent bottles, cartridge filters, reaction vessels and collection bottles. FIG. 1b is a top view of the kit mounting apparatus and interfaces to the processor 10. The front of the processor box is plate 27. An intermediate actuator guiding plate 28 has clearance holes for the linear actuators 20, a plurality of rotary actuators 50 and fluidic connections 70 (discussed below). In operation, the kit 100 would be contained within slots 26 in side supports 24 that are attached to kit mounting plate 22. Support rods 32 for the kit 100 extend out from the front plate 27. Heater 42 is not shown in this view. FIG. 1c illustrates how horizontal kit plate 104 is connected to sensibly vertical kit plate 102 with a plurality of rectangular cross-section snap fingers 106. These are formed integrally with plate 104 and protrude from it into rectangular holes 108 in plate 102. The fingers 106 have catches mounted on the end and the location of fittings and holes on the plates is such that when the plates are forced together, the fittings lock around the far side of plate 102. FIG. 2 illustrates a vertical cross section of the kit 100 and both a plurality of mechanical interfaces 50 and fluidic ones 70 to the processor 10. Details of these interfaces will be given below. Three fixed plates are shown: the front of the processor 27, actuator guiding plate 28 and an internal pneumatic actuator 51 mounting plate 29. (The kit mounting plate 22 and kit mounts 24 are omitted for clarity.) Plate 104 has mounted thereon a plurality of filtration cartridges 121. These are mounted on the plate 104 with Luer-type receptacles 122. Also mounted on plate 104 are a variety of reagent vials 131, a reactor vessel 133, and a collection vial 134. The number, size and type will depend on the process. The vials (generally referred to as “serum vials”) are commercial glass bottles with aluminum crimp seals 135 that hold elastomer seals 136 to the mouth of the vial. The aluminum crimp seals 135 are held to the plate by protruding snap fingers 137. When vials are filled with reagents, stainless steel needles 139 connected to tubing 140 can be inserted through the elastomer seals 136 into the vials just prior to use of the kit. In other cases, the tubing is inserted through undersized holes in the seals 136. The Luer-type connectors for the fluidic interface to the processor and cartridges have barb-type tube fittings. Various lengths of the flexible polymer tubing 140 can be used to make all necessary connections. In some cases, gravity is used to control liquid position inside the vials, dictating the convenience of a using a horizontal plate, but that is not always essential. On the other extreme, a single horizontal plate could be used with a processor interface in a horizontal plane, but this would require kit release actuators on the side supports 24 or a combination of vertical and horizontal linear actuators. The combination of a vertical processor interface plate with a horizontal vial mounting plate connected at the center of the vertical plate does reduce overall dimensions of the kit. A major advance of this invention over the prior art is represented by the rotary slide valves 150 located in the vertical plate 102. Two are illustrated in the cross-section, but eight are contemplated for a typical kit. These are constructed inside a round cavity 151 in the plate having tubing pass through holes 152. The stator 153 is comprised of an elastomer (e.g., VITON® or Buna N) having enough friction and having under-sized holes 154 so that tubing 140 can be directly inserted into them and will remain in place even under hydrostatic pressure. This avoids the use of fittings and their cost. The rotor 155 is preferably comprised of plastic and has a channel 156 cut into the side facing the rotor and a slot 157 to allow it to be turned by a mechanical actuator. A circular rim 158 allows the rotor to be snap fit into the plate 102 past its rim 159 so that the stator is retained during shipment. The rotary valve mechanical actuator 50 is driven by a pneumatic rotary actuator 51 with an output shaft 52 that is held in a rotating coupling 53 by a setscrew 54. The transfer tube contains a spring 55 that pushes a screwdriver type valve engagement 56 having a pin 57 that slides in a slot 58 in the tube. The pin 57 insures that screwdriver type actuator 56 turns together with shaft 52 while being free to move back and forth and transfer the force of spring 55 to the rotor 155 which achieves a leak tight interface with stator 153. In one version, the actuators 50 rotate through 90° steps. This is shown by the two positions of the rotors 156. Fluidic connection to the kit is made via commercial Luer-type female receptacles 171. The connection to the processor 10 is completed with spring-loaded couplings 70. Commercial Luer type male fittings 71 are screwed into tube 72 (threads not shown) that is positioned by plate 28 and urged forward by spring 73. Both fittings are barb-type and connections to tubing 140 are made in a conventional manner. Prototype Example: A laboratory prototype of both a synthesizer 10 and kits 100 were constructed. On the synthesizer 10, six parallel pneumatic linear actuators 20, three on each side, with a bore of 15 mm and a stroke of 75 mm were mounted on the front panel. The support rods 32 were 5 mm dia. and extended 70 mm in front of the synthesizer to prevent the kit from falling down unless the kit holder is in a fully extended position. In a retracted position, the back of the kit 100 is pulled into valve actuators 50 and Luer fittings 70 with a force of over 1000 N. In extended position, the kit is allowed to drop freely into a prepared shielded receptacle placed under the synthesizer. To install the kit, an operator shifts the kit mounting plate 22 to a middle position, and slides the kit into the slots 26 from the top. After that, the shielding door is closed and pneumatic cylinders are remotely engaged to pull the kit mounting plate 22 with the kit 100 back and attach it to the synthesizer 10 so that the processing can be carried out. Finally, upon completion of the processing, extending the kit mounting plate 22 forward, beyond the guiding rods 32 and allowing the kit 100 to drop into a prepared receptacle removes the kit. This last step is accomplished automatically, without operator intervention or opening the shielding door. To achieve the heating and evaporation processes necessary for radiotracer production, the synthesizer was equipped with a cylindrical aluminum block reagent heater 42. This is heated with compact cylindrical cartridge, Omega Engineering Co. (Hartford, Conn.) model CSS-10150/120 and PT100-type temperature probe model PX177-050AI. The heating block can be heated to 200° C. The heater positioner 44 was driven with two 6 mm bore pneumatic cylinders having an up and down travel of 50 mm. When in the upper position, the heating block is in contact with the reactor vessel 133 mounted on the kit 100. When in the lower position, the heating block is clear of the reactor and other parts of the kit allowing automatic kit ejection. The synthesizer 10 was equipped with eight spring-loaded rotary valve actuators 50, arranged in two rows of four, spaced 30 mm between centers vertically and horizontally. Festo AG & Co. (Esslingen, Germany) Swivel Module DSM-6-90-P rotary actuators, equipped with model SME-10-KL position sensors and model CPA-SC solenoid valves, were used for the rotary pneumatic actuator motor 51. These can operate over a pressure of 3.5–8 bar and produce 0.15 Nm torque at 6 bar. However, many laboratory compressors do not operate comfortably above 5 bar (75 psi) so the limit was 0.13 Nm The rotation coupling 53 was stainless, 51 mm long having an ID of 5 mm and a slot 1.6 mm×7 mm. The valve engagement rod 56 was stainless 43 mm long with an OD of 4 mm. This material and dimensions are not critical. The spring 55 was about 25 mm long x 4 mm OD. The dimensions and spacings were designed, so that the springs were compressed approximately 5 mm, to 20 mm, in length to provide a force of 30 N There is a tradeoff for the force on the rotor. A greater force on the rotor 155 provides a tighter seal against the stator 153 and better leak resistance. However, this also requires a larger torque to turn the rotor. The 30 N force was enough to prevent leaks up to 100 kPa (14.5 psi) which is adequate for processing in the kit 100. However, it was found that when a force of more than 45 N was used, the rotary actuators could not easily turn the stator; they were sticky. (Actuators with more torque are available, but they could take up too much space.) Commercial standalone rotary slide valves do not have this problem. The rotor and stator are generally made from hard materials, e.g., ceramics that can be highly polished, or from low coefficient-of-friction materials such as TEFLON®. However, these commercial rotary valves are expensive, not disposable, and require fittings to hold connecting tubing. The spring loaded coupling adapter housing 72 was made of brass and equipped with a standard Luer fitting, Upchurch Scientific p/n P-619, made from polypropylene. The spring 73 was selected to provide about 34 N when compressed 6.6 mm or about 13 N when compressed the same 2.5 mm as the valve springs 55. This provided an adequate seal for the Luer-type fittings. A number of conventional components, not illustrated in drawings, were also included in the synthesizer 10. To transfer liquids between reagent tubes and reactor and to achieve evaporation, it is necessary to apply vacuum to various parts of the kit. The synthesizer 10 was equipped with a compact two-head diaphragm type vacuum pump, model 85.3KTI supplied by KNF Neuberger (Freiburg, Germany). This vacuum pump can supply vacuum down to 2 mbar and was connected with other system components by means of 3 mm OD polypropylene tubing. The synthesizer 10 has minimal pathways and elements that come in contact with radioactive material, so that residual radiation inside the shielding after removal of the kit 100 is minimized to safe levels, allowing servicing and reloading the synthesizer for the next processing cycle. All plumbing connections within the synthesizer were made with 1.59 mm OD and 3 mm OD tubes made of PEEK (an acronym for polyetheretherketone) or polypropylene. Fittings employed to connect tubes to valves inside the synthesizer and to the kit are flangeless ¼ in. dia.-28 threads/in. flat bottom threaded fittings made of PEEK or polypropylene and equipped with TEFZELL® ferrules. All tubes, fittings and ferrules can be obtained from Upchurch Scientific (Oak Harbor, Wash.). The synthesizer was also equipped with an array of sensors and actuators including temperature probe type RTD PT100 and pressure sensor type PX177-050AI, supplied by Omega Engineering Co. (Hartford, Conn.), at least one radioactivity sensor based on silicone diode backed scintillator, and other routine sensors and actuators necessary to monitor important process parameters and allow for operator control of the synthesis process. To control the flow of inert gases and vacuum as well as to provide an external waste collection container, a number of solenoid valves and a needle valve were installed inside the synthesizer 10. These components do not come in contact with radioactive material and therefore do not require frequent replacement. The removable kit 100 was made from machined acetal plastic. (Commercial quantities would use an injection-molding process.) The vertical plate 102 was about 130 mm wide and 50 mm high. To make the rotary valves 150, eight round cavities 151 were machined with an internal diameter of 10 mm spaced to interface with the rotary valve actuators 50 on the processor 10. Each valve 150 was comprised of a stationary stator 153 made of VITON® rubber having a Shore A hardness of 65–75. Buna N or a silicone rubber can also be used, also preferably having a Shore A hardness of 65–75. The rotor 155 was made from polypropylene. Each stator 153 is 3.2 mm (0.125 in.) thick and 10 mm dia. and fits tightly into a cavity 151 in the vertical plate 102. Each stator can be molded with two, three or four holes 154 to accommodate the desired number of connecting tubes. Holes 154 are 1 mm diameter to provide a leak tight fit for 1.59 mm OD tubes without use of any fittings. The pattern of the holes in stators 153 corresponds to slightly larger 1.6 mm dia. holes in the vertical plate 102 through which tubes are connected. Each rotor 155 fits loosely into the cavity and is interfaced on the backside with a rotating actuator engagement 56, which can rotate it back and forth 90° driven by the pneumatic swivel module type 51. As noted above, the rotor is pressed into the stator by the force of spring 55. The face of each rotor has one or more depressions (groves) 1 mm wide and 1 mm deep that can connect holes in the stator. When a rotor is turned, a different pair of holes will be connected thus changing the fluid flow path. By using different orientations or depressions in the rotor and different placements and numbers of holes in the stator, several different flow patterns can be achieved without the need to replace the main vertical plate 102. Using interchangeable rotor and stator combinations, one can achieve flexible plumbing patterns without the additional cost of modifying large components. The horizontal plates (several were made) 104 were about 130 mm wide and 75 mm long. Horizontal plates 104 also had at least two female Luer receptacles 122 to mount filtration cartridges and contained receptacles to hold at least four crimp top vials 131 in inverted position on the top side of the plate and at least two larger crimp top vials 131 and 134 on the bottom side. The horizontal plate is attached to the vertical plate 102 by means of at least four pins 106 equipped with locking teeth to allow snap-in assembly without the use of glue or fasteners. The horizontal plate, when attached to a vertical plate, provides structural strength and prevents the vertical plate from deforming under stress when attached to the synthesizer. Six or more crimp-sealed vials, pre-filled with pre-measured amounts of reagents as necessary or empty, are attached to the top and bottom sides of the horizontal plate 104. The entire kit can be assembled and preloaded with reagents prior to use so that automated processes can be accomplished without extensive preparation. Empty vials, such as a reactor and a product collection container placed on the bottom side of the horizontal plate, are equipped with septa with 1 mm diameter holes through which the 1.59 mm OD tubes are pre-inserted when the kit is assembled. The vials attached to the top side of the plate are pre-loaded with reagents and equipped with sealed septa so that reagents remain intact during storage and shipping. During processing, reagents are withdrawn from these vials by means of 22 gauge (0.9 mm OD) needles, which are connected to 1.59 mm tubes. The needles are pre-inserted into the guiding holes in the plate, but they do not penetrate the septa of the vial until the kit is ready to use. These needles are inserted immediately before automated processing begins. This allows use of highly sensitive reagents and greatly reduces the chances of operator error during preparation. The size and shape of reagent vials and reactors can be easily changed without modifying the carrying plate. The vial holders are designed to interface with standard crimp-top necks of vials. Reagent vial receptacles are fitted to hold 11 mm and 15 mm crimp-top vials, while reactor and collection vial receptacles are equipped for 20 mm crimp top serum vials. A wide variety of vials, ranging in volume from 0.1 to 25 ml, can be used with the kit providing the flexibility needed to accommodate a multitude of different chemical processes. The entire kit, including all necessary reagents, filters, tubes and fittings, can be pre-assembled and pre-loaded with chemicals before it is delivered to an end user. This allows for much better quality control, reproducibility and reliability of synthesis by eliminating operator errors. This arrangement also allows for sterilization of the kit using gamma sterilization or an ethylene oxide method before use. Removing and re-furbishing of the kit is also possible if needed. All plumbing within the kit is made by 1.59 mm OD polypropylene tubing; except for an evacuation tube for connecting vacuum to the reactor which can be made with 3 mm OD, 1.59 ID tubing. The tubes are connected to vials and valves by inserting them into pre-formed holes in rubber septa of the vials and stators of the valves. Thus, use of threaded fittings is eliminated. Barbed fittings are used where necessary to connect tubes to Luer adapters needed to connect filters and cartridges. It is important to note that all tubes are free hanging, and may be re-routed in any direction to connect any port of any valve to any other valve or vial with no restrictions, unlike what is frequently the case with existing similar kits. All materials used in kit construction, polypropylene, VITON®, Buna N and PEEK are carefully selected to provide the best chemical and radiation resistance. VITON® and Buna N are, generically, cross-linked thermoplastic polyolefin elastomers. Materials with low radiation resistance such as TEFLON®, silicon rubber, polyurethane etc. should be carefully avoided. Silicone rubber can be used, however, when it is essential to avoid possible contamination with fluorides which are contained in the other preferred elastomers. Multi-stage Synthesis: It is frequently necessary to conduct multi-stage synthesis. Although each kit contains a sufficient number of reagent vials, reactors, and filter holding positions to conduct a typical one-stage process, such as FDG production, it will be necessary to use multiple modules for more complex processes, such as F-DOPA production. As discussed, the kits 100 can be easily reconfigured for a many different processes. Although limited to one reactor, a module of processor 10 with kit 100 is only 16 cm (6 in.) wide so that three or more modules can be placed in a typical shielded enclosure. Each module can be equipped with a different kit especially designed for one stage of the multistage process. In this case, all reagents needed in the first stage to produce intermediate product #1 are placed in kit #1 and attached to synthesizer #1. After processing the first stage, the intermediate product is passed to kit #2 via tubing connecting the two processors (or directly between kits if more convenient) where reagents needed for the second stage are installed. In this way, four and, possibly, five stage processes can be carried out in one enclosure using the same basic processors and, especially, single-use kits. The following example is provided for illustration only and is not limiting in the sense that many other radiotracers can be produced using the system. FIG. 3 shows a schematic process 200 for FDG synthesis that can be obtained by configuring the kit 100. Input from a cyclotron is represented by 201 Reagent vials 205–208 are represented on the top of the diagram and contain all reagents and solutions necessary for the synthesis. The top row of four rotating valves 211–214 are configured as two port valves, with top and bottom port unused and not connected. They are shown in open position in the diagram, however they will be normally closed at the beginning of the process and only open to add reagent when necessary. Reactor vessel 233 is schematically represented in the center of the illustration. The smaller vessel 232 to the right is used to collect and homogenize the reaction mixture before filtration through the sterile filter 225. QMA cartridge 221 is connected between valves 215 and 216, which are configured as three port switching valves, and purification cartridges 222, 223, and 224 are connected to valve 218, which is configured as a four-port valve. Stationary solenoid valves 243 and 244 mounted inside the synthesizer control nitrogen flow into the reactor. Solenoid valve 242, when opened, connects vacuum pump 251 to the reactor. Pressure is monitored by the pressure sensor 252. The synthesis of FDG is carried out according to method described by Hammacher et al. Synthesis of the FDG itself is not considered to be part of this invention and only a basic description of a process is included here. The usual synthesis of FDG is a two-step process consisting of two chemical reactions: a nucleophilic F-18 fluorination followed by a hydrolysis step. The fluorination step incorporates an F-18 label into an organic precursor, 1,3,4,6-tetra-O-acetyl-2-O-trifluoro-methanesulfonyl-βD-mannopyranose (mannose triflate). The substitution reaction is accomplished by combining a phase transfer catalyst, with 18F fluoride extracted from an irradiated target material. To extract 18F fluoride, the irradiated water enriched with an O-18 isotope and containing F-18 fluoride is directed through QMA cartridge 221 and into O-18 collection vessel 231 by way of valves 215 and 216. F-18 fluoride remains trapped in QMA cartridge 221 and is eluted by passing a mixture of KRYPTOFIX® 222 with potassium carbonate through the cartridge and into reaction vessel 233 by way of valves 211, 215 and 216. The mixture is evaporated for 8–10 min. in a stream of inert gas (N2 or He) via flow regulating needle valve 253 by opening valves 242, 243 and 244 and heating the reactor 233 to 80–100° C. and then dried in vacuum by closing valve 243 for 1–2 min. After cooling the reactor by lowering block 42 (see FIG. 1a), a solution of the mannose triflate in acetonitrile is added to this dried mixture by way of valve 212 and incubated at 85° C. for 3 min. The resulting solution is heated and dried in a stream of inert gas (N2 or He). The hydrolysis step, as exemplified by a base-catalyzed hydrolysis of the acetyl protecting groups, generates the free hydroxyl groups of the final drug product. A predetermined amount of solution of NaOH in water is added by way of valve 214 as a hydrolyzing reagent to the dry fluorinated mannose triflate and the resulting solution is heated at 75–90° C. for 3–5 min. in a stream of inert gas by opening valves 243 and 242 to achieve complete removal of acetyl groups. To purify the resulting mixture and leave a solution of FDG in water, it is diluted in 5 ml of water added through valve 213 and filtered through purification cartridges 222, 223, and 224 and particle filter 225 by way of valve 217, homogenization vessel 232, and valve 218 ultimately sent through output 202 to external collection vessel 234. TEFLON® and TEFZEL® are registered trademarks of E. I. Du Pont de Nemours & Co. for synthetic resinous fluorine-containing polymers. TEFLON® is also known as polytetraflourethelne. VITON® is a registered trademark of Dupont Dow Elastomers L.L.C. for synthetic rubber and rubber compositions, more specifically, it is a cross-linked thermoplastic polyolefin elastomer, as is Buna N, whereas silicone rubber is high temperature vulcanizing polyorganosiloxane elastomer. KRYPTOFIX® is a registered trademark of MERCK KGAA Limited Partnership for a phase transfer catalyst, namely, a polycyclic crown ether that forms a stable cryptate with potassium cations. KRYPTOFIX® 222 is also known as cryptand 222. All of these trademarks have designated the same goods for several decades and are readily available under the trademarks from many suppliers. Irrespective of the survival of the marks, those skilled in the relevant arts will be able to obtain the material used in carrying out this invention for the indefinite future. While the best modes have been described with particular examples, the invention is only limited by the appended claims. In particular, it was convenient to construct prototypes from metal and plastic plates. However, the structures are not so limited as long as the defined functions can be carried out. For example, planar structures could be made from a lattice-work. Many other equivalent structures will occur to those with ordinary skill in this art. The terms vertical, horizontal, up, and down are defined in the usual manner with respect to gravity. Note that all documents referenced herein are hereby incorporated by reference in their entirety. |
|
summary | ||
039792579 | abstract | A boiling-water reactor has control rods operable from the top of the reactor pressure vessel and has control rod guide tubes structurally connected with upwardly removable water separators in a steam space above the reactor core. |
description | This application is a divisional of U.S. patent application Ser. No. 15/494,599, filed Apr. 24, 2017, the contents of which are incorporated by reference. The present disclosure relates generally to an apparatus and method for doping semiconductor material based on the nuclear transmutation process. In the production of semiconductor components, it is necessary to be able to set the electrical conductivity of the semiconductor material as precisely as possible according to the desired purpose of the semiconductor components. Semiconductor material for power electronics especially requires unique material characteristics because of the high blocking capability of semiconductor material and the high currents flowing in the semiconductor material. Semiconductor material with non-uniform electrical properties may render the power devices unstable and potentially dangerous. The electrical characteristics of a semiconductor material are set by doping it with suitable impurity atoms. For power devices to work at designated power levels and voltage readings it is necessary to dope the semiconductor material with impurities that enable sufficient blocking capability and homogeneous current flow through the bulk of the semiconductor material. One effective and accurate doping technique used for high power semiconductor material is the Neutron Transmutation Doping (NTD) process. The conventional NTD process is implemented by irradiating semiconductor ingot rods in a nuclear reactor with neutrons of suitable energy for a suitable time period. Silicon is by far the most common semiconductor material used for power semiconductor devices today. As applied to silicon, the conventional NTD process provides effective doping control and removal of non-uniformities in high resistivity silicon crystal. When silicon material is exposed to thermal neutron irradiation, phosphorous dopant atoms are induced within the silicon material thereby changing the resistivity of the silicon material. Specifically, when a neutron collides and merges with a 30Si isotope, an unstable 31Si isotope is formed, which subsequently transmutes to a 31P atom by beta decay, resulting in n type impurity doping in the silicon material. However, since the neutrons are absorbed in the silicon, the conventional NTD process results in an undesired radial gradient of the resulting n-doping, which results in a lateral variation of the electrical characteristics of the power semiconductor devices manufactured from the silicon ingot rod. In order to minimize this effect of inhomogeneity, the silicon ingot rods can, for example, be rotated in the nuclear reactor, so that the neutron irradiation is performed from all sides of the silicon ingot rod. However, despite such measures substantial lateral variations still occur in silicon ingot rods having a diameter of about for example 300 mm or larger due to the above described absorption effects. Lateral doping uniformity of ingot rods having a diameter of about 300 mm or greater has been a challenge using conventional NTD. Further, the conventional NTD process is implemented with a nuclear reactor which is undesirable. It is difficult to adapt existing nuclear reactors to accommodate larger diameter semiconductor ingots. For example, ingots having a diameter larger than about 200 mm cannot be irradiated in many existing nuclear reactors. In various embodiments, a method of processing one or more semiconductor wafers is provided. The method includes positioning the one or more semiconductor wafers in an irradiation chamber, generating a neutron flux in a spallation chamber coupled to the irradiation chamber, moderating the neutron flux to produce a thermal neutron flux, and exposing the one or more semiconductor wafers to the thermal neutron flux to thereby induce the creation of dopant atoms in the one or more semiconductor wafers. Various embodiments of the invention are explained in greater detail below, with reference to the accompanying figures. However, the invention is not restricted to the embodiments specifically described, but rather can be more suitably modified and altered. It lies within the scope of the invention to combine individual features and feature combinations of one embodiment with features and feature combinations of another embodiment in order to arrive at further embodiments according to the invention. Identical elements are provided with the same or similar reference signs in the figures. A repeated description of these elements has been dispensed with in order to avoid repetition. The basic principle presented here will be elucidated on the basis of the examples given below. In accordance with various embodiments, semiconductor ingots having a large diameter (e.g., ranging from about 300 mm to about 1,000 mm) can achieve a homogenous and reproducible doping. In various embodiments, a semiconductor ingot having a diameter of about 300 mm or greater may be processed into a plurality of semiconductor wafers each having an area greater than about 70,685 mm2, a doping in the region of n doping below 2×1013 cm−3 can be achieved. This doping level is interesting e.g. for high-voltage components. Typical fields of application would be for example high blocking insulated gate bipolar transistors (IGBTs) or diodes. FIG. 1 illustrates a typical configuration for an NTD process. Referring to FIG. 1, an NTD reactor 1 includes a nuclear reactor 80 that provides thermal neutron flux 82 directed upwards or sidewards from the nuclear reactor 80 and a rotating tube 84 disposed over the nuclear reactor 80. An undoped silicon ingot rod 86 is loaded into the rotating tube 84 and positioned so that it is in the thermal neutron flux 82. The central axis of the silicon ingot rod 86 may be perpendicular to the direction of the thermal neutron flux 82. The duration of irradiation is determined based on the power of the nuclear reactor 80 and the initial and target ingot resistivity. However, due to the neutron absorption effect of silicon, the NTD process is usually more suitable for cylindrical ingot rods having a diameter less than or equal to about 200 mm. In various embodiments, a proton beam generator and a neutron producing material may be used instead of a nuclear reactor to generate neutrons that can be used for the NTD process. Nuclear spallation is one of the processes by which a particle accelerator may be used to produce a beam of neutrons. For example, a neutron producing material may be a material that can undergo a spallation when bombarded by energetic particles. In nuclear spallation, neutrons are generated through the spallation of nuclei by charged particles such as protons accelerated by a particle accelerator. Neutrons may be emitted when a spallation target composed of material such as boron-compounds, lithium, tungsten, tantalum, uranium, or compounds and alloys thereof is struck with protons with an energy of 100 keV up to about 1 GeV, depending on the spallation target material. For example, neutrons are emitted when a spallation target composed of a material such as lithium or boron nitride is struck with protons with an energy in the range from 1 MeV up to about 10 MeV. A particle accelerator such as a proton or ion implanter commonly used in the manufacturing of semiconductor devices may be used to irradiate such a target with highly energetic protons to thereby generate a well-defined neutron flow. Neutron yield data of the neutron producing material versus incident energy are necessary in order to select the proper incident energy and irradiation time and for estimating the intensity and duration of the incident proton current. FIG. 2 illustrates a cross-sectional view of a non-nuclear NTD reactor 101 in accordance with at least one embodiment. Referring to FIG. 2, the reactor 101 may include a proton beam generator 110 (not shown) configured to emit a proton beam to a neutron generation chamber 102 which is coupled to an irradiation chamber 104. The neutron generation chamber 102 may include an aperture 121 followed by a neutron producing target 120 mounted on a target mount 122 which is followed by a neutron moderator 126. The proton beam generator 110 is configured so that an emitted proton beam enters the aperture 121 to strike the neutron producing target 120. The irradiation chamber 104 is configured to house one or preferentially more semiconductor wafers 140 rather than a semiconductor ingot. The irradiation chamber 104 includes an aperture 141 which can be sealed by chamber door 150. In various embodiments, the one or more semiconductor wafers 140 are held upright on a removable wafer rack 142. Operationally, referring to FIG. 2, the proton beam generator 110 emits an ion or proton beam 119 that interacts with the neutron producing target 120 to generate a flow of neutrons 129 including “hot” neutrons, above the thermal energy range. The flow of neutrons 129 is guided to the neutron moderator 126 which reduces the thermal energy of the neutrons as they pass through the neutron moderator. The flow of moderated neutrons which is composed substantially of “cold” neutrons in the thermal energy range, i.e., thermal neutrons 149, is used to irradiate the one or more semiconductor wafers 140 housed in the irradiation chamber 104. Referring to FIG. 2, the proton beam generator 110 may be a conventional proton or ion implanter which can provide proton (H+) beam current currents (i.e., dose rate), for example in the range from about 1 mA to a about 100 mA and provide implantation energies (i.e., acceleration voltage) in the region up to about 10 MeV, for example, in the range from about 2 MeV to about 10 MeV. The beam current may be limited by the thermal energy input of the neutron producing target. In various embodiments, the acceleration voltage may be about 2 MeV. In various embodiment, the acceleration voltage may be less than or equal to about 4 MeV. In various embodiments, a proton-implanter in a parameter region of up to about 5 MeV and about 0.5 mA may be used. The proton beam current and the irradiation energy are key parameters for an accelerator useful for a NTD reaction. The target area may be determined by the spot size of the proton beam. The approximate spot size of a typical ion implanter is in the order of 1 to several cm2, however systems with ion beams as broad as the irradiated target can also be achieved. In various embodiments, a proton beam may be generated by a high current H+ implanter. For example, a high current H+ implanter may generate proton energies up to about 2 MeV and beam currents up to about 100 mA. A corresponding upscaling of the energy is technically possible. In various embodiments, a proton implanter having the capability to implant an inhomogeneous dose in a targeted radial manner may also be used. This capability could be used e.g. in case effects of different dopings would be desired in a targeted manner. Referring to FIG. 2, the proton beam generator 110 emits a proton beam 119 that may interact with the neutron producing target 120 to create a flow of neutrons 129 through various (p,n) type reactions or similar types of reactions. In various embodiments, a neutron flow of approximately 1e12 cm−2 s−1 should be generated. Thus, the target material selected should allow a high-efficiency generation of neutrons. For example, such a target material may be lithium, lithium/carbon mixture, tungsten, or boron and boron compounds (for example boron nitride). The neutron producing target 120 may be in the form of a circular disc or it may be provided in any other shape, including rectangular, elliptical, conical, toroidal, etc. The neutron producing material of the neutron producing target 120 may be in solid phase or be in liquid phase pumped through a target chamber made of steel. The thickness of the neutron producing target material should be sufficient to slow protons past the reaction threshold. For example, in various embodiments, a beam of protons may be used to bombard a thick lithium-7 target to generate neutrons via the 7Li(p,n)7Be reaction. The lithium-7 target may be a circular puck of lithium-7 in a solid phase that is approximately 1 mm thick and having a diameter of at least 1 cm2. The neutron yield is dependent on the proton beam current density. The neutron yield between the 7Li target and the neutron moderator 126 should be approximately 1e11 n/cm2/mA. This would correspond to a 1e12 n/cm2 s neutron flow when a 10 mA proton beam is used and a 1e13 n/cm2 s neutron flow when a 100 mA proton beam is used. The neutron yield at the wafers for a 100 mA proton current may range from about 2e12/cm2 s and 6e12/cm2 s. The actual neutron yield may further depend on various factors including the design of the irradiation chamber and the proton implanter. FIG. 4 is a graph showing the basic ohmic characteristic of an initially undoped wafer dependent on the irradiation period for various neutron flows. In the semiconductor industry, the resistivity rather than the dopant concentration is usually used. Therefore, the relationship between the resistivity and the dopant concentration should be established first. For n type silicon doping, the resistivity (Ωcm) is given by ρ = 1 [ D ] μ ɛ where [D] is the dopant atomic concentration in cm−3, ε is the electron charge, 1.602×10−19 C, and μ is the drift mobility of the electrons in the silicon crystal lattice. Electron mobility depends on the temperature, and it may be in the range of 1220˜1500 cm2/V·s. In normal conditions at 300 K, it should be usually 1350 cm2/V·s for silicon. As shown in FIG. 4, the use of a higher power proton beam may reduce the irradiation period. However, this may also increase the temperature in the active target area of the neutron producing target. For example, a proton beam of about 2 MeV at about 100 mA may heat the active target area on the order of about 100 kW/cm2. The efficient removal of heat from the active target area may be a limiting factor on the intensity of the proton beam and the duration of the irradiation period. Due to the high energy input of the protons, the neutron producing target 120 should to be cooled effectively. Up to 500 kW/cm3/mA of thermal energy may need to be discharged from neutron producing target 120. This is due to the correspondingly small input cross section for the targeted proton reaction. Therefore, the neutron producing target 120 should have a good heat conductivity and/or should be correspondingly cooled. The target mount 122 is configured such that it allows an efficient cooling of the neutron producing target 120. The cooling should be performed so that the neutrons produced by the neutron producing target 120 are not absorbed. In various embodiments, the target mount 122 may include a copper plate for cooling. Alternatively, a target mount 122 may include a liquid coolant. For example, in various embodiments, heavy water (D2O) may be used and configured for injection cooling. Heavy water is an effective coolant with a minimal absorption coefficient, i.e., heavy water is not likely to absorb neutrons. Additionally, heavy water is a good moderating material. In various embodiments, depending on the target material the liquid coolant may be a liquid metal. The uniformity of coverage of the generated neutron flux is another aspect in the NTD reaction. For example, in the NTD of silicon, the induced 31P concentration is proportional to the irradiated neutron fluence, which is a product of the neutron flux, time of irradiation with a constant neutron flux, and the reaction cross-section. As the neutron cross-section varies by neutron energy, it is influenced from the neutron spectrum in the irradiation site. The energy spectra and angular distribution of the generated neutron flow is dependent on the incident beam energy of the proton beam, the incident angle of the proton beam, and the space angle behind the neutron producing target 120. As the protons lose energy traveling through the neutron producing target, the energy spectra and angular distribution of the neutrons generated change. For example, FIGS. 6A and 6B show a distribution of the energy spectrum and the emission angles of the neutron yield for a proton beam striking a lithium target at an incidence angle of 0° for various incident beam energies. Consequently, the irradiation of the neutron producing target 120 with protons should be carried out such that the neutron flow is distributed homogeneously over the cross sectional areas of the neutron generation chamber 2 and the irradiation chamber 104. In various embodiments, homogeneity is determined by the uniformity of the neutron flux in the irradiation chamber over the volume of the plurality of wafers and by the purity of the grown crystals. Neutron fluence monitors may be installed in the irradiation chamber to monitor neutron spectra and cross sectional reaction area. This information may be used to reconfigure the NTP reactor to achieve a desired doping. In various embodiments, the proton beam may be configured to scan or trace a pattern over the neutron producing target 120. This may homogenize the neutron flux depending on the material of the neutron producing target 120. This may also minimize local heating of the neutron producing target 120. Alternatively, the target mount 122 may be adjustable. In various embodiments, the target mount 122 may be configured to modify the position of the neutron producing target 120 to achieve a homogeneous distribution of the neutron flow or to minimize local heating of the neutron producing target 120. The angular distribution of the neutron flow may also be dependent on the reflectivity of the walls of the chambers so that stray neutrons striking the walls are redirected towards the irradiation chamber 104. The walls 130a and 130b of the neutron generation chamber 102 and the irradiation chamber 104 act as neutron guides and are made of material which is suitable as a neutron reflector. For example, beryllium, tungsten, nickel, steel, graphite, or other suitable chemical compounds may be used. Referring to FIG. 2, the inner walls 130a and 130b of the neutron generation chamber 102 and the irradiation chamber 104 should act as neutron guides to guide the flow of neutrons from the neutron producing target 120 to the one or more wafers 140 in the irradiation chamber 104. The inner walls 130a and 130b may be made of a neutron reflecting material. For example, a neutron reflecting material may include solids, such as beryllium, carbon, steel, or silicon, with polished surfaces. As another example, a neutron reflecting material may be a neutron mirror including a layer of nickel, titanium, silicon, or nickel/titanium alloy on a substrate of glass or steel. As another example, a neutron reflecting material may be a neutron multilayer mirror or neutron supermirror. The thickness of the chamber walls depend on the reflecting material that is used to construct the chambers. In various embodiments, the walls 130a and 130b of the neutron generation chamber and irradiation chamber may be manufactured from a solid cylindrical block of beryllium having a bore through the center of the block. The diameter of the bore may be slightly greater than the diameter of the semiconductor wafers. For example, in in various embodiment, the diameter of the bores may be about 500 mm which is large enough to accommodate semiconductor wafers that have a diameter of about 450 mm or less. The length of the block of beryllium may be about 120 cm. The thickness of these beryllium reflector walls may be about 60 cm. The generated neutrons may be classified according to their energies as thermal (En<0.5 eV), epithermal (0.5 eV<En<10 keV), or fast (En>10 keV) neutrons. A neutron producing target 120 may generate a flow of neutrons including epithermal and fast neutrons. For example, the energy of the neutrons emitted from a lithium target may have a neutron flux spectra be in the range from about 25 keV to about 100 keV. Thermal neutrons are required to initiate the neutron capture that triggers the NTD reaction and epithermal and fast neutrons may damage the wafers. The epithermal and fast neutrons (i.e., “hot” neutrons) may be cooled to thermal neutrons (i.e., “cold” neutrons) by means of a moderating material before they are used to irradiate semiconductor wafers. The neutron moderator 126 receives a flow of neutrons 129 including epithermal neutrons and/or fast neutrons from the neutron producing target 120 and outputs a flow of thermal neutrons 149 to the one or more wafers 140 by reducing the energy of neutrons passing through it. As epithermal neutrons and fast neutrons travel through the neutron moderator, they lose energy and fall into the thermal range through repeated collisions with the moderating material. The neutron moderating material may be solid, liquid (with suitable chamber), or gaseous (with suitable chamber). The material used as the moderator should result in a moderation (i.e., reduces neutron energy via elastic scattering) without any substantial absorption of neutrons. Various material may be suitable as moderating material. A moderating material should have low neutron absorption, high neutron moderation, and low reflectivity to maximize the number of neutrons that reach the semiconductor wafers. Heavy water (D2O) is very effective as a moderator. Carbon or carbon compounds (e.g., methan) may also be suitable as a moderator. The volume (width, thickness, height) of the neutron moderator 126 may be calculated by means of the corresponding elastic scattering cross sections (or Fermi-age). Referring to FIG. 2, in various embodiments, the neutron moderator 126 may be made of carbon having a thickness of 30 cm and a diameter that is larger than the diameter of the neutron generation chamber 102. In order for the neutron moderator 126 to cool neutrons effectively, it has to be maintained at room temperature. The flow of thermal neutrons 149 is guided to the irradiation chamber 104 coupled to the neutron generation chamber 102. The direction of the thermal neutron flux in the irradiation chamber is along the axial direction of the irradiation chamber. The irradiation chamber 104 houses one or more semiconductor wafers 140 to be irradiated. The one or more semiconductor wafers may be arranged so that the top and bottom surfaces of each wafer is perpendicular to the direction of the thermal neutron flux and axially aligned with the irradiation chamber. In various embodiments, the number of semiconductor wafers 140 housed in the irradiation chamber 104 may range from about 10 wafers to about 100 wafers. The number of semiconductor wafers that may be simultaneously irradiated may be calculated based on the thickness dw, of a wafer. In a conventional NTD reactor, a 200 mm diameter silicon ingot may be irradiated in a radial direction without significant risk of absorption effects for the case that the wafer is rotated during the irradiation. Thus, for example, assuming 100 mm as a maximum distance between the first and last silicon wafers and a silicon wafer thickness of 750 μm, 100 mm/dw silicon wafers, i.e., more than 100 silicon wafers, can be simultaneously irradiated in the irradiation chamber. In various embodiments, the semiconductor wafers may be arranged on a wafer rack that is placed into an irradiation chamber. The wafer rack may be removable and the irradiation chamber may include a slide and rail assembly for mounting a removable wafer rack. In various embodiments, the irradiation chamber 104 is maintained in a clean room environment in order to reduce environmental pollutants that may interact with the semiconductor material of the wafers. Referring to FIG. 2, in various embodiments, the chamber door 150 is configured to provide repeated access to the irradiation chamber 104. It may be made of various layers of neutron absorbers. The construction of chamber door 150 should be in compliance with the valid laws. For example, in various embodiments, the chamber door 150 may include three layers. Materials suitable as a first layer may include boron and its compounds thereof, paraffin wax, and water (very good absorber). A material suitable as a second layer may be lead. A material suitable as a third layer may be ferro concrete, which may also serve as structural support. FIG. 5 illustrates a flow chart of a method 300 for providing uniform doping of semiconductor wafers having large diameters in accordance with at least one embodiment. This homogeneous doping procedure results in a scattering of the doping level across the wafer which is less than 8%. In other embodiments, it may be less than 5% or less than 3% or even less than 2%. Referring to FIG. 5, at step 302 one or more semiconductor wafers are cut from a semiconductor ingot that is undoped or that has low doping. In other embodiments, the semiconductor ingot may be cut into logs having a length for example of about 100 mm for irradiation in the chamber and the logs are processed into wafers after the NTP process. At step 304, the semiconductor wafers are cleaned and cladded with an oxide. For example, silicon wafers may be cleaned and cladded with silicon dioxide (SiO2) or just cleaned using state of the art silicon wafer cleaning technology. At step 306, the semiconductor wafers are arranged in the irradiation chamber. The semiconductor wafers may be positioned so that the centers of the wafers are axially aligned with each other and the faces of the semiconductor wafers are perpendicular to the direction of neutron flow. The semiconductor wafers for example may be evenly spaced from each other. The space between each wafer should be large enough to allow the loading and unloading of the wafers without scratching a neighboring wafer, yet should be small enough to minimize contamination by atmospheric impurities. The distance between the neutron producing target and the wafer to be irradiated may be variably configured depending on the requirements of the processing, however, it should usually be as low as possible in order to ensure a shortest possible process duration. At step 308, a proton beam is generated and is directed to a neutron producing target to generate a flow of neutrons, i.e., neutron flux, in a direction towards the irradiation chamber. At step 310, the incident angle and/or energy of the proton beam may be adjusted to obtain a neutron flux having uniform coverage in the neutron generation chamber and the irradiation chamber. The adjustment may be made based on the diameter of irradiation chamber since the uniform coverage may depend on a cross-sectional area of the irradiation chamber. At step 312, the neutron flux passes through a neutron moderator which moderates the epithermal and fast neutrons in the neutron flux to obtain a thermal neutron flux. At step 314, the thermal neutron flux having uniform coverage is guided to the irradiation chamber. The direction of the thermal neutron flux in the irradiation chamber is along the axial direction of the irradiation chamber. The wafers are irradiated for a suitable period. For example, in various embodiments including a lithium target and silicon wafers, the irradiation time should be approximately 10 hours at 1e12 n/cm2s to achieve a resistivity of 60 Ωcm Si. At step 316, to ensure a more homogeneous distribution of the irradiation from wafer to wafer or to increase the number of wafers to be irradiated, the wafer rack may be removed from the irradiation chamber at the end of the first half of the irradiation period, reversed front to back, and then placed back in the irradiation chamber for the second half of the irradiation period. Thus, the wafers that were closer to the neutron moderator become closer to the chamber door, and vice versa. At step 318, at the end of the irradiation period, the one or more wafers are removed and rinsed. At step 320, the irradiated wafers are stored so that the irradiated semiconductor material has time to undergo the transmutation nuclear reaction that produces the dopant atoms. Since this nuclear reaction produces gamma radiation, the irradiated wafers are stored in a cooled and shielded chamber. The chamber may be a double walled steel vessel filled with chilled water. The storage time depends on the semiconductor material and the half-life of the NTP reaction producing the dopant atoms. For silicon semiconductor material, the nuclear conversion producing phosphorous dopant atoms has a half-life of 2.62 hours. Consequently, irradiated silicon wafers should be stored in a cooled and shielded chamber for approximately three days. Parasitic nuclear conversions may occur. For example,31Si(n,γ)32Si→(β−)32P(T1/2=˜172y)→(β−)=S(T1/2=14.3d): 32P(n,γ)32P→(β−)32S(T1/2=14.3d).However, this may be neglected for sufficiently short irradiation times. Carbon and oxygen are not affected by the NTD process and remain as oxygen and carbon in the silicon. At step 322, after a suitable amount of time in storage (3 days for silicon semiconductor material), each semiconductor wafer should be surveyed to determine if there is any residual radiation. At step 324, after the wafers clear the radiation inspection, any oxide cladding if present may be etched away from each wafer by means of for example hydrogen fluoride. At step 326, the uncladded semiconductor wafers may then be placed in an annealing chamber to repair any radiation-induced crystal damage. The annealing chamber may be a state-of-the-art furnace capable of accommodating large diameter ingots and wafers. In accordance with various embodiments, a plurality of silicon wafers may be simultaneously irradiated with neutrons in the irradiation chamber. The plurality of silicon wafers may be arranged so that the top and bottom surfaces of each wafer are perpendicular to the direction of neutron flux and the number of wafers is determined so that there is no risk of significant absorption effects. Since the silicon material is irradiated as a wafer in an axial direction rather than as an ingot in a radial direction, the achievable radial homogeneity can be tightly controlled and optimized. For example, in various embodiments, a silicon wafer having a diameter of about 300 mm or greater may be irradiated with neutrons to obtain a uniform base resistivity in the range from about 100 Ohm/cm to about 1,000 Ohm/cm. In various embodiments, a uniform base resistivity in the range from about 200 Ohm/cm to about 700 Ohm/cm can be obtained. For example, a wafer may have a target base resistivity value selected from the range from about 100 Ohm/cm to about 1,000 Ohm/cm and the uniformity of the actual base resistivity value should be ±8.0% or better. In some embodiments, the uniformity of the base resistivity may be ±3.0% or better. In other embodiments, the uniformity of the base resistivity may be ±1.0% or better. For another example, a wafer may have a target base resistivity value selected from the range from about 200 Ohm/cm to about 700 Ohm/cm and the uniformity (max/min value) of the actual base resistivity value should better than ±8.0%. In other embodiments, the uniformity of the base resistivity may be ±2.5% or better. In other embodiments, the uniformity of the base resistivity may be ±1.0% or better. To ensure the security and health of the staff, the handling of the semiconductor wafers may be be performed completely automatically starting from the beginning of the irradiation until the semiconductor wafers are cleared of radiation. In the event of an emergency shutdown of the proton beam generator 110, the semiconductor wafers may remain in the irradiation chamber 104 until a re-start occurs. In case the plurality of semiconductor wafers 140 has to be removed, an emergency energy supply of the redundantly configured handling robot should then be provided. At suitable positions outside the NTD reactor, neutron detectors and gamma ray detectors should be mounted. In the event of an emergency shutdown, it should be possible to temporarily completely close off the site. Alpha particles which may be generated during the longer operation of the site may be guided to a getter target (e.g. paraffin) by means of a magnetic field. In various embodiments, the cooling water and the semiconductor wafers should not be radioactive after the process and can be used again after filtering (dirt/discontinuities; avoiding the contamination of the wafers for further processing). In various embodiments, a variety of monitoring or measurement sensors may be mounted in the neutron generation chamber and irradiation chamber for continuous monitoring or for periodic probing. For example, the chambers may include one or more cameras for visual inspection and/or one or more sensors for measuring or monitoring neutron flux (e.g., neutron detectors), temperature (e.g., temperature sensors), or proton flux (e.g., proton detectors). In various embodiments, an NTP reactor may include one proton beam generator and two neutron generation/irradiation chamber assemblies, where each neutron generation/irradiation chamber assembly may be similar to the ones depicted in FIGS. 2 and 3. For example, each neutron generation/irradiation chamber assembly may be positioned next to each other so that the apertures into each of the neutron generation chambers are similarly oriented and a single proton beam generator may be configured to direct proton beams to each of the neutron generation/irradiation chamber assemblies so that at least one irradiation chamber may be irradiated at any time. This configuration allows the loading and unloading of wafers in one of the irradiation chambers without interrupting the proton beam for wafer handling. In various embodiments, a proton beam may be generated by a laser ion accelerator. For example, the proton beam generator 110 may be a laser directed at a target made of proton producing material. More specifically, a laser producing a beam of high energy short time pulses may be used to irradiate a proton producing material which emits high energy protons thereby generating a proton beam. For example, in various embodiments, the laser ion accelerator may include a petawatt laser that generates a pulse beam having an energy of more than about 100 TW for a duration of more than about 100 femtoseconds and a foil target made of a proton producing metal such as aluminum or gold. The generated ions are accelerated in the direction perpendicular to the target surface. The intensity of the ion beam may be controlled by the duration of the laser pulse and the thickness of the target. A typical ion or proton implanter operates in a vacuum sealed environment. The vacuum sealed environment should be extended to include the neutron producing target. For example, the neutron producing target may be made of material that is highly reactive to air and should be enclosed in a vacuum sealed environment. The vacuum sealed environment may further be extended to the moderator. For example, the neutron producing target may be mechanically fragile and so the vacuum sealed environment may extend to the moderator which should be a rigid structure. In various embodiments, a vacuum pump may be positioned between aperture 121 and neutron producing target 120. The vacuum pump removes particles that may interfere with the proton beam generator 110. For example, when a solid lithium target is bombarded by protons, lithium atoms may be sputtered off or secondary reactions creating helium atoms may occur. In various embodiments, the surface of the neutron moderator may be modified so that the reflection of neutrons back to the neutron source is minimized. For example, surface of the moderating material or its casing should not be polished. A rather rough surface helps in reducing the reflection of neutrons. In various embodiments, there may be ports in the chamber walls to permit access to the neutron producing target or the neutron moderator or both. FIG. 5 illustrates a cross-section top view of various embodiments of the non-nuclear NTD reactor 201. Referring to FIG. 5, the non-nuclear NTD reactor 201 is similar to the non-nuclear NTD reactor 101 except that the neutron generation chamber 202 is coupled to the irradiation chamber 204 at about a 90° degree angle. The neutron moderator 226 is further configured to redirect the neutron flow. One surface of the neutron moderator 226 may be configured to include a mirror. The price of a semiconductor wafer should be significantly lower since the new NTD process provides a very high success rate with relative simplicity. In accordance with various embodiments, it would be possible to achieve a higher wafer quality and homogeneity at comparable costs. In various embodiments, the plurality of semiconductor wafers may be made of germanium (Ge) or gallium (Ga) or compounds thereof. For example, when natural germanium is irradiated by thermal neutrons gallium-31 can be formed by electron capture and some β-decay according to the following reaction: 70Ge+n→71Ge→(EC) 71Ga. Since 71Ga is an impurity from group 13 in the periodic table, p type impurity doping is possible by the neutron irradiation of germanium. In the following, various aspects of this disclosure will be illustrated: Example 1 is a method of processing one or more semiconductor wafers. The method includes positioning the one or more semiconductor wafers in an irradiation chamber, generating a neutron flux in a spallation chamber coupled to the irradiation chamber, moderating the neutron flux to produce a thermal neutron flux, and exposing the one or more semiconductor wafers to the thermal neutron flux to thereby induce the creation of dopant atoms in the one or more semiconductor wafers. In Example 2, the subject matter of Example 1 can optionally include that the generating the neutron flux includes generating a proton beam, and directing the proton beam at a neutron producing target to thereby generate the neutron flux. In Example 3, the subject matter of Example 2 can optionally include that the directing further includes adjusting a space angle between the proton beam and the neutron producing target according to the diameter of the irradiation chamber. In Example 4, the subject matter of any one of Examples 2 or 3 can optionally include that the generating the proton beam includes directing a laser beam at a proton producing target to thereby generate the proton beam. In Example 5, the subject matter of any one of Examples 1 to 4 can optionally include that the method further includes arranging the one or more semiconductor wafers in a carrier for positioning in the irradiation chamber, and repositioning the carrier in the irradiation chamber after a first exposure period. In Example 6, the subject matter of any one of Examples 1 to 5 can optionally include that the method further includes cooling the neutron producing target. In Example 7, the subject matter of any one of Examples 1 to 6 can optionally include that the method further includes positioning the one or more semiconductor wafers so that the top and bottom surfaces of each semiconductor wafer are perpendicular to the thermal neutron flux. Example 8 is an apparatus for processing one or more semiconductor wafers. The apparatus includes a spallation chamber, a neutron producing material mounted in the spallation chamber, a neutron moderator mounted in the spallation chamber, and an irradiation chamber coupled to the spallation chamber, the irradiation chamber accommodates one or more semiconductor wafers. In Example 9, the subject matter of Example 8 can optionally include that the neutron producing material includes or essentially consists of lithium, lithium/carbon mixture, tungsten, boron, and/or boron compounds. In Example 10, the subject matter of any one of Examples 8 or 9 can optionally include that the apparatus further includes an adjustable mount. The neutron producing material is mounted on the adjustable mount. In Example 11, the subject matter of any one of Examples 8 to 10 can optionally include that the apparatus further includes a proton beam generator directed at the neutron producing material. In Example 12, the subject matter of Example 11 can optionally include that the proton beam generator is a proton implanter. In Example 13, the subject matter of any one of Examples 11 or 12 can optionally include that the proton beam generator includes a proton producing material, and a laser generator directed at the proton producing material. The laser generator is configured to output a laser beam. In Example 14, the subject matter of any one of Examples 11 to 13 can optionally include that the apparatus further includes a vacuum pump disposed adjacent to a surface of the neutron producing material that faces the proton beam generator. In Example 15, the subject matter of any one of Examples 8 to 14 can optionally include that the apparatus further includes a cooling unit coupled to the neutron producing material. In Example 16, the subject matter of any one of Examples 8 to 15 can optionally include that the neutron moderator includes or essentially consists of heavy water, carbon, or carbon compounds. In Example 17, the subject matter of any one of Examples 8 to 16 can optionally include that the irradiation chamber accommodates one or more semiconductor wafers that are axially aligned with the irradiation chamber. In Example 18, the subject matter of any one of Examples 8 to 17 can optionally include that the apparatus further includes one or more sensors mounted in the irradiation chamber, the one or more sensors configured to monitor neutron flux. Example 19 is a semiconductor wafer having a diameter of about 300 mm or greater, and a uniform base resistivity in the range from about 100 Ohm/cm to about 1,000 Ohm/cm, wherein the uniformity of the base resistivity is within about ±8% (max/min value). In Example 20, the subject matter of Example 19 can optionally include that the uniform base resistivity is in the range from about 200 Ohm/cm to about 700 Ohm/cm, wherein the uniformity (max/min value) of the base resistivity is within about ±2.5%. While the invention has been particularly shown and described with reference to specific embodiments, it should be understood by those skilled in the art that various changes in form and detail may be made therein without departing from the spirit and scope of the invention as defined by the appended claims. The scope of the invention is thus indicated by the appended claims and all changes which come within the meaning and range of equivalency of the claims are therefore intended to be embraced. |
|
summary |
Subsets and Splits
No saved queries yet
Save your SQL queries to embed, download, and access them later. Queries will appear here once saved.